"uuid","repository link","title","author","contributor","publication year","abstract","subject topic","language","publication type","publisher","isbn","issn","patent","patent status","bibliographic note","access restriction","embargo date","faculty","department","research group","programme","project","coordinates"
"uuid:fe1477d9-9cb4-4ef5-a39d-a09d4d609005","http://resolver.tudelft.nl/uuid:fe1477d9-9cb4-4ef5-a39d-a09d4d609005","When are battery electric vehicles economically convenient? A sensitivity analysis based on multi-carrier residential energy system renovation modelling","Rinaldi, Lorenzo (Politecnico di Milano); Golinucci, Nicolò (Politecnico di Milano); Guerrieri, Giulia (Politecnico di Milano); Sanvito, F.D. (TU Delft Energie and Industrie); Rocco, Matteo Vincenzo (Politecnico di Milano); Colombo, Emanuela (Politecnico di Milano)","","2024","Investing in low-carbon technologies, including light-duty vehicles, is a strategy to decarbonize the residential sector and private mobility. This work aims to assess the parameters driving the economic convenience of battery electric vehicles (BEVs) for a household, and what are the economic implications of BEV adoption on the total cost of the residential energy system in case of house renovation. An archetypal household energy system has been modelled for the Italian context, where strong residential energy efficiency incentives have been put in place in recent years. Adopting a least-cost-oriented energy system optimization model, 33’600 residential energy system configurations have been analysed through an extensive sensitivity analysis carried out focusing on crucial input parameters, classified as behavioural (annual travelled distance, expected ownership time of the car), energy-related (electricity and heating demand, house location, PV installed capacity), and economic (grid electricity price, gasoline prices and incentives on BEV purchase). Results show that integration with PV installation is the parameter most strongly correlated with BEV adoption, followed by annual travelled distance and ownership time. Moreover, results suggest that an increase in electricity prices has a lower impact on disincentivizing BEVs adoption compared to how much an equivalent increase in gasoline prices disincentivizes ICEVs adoption. Valuable insights reveal that, within the range of the Italian average gasoline price, BEV-based energy systems remain competitive. This holds even with a high electricity price, provided a minimum of 3 kW photovoltaic capacity is installed. In light of the ongoing energy crisis in Europe, these findings are promising for BEV adoption, particularly if accompanied by BEV incentive policies.","Battery Electric Vehicles (BEV); Economic convenience drivers; Residential energy technologies synergy; Sensitivity analysis","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:34b2de43-c1c3-40d9-869b-9ce7ce572e3e","http://resolver.tudelft.nl/uuid:34b2de43-c1c3-40d9-869b-9ce7ce572e3e","Logarithmic law of large random correlation matrices","Parolya, N. (TU Delft Statistics); Heiny, Johannes (Ruhr-Universität Bochum); Kurowicka, D. (TU Delft Applied Probability)","","2024","Consider a random vector y = Σ 1/2 x, where the p elements of the vector x are i.i.d. real-valued random variables with zero mean and finite fourth moment, and Σ 1/2 is a deterministic p × p matrix such that the eigenvalues of the population correlation matrix R of y are uniformly bounded away from zero and infinity. In this paper, we find that the log determinant of the sample correlation matrix R based on a sample of size n from the distribution of y satisfies a CLT (central limit theorem) for p/n → γ ∈ (0, 1] and p ≤ n. Explicit formulas for the asymptotic mean and variance are provided. In case the mean of y is unknown, we show that after re-centering by the empirical mean the obtained CLT holds with a shift in the asymptotic mean. This result is of independent interest in both large dimensional random matrix theory and high-dimensional statistical literature of large sample correlation matrices for non-normal data. Finally, the obtained findings are applied for testing of uncorrelatedness of p random variables. Surprisingly, in the null case R = I, the test statistic becomes distribution-free and the extensive simulations show that the obtained CLT also holds if the moments of order four do not exist at all, which conjectures a promising and robust test statistic for heavy-tailed high-dimensional data.","CLT; dependent data; large-dimensional asymptotic; log determinant; random matrix theory; sample correlation matrix","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Statistics","","",""
"uuid:93630fa9-a5f7-48f5-a8f9-cbca35946258","http://resolver.tudelft.nl/uuid:93630fa9-a5f7-48f5-a8f9-cbca35946258","A Curved Compliant Differential Mechanism With Neutral Stability","Mak, Robin (Student TU Delft); Amoozandeh, A. (TU Delft Mechatronic Systems Design); Radaelli, G. (TU Delft Mechatronic Systems Design); Herder, J.L. (TU Delft Precision and Microsystems Engineering)","","2024","Differential mechanisms are remarkable mechanical elements that are widely utilized in various systems; nevertheless, conventional differential mechanisms are heavy and difficult to use in applications with limited design space. This paper presents a curved differential mechanism that utilizes a lightweight, compliant structure. This mechanism acquires its differential characteristic by having a high rotational stiffness when the mechanism is symmetrically actuated on two sides, while having a low rotational stiffness when actuated only on one side. To make the mechanism neutrally stable, the intrinsic elastic strain energy required for deformation of the compliant differential is compensated for by the reintroduction of potential energy, which is provided by two preloaded springs. The rotational stiffness of the one-sided actuation of the compliant differential mechanism around the neutral position is hypothesized to be adjustable by changing the preload of the springs. The stiffness can be positive, zero, or negative, indicating that the mechanism can be neutral or bistable. This hypothesis is investigated using a simulated model in Ansys Parametric Design Language (APDL) using optimized parameters to achieve the desired stiffness for the mechanism. The simulated model is validated using an experimental setup for both the one-sided and symmetrical actuation stages. The experimental results showed a high correlation with the simulation results. The mechanism with optimized dimensions and preload demonstrated neutral stability over a 16deg range. Bistability was discovered for preloads greater than the optimized preload. At θ = 0, a linear relationship was discovered between the spring preload and the rotational stiffness of the mechanism. Furthermore, an output/input kinematic performance of 0.97 was found for the simulated results and 0.95 for the experimental results.","compliant mechanisms; differential mechanism; neutral stability; zero stiffness; wearable devices","en","journal article","","","","","","","","","","Precision and Microsystems Engineering","Mechatronic Systems Design","","",""
"uuid:da0041d8-2f77-4716-8816-d70e0c66cd05","http://resolver.tudelft.nl/uuid:da0041d8-2f77-4716-8816-d70e0c66cd05","Classifying Human Manual Control Behavior Using LSTM Recurrent Neural Networks","Versteeg, Rogier (Student TU Delft); Pool, D.M. (TU Delft Control & Simulation); Mulder, Max (TU Delft Control & Simulation)","","2024","This article discusses a long short-term memory (LSTM) recurrent neural network that uses raw time-domain data obtained in compensatory tracking tasks as input features for classifying (the adaptation of) human manual control with single- and double-integrator controlled element dynamics. Data from two different experiments were used to train and validate the LSTM classifier, including investigating effects of several key data preprocessing settings. The model correctly classifies human control behavior (cross-experiment validation accuracy 96%) using short 1.6-s data windows. To achieve this accuracy, it is found crucial to scale/standardize the input feature data and use a combination of input signals that includes the tracking error and human control output. A possible online application of the classifier was tested on data from a third experiment with time-varying and slightly different controlled element dynamics. The results show that the LSTM classification is still successful, which makes it a promising online technique to rapidly detect adaptations in human control behavior.","Behavioral sciences; Classification; cybernetics; Data models; Frequency control; human–machine systems; manual control; neural networks; Pattern recognition; Real-time systems; Task analysis; Training","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","Control & Simulation","","",""
"uuid:6262a052-f743-4313-85f1-226c47d639ee","http://resolver.tudelft.nl/uuid:6262a052-f743-4313-85f1-226c47d639ee","ManiVault: A Flexible and Extensible Visual Analytics Framework for High-Dimensional Data","Vieth, A. (TU Delft Computer Graphics and Visualisation); Kroes, Thomas (Leiden University Medical Center); Thijssen, Julian (Leiden University Medical Center); Lew, Baldur van (Leiden University Medical Center); Eggermont, Jeroen (Leiden University Medical Center); Basu, Soumyadeep (Leiden University Medical Center); Eisemann, E. (TU Delft Computer Graphics and Visualisation); Vilanova, Anna (Eindhoven University of Technology); Höllt, T. (TU Delft Computer Graphics and Visualisation); Lelieveldt, B.P.F. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center)","","2024","Exploration and analysis of high-dimensional data are important tasks in many fields that produce large and complex data, like the financial sector, systems biology, or cultural heritage. Tailor-made visual analytics software is developed for each specific application, limiting their applicability in other fields. However, as diverse as these fields are, their characteristics and requirements for data analysis are conceptually similar. Many applications share abstract tasks and data types and are often constructed with similar building blocks. Developing such applications, even when based mostly on existing building blocks, requires significant engineering efforts. We developed ManiVault, a flexible and extensible open-source visual analytics framework for analyzing high-dimensional data. The primary objective of ManiVault is to facilitate rapid prototyping of visual analytics workflows for visualization software developers and practitioners alike. ManiVault is built using a plugin-based architecture that offers easy extensibility. While our architecture deliberately keeps plugins self-contained, to guarantee maximum flexibility and re-usability, we have designed and implemented a messaging API for tight integration and linking of modules to support common visual analytics design patterns. We provide several visualization and analytics plugins, and ManiVault's API makes the integration of new plugins easy for developers. ManiVault facilitates the distribution of visualization and analysis pipelines and results for practitioners through saving and reproducing complete application states. As such, ManiVault can be used as a communication tool among researchers to discuss workflows and results. A copy of this paper and all supplemental material is available at osf.io/9k6jw, and source code at github.com/ManiVaultStudio.","High-dimensional data; Visual analytics; Visualization framework; Progressive analytics; Prototyping system","en","journal article","","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:afda1c8a-079b-4e57-9e08-d2e65b4f87ed","http://resolver.tudelft.nl/uuid:afda1c8a-079b-4e57-9e08-d2e65b4f87ed","Multi-scale in silico and ex silico mechanics of 3D printed cochlear implants for local drug delivery","Isaakidou, A. (TU Delft Biomaterials & Tissue Biomechanics); Ganjian, M. (TU Delft Biomaterials & Tissue Biomechanics); van Hoften, R. (Student TU Delft); Cruz Saldivar, M. (TU Delft Biomaterials & Tissue Biomechanics); Leeflang, M.A. (TU Delft Biomaterials & Tissue Biomechanics); Groetsch, A. (Swiss Federal Laboratories for Materials Science and Technology (Empa); University of California); Wątroba, M. (Swiss Federal Laboratories for Materials Science and Technology (Empa)); Schwiedrzik, J. (Swiss Federal Laboratories for Materials Science and Technology (Empa)); Mirzaali, Mohammad J. (TU Delft Biomaterials & Tissue Biomechanics); Apachitei, I. (TU Delft Biomaterials & Tissue Biomechanics); Fratila-Apachitei, E.L. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics)","","2024","The currently available treatments for inner ear disorders often involve systemic drug administration, leading to suboptimal drug concentrations and side effects. Cochlear implants offer a potential solution by providing localized and sustained drug delivery to the cochlea. While the mechanical characterization of both the implants and their constituent material is crucial to ensure functional performance and structural integrity during implantation, this aspect has been mostly overlooked. This study proposes a novel methodology for the mechanical characterization of our recently developed cochlear implant design, namely, rectangular and cylindrical, fabricated using two-photon polymerization (2 PP) with a novel photosensitive resin (IP-Q™). We used in silico computational models and ex silico experiments to study the mechanics of our newly designed implants when subjected to torsion mimicking the foreseeable implantation procedure. Torsion testing on the actual-sized implants was not feasible due to their small size (0.6 × 0.6 × 2.4 mm³). Therefore, scaled-up rectangular cochlear implants (5 × 5 × 20 mm³, 10 × 10 × 40 mm³, and 20 × 20 × 80 mm³) were fabricated using stereolithography and subjected to torsion testing. Finite element analysis (FEA) accurately represented the linear behavior observed in the torsion experiments. We then used the validated Finite element analysis models to study the mechanical behavior of real-sized implants fabricated from the IP-Q resin. Mechanical characterization of both implant designs, with different inner porous structures (pore size: 20 μm and 60 μm) and a hollow version, revealed that the cylindrical implants exhibited approximately three times higher stiffness and mechanical strength as compared to the rectangular ones. The influence of the pore sizes on the mechanical behavior of these implant designs was found to be small. Based on these findings, the cylindrical design, regardless of the pore size, is recommended for further research and development efforts.","3D printing; two-photon polymerization; stereolithography; Raman spectroscopy; cochlear implant; finite element analysis; mechanical characterization; compression","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:19601e0e-8343-4152-8e17-8a42113ced33","http://resolver.tudelft.nl/uuid:19601e0e-8343-4152-8e17-8a42113ced33","The efficacy of different torque profiles for weight compensation of the hand","van der Burgh, B.J. (TU Delft Mechatronic Systems Design); Filius, S.J. (TU Delft Biomechatronics & Human-Machine Control); Radaelli, G. (TU Delft Mechatronic Systems Design); Harlaar, J. (TU Delft Biomechatronics & Human-Machine Control; Erasmus MC)","","2024","Orthotic wrist supports will be beneficial for people with muscular weakness to keep their hand in a neutral rest position and prevent potential wrist contractures. Compensating the weight of the hands is complex since the level of support depends on both wrist and forearm orientations. To explore simplified approaches, two different weight compensation strategies (constant and linear) were compared to the theoretical ideal sinusoidal profile and no compensation in eight healthy subjects using a mechanical wrist support system. All three compensation strategies showed a significant reduction of 47–53% surface electromyography activity in the anti-gravity m. extensor carpi radialis. However, for the higher palmar flexion region, a significant increase of 44–61% in the m. flexor carpi radialis was found for all compensation strategies. No significant differences were observed between the various compensation strategies. Two conclusions can be drawn: (1) a simplified torque profile (e.g., constant or linear) for weight compensation can be considered as equally effective as the theoretically ideal sinusoidal profile and (2) even the theoretically ideal profile provides no perfect support as other factors than weight, such as passive joint impedance, most likely influence the required compensation torque for the wrist joint.","biomechanics; design; exoskeletons; intelligent orthotics","en","journal article","","","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:4319a6e2-55b1-449c-b03a-a3bf379a9614","http://resolver.tudelft.nl/uuid:4319a6e2-55b1-449c-b03a-a3bf379a9614","Soft robot shape estimation with IMUs leveraging PCC kinematics for drift filtering","Stella, F. (TU Delft Learning & Autonomous Control; Swiss Federal Institute of Technology); Della Santina, C. (TU Delft Learning & Autonomous Control); Hughes, Josie (Swiss Federal Institute of Technology)","","2024","The control possibilities for soft robots have long been hindered by the need for reliable methods to estimate their configuration. Inertial measurement units (IMUs) can solve this challenge, but they are affected by well-known drift issues. This letter proposes a method to eliminate this limitation by leveraging the Piecewise Constant Curvature model assumption. We validate the reconstruction capabilities of the algorithm in simulation and experimentally. To this end, we also present a novel large-scale, foam-based manipulator with embedded IMU sensors. Using the filter, we bring the accuracy in IMU-based reconstruction algorithms to 93% of the soft robot's length and enable substantially longer measurements than the baseline. We also show that the proposed technique generates reliable estimations for closed-loop control of the robot's shape.","Calibration and Identification;; Kinematics; Magnetic separation; Modeling, Control, and Learning for Soft Robots; Robot sensing systems; Robots; Sensors; Shape; Soft robotics; Soft sensors and actuators","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-04","","","Learning & Autonomous Control","","",""
"uuid:4f4f4781-1b32-4762-b27d-ce74016023f5","http://resolver.tudelft.nl/uuid:4f4f4781-1b32-4762-b27d-ce74016023f5","Changes in commuting mode and the relationship with psychological stress: A quasi-longitudinal analysis in urbanizing China","Tao, Y. (TU Delft Urban Studies; University of Cambridge); van Ham, M. (TU Delft Urbanism); Petrović, A. (TU Delft Urban Studies)","","2024","Emerging longitudinal research on the relationship between commuting mode and psychological wellbeing draws exclusively from cities in developed countries and the findings are not consistent. Our study contributes to the evidence base from urban China, where rapid urban growth has raised great concerns for urbanites’ commuting problems and psychological stress risks. Drawing upon the China Health and Nutrition Survey (2006–2015), we followed a quasi-longitudinal design to examine changes in commuting mode and the associations with long-term psychological stress. Crucially, the neighbourhood-level urbanicity scale was incorporated to analyse geographic variations in the commuting-stress relationship over time. The results show that maintaining car commuting and long-duration active commuting were associated with lower levels of psychological stress, while long-duration motorised commuting trips by car or public transport were predictive of higher stress levels. Moreover, high-urbanicity areas involved more active commuting trips and short motorised commuting trips, which were beneficial to long-term psychological wellbeing. In contrast, the commuting-related stress risks were noticeable in medium urbanicity areas, where the commuting duration by public transport was extremely high. Based on the socio-institutional context of urban growth in China, we recommend that urban governments should change the focus from expanding urban development land to improving urban amenities and urbanites’ wellbeing.","Commuting behavior; Developing countries; Health; Longitudinal designs; Subjective well-being; Urban growth","en","journal article","","","","","","","","","","Urbanism","Urban Studies","","",""
"uuid:20489f00-ecb8-4a6e-94da-f2c0a11344bf","http://resolver.tudelft.nl/uuid:20489f00-ecb8-4a6e-94da-f2c0a11344bf","From Design to Management: Exploring BIM’s Role across Project Lifecycles, Dimensions, Data, and Uses, with Emphasis on Facility Management","Altwassi, Esraa J. (Istanbul Okan University); Aysu, Emre (Istanbul Okan University); Ercoskun, Kerem (Istanbul Okan University); Abu-Raed, A.S.I. (TU Delft Heritage & Architecture; American University of Ras Al Khaimah)","","2024","The importance of Building Information Modelling (BIM) in construction and facility operation is unquestionable, but there is a clear discrepancy between the data included in as-built BIM models and the expected use specified by customers. This disparity presents significant obstacles in properly using BIM for facility management and operational operations. The main goal of this research is to suggest inventive and pragmatic approaches that successfully address the discrepancy between the actual BIM model data, with a specific emphasis on COBie dataset, and the intended BIM applications outlined by stakeholders in the Employer’s Information Requirement (EIR) for facility management and operation. The study methodology is based on a comprehensive examination of current literature, demo case studies, as well as standards pertaining to BIM data, COBie.Type, and EIR requirements. The results of this study consist of a collection of standards, procedures, and suggested practices specifically designed to improve the utilization of as-built BIM model data for facility management and operation. These will closely correlate with the BIM applications stated by the client. Furthermore, the project seeks to enhance industry norms and practices, promoting enhanced cooperation and information sharing among stakeholders. This research has also investigated the efficiency of Solibri Model Checker (SMC) to validate the COBie type and component information provided by COBie.","building information modelling; COBie.Type; employer’s information requirement; facility management","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:a1941ca3-b824-40e3-904c-3d80bce999a1","http://resolver.tudelft.nl/uuid:a1941ca3-b824-40e3-904c-3d80bce999a1","Techno-Economic Comparison of Electricity Storage Options in a Fully Renewable Energy System","Mulder, Sebastiaan (Student TU Delft); Klein, S.A. (TU Delft Energy Technology)","","2024","To support increasing renewable capacity for a net-zero future, energy storage will play a key role in maintaining grid stability. In this paper, all current and near-future energy storage technologies are compared for three different scenarios: (1) fixed electricity buy-in price, (2) market-based electricity buy-in price, and (3) energy storage integrated into a fully renewable electricity system. In the first part of this study, an algorithm is devised to simulate strategic buy-in of electricity for energy storage. This analysis yields a qualitative decision-making tool for a given energy storage duration and size. Building upon the first part’s findings, an integration study gives insight into expected power prices and expected storage size in a typical northwestern European fully renewable energy system. The integration study shows significant need for electricity storage with durations spanning from one to several days, typically around 40 h. Pumped Hydro Storage and Pumped Thermal storage surface as the best options. The overall levelized costs of storage are expected to be in the USD 200–500/MWh range. Integration of storage with renewables can yield a system-levelized cost of electricity of about USD 150/MWh. Allowing flexibility in demand may lower the overall system-levelized cost of electricity to USD 100/MWh.","batteries; energy storage; grid stability; LCOE; markets; modelling; net-zero","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:538af6ba-2ff0-477b-886f-9ad68d63ee04","http://resolver.tudelft.nl/uuid:538af6ba-2ff0-477b-886f-9ad68d63ee04","Towards a Reliable Design of Geopolymer Concrete for Green Landscapes: A Comparative Study of Tree-Based and Regression-Based Models","Wang, Ranran (Guangzhou University); Zhang, Jun (Guangzhou University); Lu, Yijun (Guangzhou University); Ren, S. (TU Delft Pavement Engineering); Huang, Jiandong (Guangzhou University)","","2024","The design of geopolymer concrete must meet more stringent requirements for the landscape, so understanding and designing geopolymer concrete with a higher compressive strength challenging. In the performance prediction of geopolymer concrete compressive strength, machine learning models have the advantage of being more accurate and faster. However, only a single machine learning model is usually used at present, there are few applications of ensemble learning models, and model optimization processes is lacking. Therefore, this paper proposes to use the Firefly Algorithm (AF) as an optimization tool to perform hyperparameter tuning on Logistic Regression (LR), Multiple Logistic Regression (MLR), decision tree (DT), and Random Forest (RF) models. At the same time, the reliability and efficiency of four integrated learning models were analyzed. The model was used to analyze the influencing factors of geopolymer concrete and determine the strength of their influencing ability. According to the experimental data, the RF-AF model had the lowest RMSE value. The RMSE value of the training set and test set were 4.0364 and 8.7202, respectively. The R value of the training set and test set were 0.9774 and 0.8915, respectively. Therefore, compared with the other three models, RF-AF has a stronger generalization ability and higher prediction accuracy. In addition, the molar concentration of NaOH was the most important influencing factors, and its influence was far greater than the other possible factors including NaOH content. Therefore, it is necessary to pay more attention to NaOH molarity when designing geopolymer concrete.","ensemble learning model; beetle antennae search; geopolymer concrete; NaOH molarity","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:6d3dd4f1-0f24-4316-ab7c-57190e516042","http://resolver.tudelft.nl/uuid:6d3dd4f1-0f24-4316-ab7c-57190e516042","Optimal Ship Fuel Selection under Life Cycle Uncertainty","Zwaginga, J.J. (TU Delft Ship Design, Production and Operations); Lagemann, Benjamin (Norwegian University of Science and Technology (NTNU); SINTEF Ocean); Erikstad, Stein Ove (Norwegian University of Science and Technology (NTNU)); Pruyn, J.F.J. (TU Delft Ship Design, Production and Operations; Rotterdam University of Applied Sciences)","","2024","Shipowners need to prepare for low-emission fuel alternatives to meet the IMO 2050 goals. This is a complex problem due to conflicting objectives and a high degree of uncertainty. To help navigate this problem, this paper investigates how methods that take uncertainty into account, like robust optimization and stochastic optimization, could be used to address uncertainty while taking into account multiple objectives. Robust optimization incorporates uncertainty using a scalable measure of conservativeness, while stochastic programming adds an expected value to the objective function that represents uncertain scenarios. The methods are compared by applying them to the same dataset for a Supramax bulk carrier and taking fuel prices and market-based measures as uncertain factors. It is found that both offer important insights into the impact of uncertainty, which is an improvement when compared to deterministic optimization, that does not take uncertainty into account. From a practical standpoint, both methods show that methanol and LNG ships allow a cheap but large reduction in emissions through the use of biofuels. More importantly, even though there are limitations due to the parameter range assumptions, ignoring uncertainty with respect to future fuels is worse as a starting point for discussions.","ship design; alternative fuel; energy system selection; uncertainty; optimization; robust; stochastic","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:08fa9f1a-7e18-42f4-9794-9427a90f870c","http://resolver.tudelft.nl/uuid:08fa9f1a-7e18-42f4-9794-9427a90f870c","Pre-straining as an effective strategy to mitigate ratcheting during fatigue in flax FRP composites for structural applications","Perruchoud, V.P. (TU Delft Bio-based Structures & Materials); Alderliesten, R.C. (TU Delft Group Alderliesten); Mosleh, Yasmine (TU Delft Bio-based Structures & Materials)","","2024","Biobased fibre-reinforced polymer (FRP) composites, consisting of natural lignocellulosic fibres such as flax or hemp, are great alternatives to synthetic fibres to mitigate the environmental impact of high-performance composites in engineering structures. Natural fibres such as flax have damping and specific mechanical properties suitable to potentially replace glass fibres in FRP composites in engineering structures. However, structural design with flax FRPs can be challenging for engineers due to their rather peculiar mechanical responses thanks to the complex multi-scale microstructure of the flax fibres. In particular, flax FRP composites have shown large ratcheting (accumulation of plastic deformation) and stiffness increase when subjected to tensile fatigue loading. Therefore, this paper proposes a novel yet simple 'pre-straining' method as a promising strategy for improving the fatigue response of flax FRP, to potentially replace synthetic glass FRP in various engineering structures. To this end, cross-ply flax, and glass FRP composite laminates were manufactured and subsequently tensile-tensile fatigue experiments were performed. It was observed that pre-straining of flax FRP composite coupons can improve their mechanical performance by increasing stiffness and reducing ratcheting during fatigue which is attributed to further alignment of the fibres within the twisted yarns, as well as possible microfibril alignment. The pre-straining of glass fibre reinforced composites samples did not lead to any remarkable reduction in ratcheting nor increase in stiffness.","bio-composite; fatigue; pre-straining; Ratcheting; tensile loading; damage","en","journal article","","","","","","","","","","","Bio-based Structures & Materials","","",""
"uuid:c5772f3e-0752-4019-8e45-4d9676e271d7","http://resolver.tudelft.nl/uuid:c5772f3e-0752-4019-8e45-4d9676e271d7","Using data collection to build trust and ownership in transboundary water allocation planning: a case study from the Mara River Basin","Zielinski, Lauren (Zielinski Environmental Monitoring and Evaluation LLC); McClain, M.E. (TU Delft Water Resources; IHE Delft Institute for Water Education); Ojwang, William (WWF Kenya); Joseph, Christian (WWF Tanzania); Tickner, David (WWF-UK); Mumbo, Gordon (Winrock International); Matano, Ali Said (Africa Centre for Health Environment & Water Services); Nobert, Joel (University of Dar Es Salaam); Huber-Lee, Annette (Stockholm Environment Institute, USA)","","2024","Following a 2015 Memorandum of Understanding, efforts began to develop a transboundary water allocation plan in the Mara River Basin between Kenya and Tanzania. Many lessons were learned along that way, including the importance of involving basin and national water authorities in all phases of data collection, planning and decision-making; understanding existing water management structures to promote communication and cooperation within countries; and using locally collected data whenever possible. Applying these concepts to future efforts can promote, although not ensure, ownership of the process within each country, trust between countries, and productive discussions around transboundary water resources.","data; Mara River Basin; ownership; transboundary; water allocation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-03","","","Water Resources","","",""
"uuid:d30343c3-27e9-424b-9115-b32c43ef9bd0","http://resolver.tudelft.nl/uuid:d30343c3-27e9-424b-9115-b32c43ef9bd0","Projects as a speciation and aggregation mechanism in transitions: Bridging project management and transitions research in the digitalization of UK architecture, engineering, and construction industry","Papachristos, George (Eindhoven University of Technology); Papadonikolaki, E. (TU Delft Integral Design & Management); Morgan, Bethan (University College London (UCL))","","2024","Sociotechnical transitions are mostly seen in the literature as processes where actors and technologies in small niches peripheral to an organizational field, accumulate momentum, scale up, aggregate, and eventually bring about large-scale regime change. Foundational examples include the British transition from sailing ships to steamships and the American transition from traditional factories to mass production. Herein lies a paradox, transitions concern large scale system change for example transition to electric cars or renewable energy, but large-scale options for technological change driven by incumbents have received less attention in transitions research. This is an important opportunity for transition research to draw on the literature of project management research on large-scale projects. We bridge transitions research and project management research by exploring speciation and aggregation from both perspectives. We illustrate how this bridge may be instantiated drawing on published research and interviews on six megaprojects that have been instrumental in the digital transformation of UK construction: (i) the Channel Tunnel Rail Link, (ii) Heathrow Terminal 5, (iii) London Olympics, (iv) Crossrail, (v) Thames Tideway and (vi) High Speed Two. The speciation of digital technology seeds the process of aggregation and UK industry transition which is driven by incumbents at the organizational field core and ripples outward to its periphery. This is a reverse process to the one mostly considered in transition research where change initiates in small niches peripheral to an organizational field and propagates until it eventually brings about large-scale change to its core.","Speciation; Aggregation; Transitions; Project management; BIM","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:4234d183-9fd5-4c5b-8983-d79e77223b02","http://resolver.tudelft.nl/uuid:4234d183-9fd5-4c5b-8983-d79e77223b02","Deep Dive into NTP Pool's Popularity and Mapping","Moura, Giovane C. M. (TU Delft Cyber Security; SIDNLabs); Davids, Marco (SIDNLabs); Schutijser, Caspar (SIDNLabs); Hesselman, Cristian (SIDNLabs; University of Twente); Heidemann, John (USC/ISI; CSDept.); Smaragdakis, G. (TU Delft Cyber Security)","","2024","Time synchronization is of paramount importance on the Internet, with the Network Time Protocol (NTP) serving as the primary synchronization protocol. The NTP Pool, a volunteer-driven initiative launched two decades ago, facilitates connections between clients and NTP servers. Our analysis of root DNS queries reveals that the NTP Pool has consistently been the most popular time service. We further investigate the DNS component (GeoDNS) of the NTP Pool, which is responsible for mapping clients to servers. Our findings indicate that the current algorithm is heavily skewed, leading to the emergence of time monopolies for entire countries. For instance, clients in the US are served by 551 NTP servers, while clients in Cameroon and Nigeria are served by only one and two servers, respectively, out of the 4k+ servers available in the NTP Pool. We examine the underlying assumption behind GeoDNS for these mappings and discover that time servers located far away can still provide accurate clock time information to clients. We have shared our findings with the NTP Pool operators, who acknowledge them and plan to revise their algorithm to enhance security.","NTP; NTPPool; DNS; Measurements; Clientmapping","en","journal article","","","","","","","","","","","Cyber Security","","",""
"uuid:c050d8f5-65eb-499b-8d6c-e211acbe047f","http://resolver.tudelft.nl/uuid:c050d8f5-65eb-499b-8d6c-e211acbe047f","Can blockchain prevent the deterioration of building handover information quality for higher education institutions?","Chang, Janet (University of Cambridge); Jaskula, Klaudia (University College London (UCL)); Papadonikolaki, E. (TU Delft Integral Design & Management); Rovas, Dimitrios (University College London (UCL)); Parlikad, Ajith Kumar (University of Cambridge)","","2024","Purpose
This research investigates the distinct characteristics of blockchain technology to safeguard against the deterioration of handover information quality in the post-construction phase. The significance of effective management of handover information is highlighted by global building failures, such as the Grenfell Tower fire in London, UK. Despite existing technological interventions, there remains a paucity of understanding regarding the factors contributing to the decline in the quality of handover information during the post-construction phase.
Design/methodology/approach
This study employed a multi-case studies approach across five higher education institutions. It involved conducting semi-structured interviews with 52 asset management professionals, uncovering the underlying reasons for the decline in handover information quality. Building on these insights, the study performed a mapping exercise to align these identified factors with blockchain technology features and information quality dimensions, aiming to evaluate blockchain’s potential in managing quality handover information.
Findings
The study findings suggest that blockchain technology offers advantages but has limitations in addressing all the identified quality issues of managing handover information. Due to the lack of an automated process and file-based information exchange, updating handover information still requires an error-prone manual process, leading to potential information loss. Additionally, no solutions are available for encoding drawings for updates and validation.
Originality/value
This study proposes a framework integrating blockchain to enhance the information management process and improve handover information quality.","Handover information; Information quality; Asset information management; Blockchain technology; Higher education institutions","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-04","","","Integral Design & Management","","",""
"uuid:28204e37-7122-4d99-9c42-a4f143583c0d","http://resolver.tudelft.nl/uuid:28204e37-7122-4d99-9c42-a4f143583c0d","The Letter Rack","Corbo, S. (TU Delft Space & Type)","","2024","“The Letter Rack” is a speculative exercise in exploring the emergence of new spatial relationships when we stop thinking of future cities in terms of figure/ground duality and instead start looking at them as a continuous assemblage of forms and signs.
This form of digital divertissement in drawings originates from the reinterpretation of the so-called “trompe l’oeil,” a pictorial genre used for centuries to depict illusionistic scenes that give spectators the impression that they are facing real, three-dimensional objects. More specifically, the Letter Rack refers to the 1668 trompe l’oeil by Cornelis Norbertus Gijsbrechts, which represents a board partition populated by envelopes, objects, and a music book. The Letter Rack borrows the spatial organization of Gijsbrechts’ painting and, in general, some of the ingredients common to the tradition of trompe l’oeil, such as the use of metaphor, allegory, or symbolism, to reverse their meaning. It turns realistic representations into a hypothetical scenario in which building types and urban spaces meet, collide, and fuse in novel configurations. In other words, The Letter Rack is an inverted digital trompe l’oeil.","spatial relationships; digital divertissement; trompe l'oeil; urban assemblage; hypothetical scenario","en","journal article","","","","","","","","","","","Space & Type","","",""
"uuid:10311077-2304-46cd-b9e2-0eb920b2295f","http://resolver.tudelft.nl/uuid:10311077-2304-46cd-b9e2-0eb920b2295f","Deep Reinforcement Learning for Orchestrating Cost-Aware Reconfigurations of vRANs","Murti, Fahri Wisnu (University of Oulu); Ali, Samad (University of Oulu); Iosifidis, G. (TU Delft Networked Systems); Latva-aho, Matti (University of Oulu)","","2024","Virtualized Radio Access Networks (vRANs) are fully configurable and can be implemented at a low cost over commodity platforms to enable network management flexibility. In this paper, a novel vRAN reconfiguration problem is formulated to jointly reconfigure the functional splits of the base stations (BSs), locations of the virtualized central units (vCUs) and distributed units (vDUs), their resources, and the routing for each BS data flow. The objective is to minimize the long-term total network operation cost while adapting to the varying traffic demands and resource availability. In the first step, testbed measurements are performed to study the relationship between the traffic demands and computing resources, which reveals high variance and depends on the platform and its load. Consequently, finding the perfect model of the underlying system is non-trivial. Therefore, to solve the proposed problem, a deep reinforcement learning (RL)-based framework is proposed and developed using model-free RL approaches. Moreover, the problem consists of multiple BSs sharing the same resources, which results in a multi-dimensional discrete action space and leads to a combinatorial number of possible actions. To overcome this curse of dimensionality, action branching architecture, which is an action decomposition method with a shared decision module followed by neural network is combined with Dueling Double Deep Q-network (D3QN) algorithm. Simulations are carried out using an O-RAN compliant model and real traces of the testbed. Our numerical results show that the proposed framework successfully learns the optimal policy that adaptively selects the vRAN configurations, where its learning convergence can be further expedited through transfer learning even in different vRAN systems. It also offers significant cost savings by up to 59% of a static benchmark, 35% of Deep Deterministic Policy Gradient with discretization, and 76% of non-branching D3QN.","action branching; Computational modeling; Computer architecture; Costs; D3QN; Data models; deep reinforcement learning; Load modeling; network virtualization; Neural networks; O-RAN; orchestration; Radio access networks (RANs); Routing","en","journal article","","","","","","","","","","","Networked Systems","","",""
"uuid:756aa692-d9be-4634-b9b0-3710442dd770","http://resolver.tudelft.nl/uuid:756aa692-d9be-4634-b9b0-3710442dd770","A Review of Automatic Classification of Drones Using Radar: Key Considerations, Performance Evaluation and Prospects","Ahmad, Bashar I. (University of Cambridge; Thales Land and Air Systems); Rogers, Colin (Thales Land and Air Systems); Harman, Stephen (Thales UK); Dale, Holly (University of Birmingham); Jahangir, Mohammed (University of Birmingham); Antoniou, Michael (University of Birmingham); Baker, Chris (University of Birmingham); Newman, Mike (Thales UK); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2024","Automatic target classification or recognition is a critical capability in noncooperative surveillance with radar in several defence and civilian applications. It is a well-established research field and numerous techniques exist for recognizing targets, including miniature unmanned air systems or drones (i.e., small, mini, micro, and nano platforms), from their radar signatures. These algorithms have notably benefited from advances in machine learning (e.g., deep neural networks) and are increasingly able to achieve remarkably high accuracies. Such classification results are often captured by standard, generic, object recognition metrics, and originate from testing on simulated or real radar measurements of drones under high signal to noise ratios. Hence, it is difficult to assess and benchmark the performance of different classifiers under realistic operational conditions. In this article, we first review the key challenges and considerations associated with the automatic classification of miniature drones from radar data. We then present a set of important performance measures, from an end-user perspective. These are relevant to typical drone surveillance system requirements and constraints. Selected examples from real radar observations are shown for illustration. We also outline here various emerging approaches and future directions that can produce more robust drone classifiers for radar.","Airborne radar; classification; deep learning; Drones; non-cooperative surveillance; radar; Radar; Radar cross-sections; Radar tracking; Surveillance; Target tracking; unmanned air traffic management","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-27","","","Microwave Sensing, Signals & Systems","","",""
"uuid:e99316a3-1ec6-46f3-9394-6806b2116903","http://resolver.tudelft.nl/uuid:e99316a3-1ec6-46f3-9394-6806b2116903","Joint Ranging and Phase Offset Estimation for Multiple Drones using ADS-B Signatures","Mohammadkarimi, M. (TU Delft Signal Processing Systems); Leus, G.J.T. (TU Delft Signal Processing Systems); Rajan, R.T. (TU Delft Signal Processing Systems)","","2024","A new method for joint ranging and Phase Offset (PO) estimation of multiple drones/aircrafts is proposed in this paper. The proposed method employs the superimposed uncoordinated Automatic Dependent Surveillance-Broadcast (ADS-B) packets broadcasted by drones/aircrafts for joint range and PO estimation. It jointly estimates range and PO prior to ADS-B packet decoding; thus, it can improve air safety when packet decoding is infeasible due to packet collision. Moreover, it enables coherent detection of ADS-B packets, which can result in more reliable multiple target tracking in aviation systems using cooperative sensors for detect and avoid (DAA). By minimizing the Kullback-Leibler Divergence (KLD) statistical distance measure, we show that the received complex baseband signal coming from K uncoordinated drones/aircrafts corrupted by Additive White Gaussian Noise (AWGN) at a single antenna receiver can be approximated by an independent and identically distributed (i.i.d.) Gaussian Mixture (GM) with 2^{K} mixture components in the two-dimensional (2D) plane. While direct joint Maximum Likelihood Estimation (MLE) of range and PO from the derived GM Probability Density Function (PDF) leads to an intractable maximization, our proposed method employs the Expectation-Maximization (EM) algorithm to estimate the modes of the 2D Gaussian mixture followed by a reordering estimation technique through combinatorial optimization to estimate range and PO. An extension to a multiple antenna receiver is also investigated in this article. While the proposed estimator can estimate the range of multiple drones/aircrafts with a single receive antenna, a larger number of drones/aircrafts can be supported with higher accuracy by the use of multiple antennas at the receiver. The effectiveness of the proposed estimator is supported by simulation results. We show that the proposed estimator can jointly estimate the range of multiple drones/aircrafts accurately.","Range estimation; phase offset; cooperative navigation; expectation-maximization (EM); Gaussian mixture (GM); ADS-B; multiple receive antennas; detect and avoid (DAA)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-26","","","Signal Processing Systems","","",""
"uuid:d1b254fe-aa53-410a-87f8-90c5a8f6a44a","http://resolver.tudelft.nl/uuid:d1b254fe-aa53-410a-87f8-90c5a8f6a44a","ETVO: Effectively Measuring Tactile Internet With Experimental Validation","Kroep, H.J.C. (TU Delft Networked Systems; TU Delft Embedded Systems); Gokhale, V. (TU Delft Networked Systems); Verburg, Joseph (Student TU Delft); Venkatesha Prasad, Ranga Rao (TU Delft Networked Systems)","","2024","The next frontier in communications is teleoperation - manipulation and control of remote environments with haptic feedback. Compared to conventional networked applications, teleoperation poses widely different requirements, ultra-low latency (ULL) is primary. Realizing ULL communication demands significant redesign of conventional networking techniques, and the network infrastructure envisioned for achieving this is termed as Tactile Internet (TI). The design of meaningful performance metrics is crucial for seamless TI communication. However, existing performance metrics fall severely short of comprehensively characterizing TI performance due to their inability to capture how well sensed signals are reproduced. We take Dynamic Time Warping(DTW) as the basis of our work and identify necessary changes for characterizing TI performance. Through substantial refinements to DTW, we design Effective Time- and Value-Offset (ETVO) - a new method for measuring the fine-grained performance of TI systems. Through an in-depth objective analysis, we demonstrate the improvements of ETVO over DTW. Through subjective experiments, we demonstrate that existing QoS and QoE methods fall short of estimating the TI session performance accurately. Using subjective experiments, we demonstrate the behavior of the proposed metrics, their ability to match theoretically derived performance, and finally, their ability to reflect user satisfaction in a practical setting.","Delays; Measurement; QoS; Quality of experience; Quality of service; Reliability; Surgery; tactile internet; Tactile Internet; URLLC; user experience","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Networked Systems","","",""
"uuid:c2b4bb5a-d23b-4f2c-8b4c-057d7ff7f6ea","http://resolver.tudelft.nl/uuid:c2b4bb5a-d23b-4f2c-8b4c-057d7ff7f6ea","Connected Slot Array with Interchangeable ADL Radome for sub-8 GHz 5G Applications","Ozzola, R. (TU Delft Tera-Hertz Sensing); Neto, A. (TU Delft Tera-Hertz Sensing); Imberg, Ulrik (Huawei Technologies Sweden AB); Cavallo, D. (TU Delft Tera-Hertz Sensing)","","2024","We present a dual-polarized connected array of slots with an artificial dielectric layer (ADL) radome for mobile communication applications operating in the sub-6 GHz and the upper 6 GHz bands of 5G. The radiating slots are combined with two interchangeable ADL radomes with different thicknesses, targeting the bands 6-8 and 2-8 GHz, respectively. This highlights the main property of the ADL radome, which realizes an impedance transformer whose bandwidth is proportional to the height of the structure. Moreover, the ADL anisotropy allows for wide scanning, up to 60° in the main planes for both radomes, without scan blindness. An $8\,\, \times \,\, 8$ prototype array has been manufactured and tested with the two ADL radomes. The measured results of the active voltage standing wave ratio (VSWR) and the radiation patterns are reported to validate the design.","5G antenna arrays; artificial dielectrics; connected arrays; mobile communications; phased arrays; wideband arrays","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-09","","","Tera-Hertz Sensing","","",""
"uuid:588a73ad-98f3-48c8-b238-68e7702f5518","http://resolver.tudelft.nl/uuid:588a73ad-98f3-48c8-b238-68e7702f5518","Who are the value transformers, value co-operators and value gatekeepers? New routes to value preservation in a sufficiency-based circular economy","Haase, Louise Møller (Aalborg University); Mugge, R. (TU Delft Responsible Marketing and Consumer Behavior); Mosgaard, Mette Alberg (Aalborg University); Bocken, Nancy (Universiteit Maastricht); Jaeger-Erben, Melanie (Brandenburg University of Technology); Pizzol, Massimo (Aalborg University); Jørgensen, Michael Søgaard (Aalborg University)","","2024","Value preservation is key for the transition from a linear economy to a sufficiency-based circular economy. However, to keep the value of products and materials at the highest level possible over time, different actors such as businesses, designers, consumers, and policymakers must know when value is reduced during a product's lifetime, and how to capture and preserve this value. This study results in a comprehensive mapping of factors with a negative impact on the perceived value of products from a consumer's perspective and suggests new routes to value preservation, as a way of turning the negative factors into positive changes. Next, the paper presents a conceptual model for value preservation. The model identifies new key actors: value transformers (product developers), value co-operators (consumers), value gatekeepers (businesses), and value accountants (policymakers) and suggests important changes to their linear practices, which are needed for the sufficiency-based circular economy paradigm to flourish.","Value preservation; Circular economy; Inner loops; Actor roles; Circular behaviour; Value chain dynamics; Lifecycle","en","journal article","","","","","","","","","","","Responsible Marketing and Consumer Behavior","","",""
"uuid:546563b7-d111-4079-a57e-e44ac42d07b9","http://resolver.tudelft.nl/uuid:546563b7-d111-4079-a57e-e44ac42d07b9","Exergy-Based Improvements of Sustainable Aviation Fuels: Comparing Biorefinery Pathways","Silva Ortiz, P. (Technische Universität München); de Oliveira Junior, Silvio (Universidade de São Paulo); Mariano, Adriano Pinto (University of Campinas); Jocher, Agnes (Technische Universität München); Posada Duque, J.A. (TU Delft BT/Biotechnology and Society)","","2024","The aeronautical sector faces challenges in meeting its net-zero ambition by 2050. To achieve this target, much effort has been devoted to exploring sustainable aviation fuels (SAF). Accordingly, we evaluated the technical performance of potential SAF production in an integrated first- and second-generation sugarcane biorefinery focusing on Brazil. The CO2 equivalent and the renewability exergy indexes were used to assess environmental performance and impact throughout the supply chain. In addition, exergy efficiency (ηB) and average unitary exergy costs (AUEC) were used as complementary metrics to carry out a multi-criteria approach to determine the overall performance of the biorefinery pathways. The production capacity assumed for this analysis covers 10% of the fuel demand in 2020 at the international Brazilian airports of São Paulo and Rio de Janeiro, leading to a base capacity of 210 kt jet fuel/y. The process design includes sugarcane bagasse and straw as the feedstock of the biochemical processes, including diverse pre-treatment methods to convert lignocellulosic resources to biojet fuel, and lignin upgrade alternatives (cogeneration, fast pyrolysis, and gasification Fischer-Tropsch). The environmental analysis for all scenarios shows a GHG reduction potential due to a decrease of up to 30% in the CO2 equivalent exergy base emissions compared to fossil-based jet fuel.","exergy and environmental assessment; biojet fuel production; biorefinery performance measurement","en","journal article","","","","","","","","","","","BT/Biotechnology and Society","","",""
"uuid:98c12a05-57dc-49ab-b0a2-e080f753a825","http://resolver.tudelft.nl/uuid:98c12a05-57dc-49ab-b0a2-e080f753a825","A Two-Stage Approach for a Mixed-Integer Economic Dispatch Game in Integrated Electrical and Gas Distribution Systems","Ananduta, W. (TU Delft Team Sergio Grammatico); Grammatico, S. (TU Delft Team Sergio Grammatico; TU Delft Team Bart De Schutter)","","2024","We formulate for the first time the economic dispatch problem among prosumers in an integrated electrical and gas distribution system (IEGDS) as a game equilibrium problem. Specifically, by approximating the nonlinear gas-flow equations either with a mixed-integer second-order cone (MISOC) or a piecewise affine (PWA) model and by assuming that electricity and gas prices depend linearly on the total consumption, we obtain a potential mixed-integer game. To compute an approximate generalized Nash equilibrium (GNE), we propose an iterative two-stage method that exploits a problem convexification and the gas-flow models. We quantify the quality of the computed solution and perform a numerical study to evaluate the performance of our method.","Aggregates; Computational modeling; Cost function; Costs; Economic dispatch; Games; generalized mixed-integer games; Generators; integrated electrical and gas systems (IEGSs); Mathematical models","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-29","","","Team Sergio Grammatico","","",""
"uuid:384528cc-ef5d-447a-9e7c-7db686fb90e3","http://resolver.tudelft.nl/uuid:384528cc-ef5d-447a-9e7c-7db686fb90e3","Pulsed Electromagnetic Excitation of a Narrow Slot Between Two Dielectric Halfspaces","Stumpf, Martin (Brno University of Technology); Antonini, Giulio (University of L'Aquila); Lager, I.E. (TU Delft Electrical Engineering Education)","","2024","The transient electromagnetic (EM) excitation of a narrow slot in a perfectly electrically conducting (PEC) screen that separates two homogeneous dielectric halfspaces, a simplified model of a typical feeding structure of leaky lens antennas, is analyzed numerically in the time domain (TD). The problem is formulated using the TD reciprocity theorem of the time-convolution type and subsequently solved with the aid of the Cagniard-DeHoop method of moments (CdH-MoM). Numerical results are validated using a general-purpose EM-field solver.","transient electromagnetic (EM) field; slot antennas; Cagniard-DeHoop method of moments (CdH-MoM); time-domain (TD) analysis","en","journal article","","","","","","","","","","","Electrical Engineering Education","","",""
"uuid:1231eda8-ebde-43b7-abc6-bf8e6ba1d52e","http://resolver.tudelft.nl/uuid:1231eda8-ebde-43b7-abc6-bf8e6ba1d52e","An estimator for the Coulomb repulsion parameter U to generate vacuum referred binding energy schemes for lanthanides in compounds","Dorenbos, P. (TU Delft RST/Luminescence Materials)","","2024","The U-value defined as the energy difference between the Eu4+/3+ and Eu3+/2+ charge transition levels (CTLs) is the most important parameter in constructing vacuum referred binding energy diagrams (VRBEs) with all the lanthanide CTLs with respect to the vacuum level of energy. The parameter is difficult to determine from experiment and the aim of this work is to establish a method to estimate the U-value from the average electronegativity of the cations in the compound. Since the U-value is controlled by the same physical processes, i.e., covalence and anion polarizability, as the centroid shift ϵc of the Ce3+ 5d configuration, one may estimate the U-value from that centroid shift. That method provides already good values for U for about 175 different compounds. Those U-values are compared with the average cation electronegativity χav, and relations will be established from which the U-value can be estimated with about ±0.1 eV accuracy from just the composition of the compound. It can be applied to all types of stoichiometric inorganic compounds like the halides (F, Cl, Br, I), chalcogenides (O, S, Se), and nitrides (N). The U-value complemented with the bandgap and the energy needed for electron transfer from the valence band top to a trivalent lanthanide dopant is then sufficient to construct a VRBE diagram with all lanthanide levels with respect to the vacuum level and the host valence and conduction bands.","Ce centroid shift; Charge transition levels; Electronegativity; Electronic structure; Lanthanides; VRBE-schemes","en","journal article","","","","","","","","","","","RST/Luminescence Materials","","",""
"uuid:c224e646-2ccd-47bc-8718-1224caf447b1","http://resolver.tudelft.nl/uuid:c224e646-2ccd-47bc-8718-1224caf447b1","An efficient bilinear interface reconstruction algorithm and consistent multidimensional unsplit advection scheme for accurate capturing of highly-curved interfacial shapes on structured grids","van der Eijk, M. (TU Delft Ship Hydromechanics); Wellens, P.R. (TU Delft Ship Hydromechanics and Structures)","","2024","A new bilinear interface reconstruction algorithm (BLIC) is presented to capture highly-curved interfaces more accurately on structured grids without a significant increase in computational costs compared to the standard piecewise linear interface calculation (PLIC) methods. The new reconstruction algorithm uses the initial PLIC segment and improves continuity of the interface using an averaging method. A curvature-weighted method improves the repositioning of the linear segments. A new unsplit donating quadrant advection (DQA) scheme is introduced that is conservative and can create consistency with the momentum flux for two-phase flow models with a staggered MAC arrangement of variables within a grid cell. The consistent discretization of the fluxes prevents spurious interface velocities, negative densities, and instabilities. Standard 2D test cases and benchmarks demonstrate the performance of the BLIC and the DQA scheme, showing high accuracy and low costs compared to other available methods.","Bilinear interface reconstruction; Interface capturing; Multiphase flow; Unsplit advection scheme; Volume of fluid","en","journal article","","","","","","","","","","","Ship Hydromechanics","","",""
"uuid:13f9b1f0-2a1b-4147-a051-66ac364f1c11","http://resolver.tudelft.nl/uuid:13f9b1f0-2a1b-4147-a051-66ac364f1c11","Improving mechanical properties and sustainability of high-strength engineered cementitious composites (ECC) using diatomite","Zhu, Xuezhen (Central South University China); Zhang, Minghu (Central South University China); Shi, Jinyan (Central South University China); Weng, Yiwei (The Hong Kong Polytechnic University); Yalçınkaya, Çağlar (Dokuz Eylul University); Šavija, B. (TU Delft Materials and Environment)","","2024","High-strength engineered cementitious composites (ECC) typically require higher cement content, which is negative from the sustainability point of view. To alleviate this problem, herein a low-cost and eco-friendly high-strength ECC (with a compressive strength of over 100 MPa) was developed, and diatomite was used to replace a small amount of cement. An appropriate amount of diatomite was found to improve the compressive strength, tensile strength and first cracking strength of ECC, but at the expense of part of the strain capacity (still all higher than 2.9%). Furthermore, the high pozzolanic activity and specific surface area of diatomite also increased the autogenous shrinkage, but reduced the drying shrinkage of ECC due to its internal curing effect. The incorporation of diatomite improved the pore structure of ECC, consumed more Ca(OH)2, and enhanced the hydration degree of the mixture. In the end, the economic and environmental benefits of diatomite-modified ECC were also evaluated, and the cost, non-renewable energy demand, and global warming potential of ECC with 3% diatomite were reduced compared to plain ECC by 12.9, 15.1, and 13.3%, respectively. The developed high-strength ECC is therefore a low-cost and eco-friendly alternative to the traditional one.","Diatomite; Engineered cementitious composites (ECC); High-strength; Sustainability assessment; Tensile properties","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-02","","","Materials and Environment","","",""
"uuid:a67ddc88-90a3-4cd6-8da1-f40d630644f3","http://resolver.tudelft.nl/uuid:a67ddc88-90a3-4cd6-8da1-f40d630644f3","Investigating the Role of Executive Intrafirm Alumni Networks in Top Management Fraud: Multilevel Study in China's Construction Industry","Wang, Ran (Hunan University); Wang, Tongbing (Hunan University); Lee, Chia-Jung (Tunghai University); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Zhang, G. (Hunan University)","","2024","To explore factors that influence the likelihood of committing fraud in the construction industry, this study concentrated on senior executives and tested whether some characteristics at the individual and firm levels have impacts on the likelihood of fraud committed by top management. Based on social network theory, this study first proposes that intrafirm alumni networks may increase the probability of senior executives engaging in corrupt behavior. Then the study explored whether the effect of executives' alumni networks on their wrongdoings is influenced by external and internal corporate governance measures. To verify the hypotheses, this study collected data on 2,017 senior executives from 118 construction companies in China from 2013 to 2021. Because of the multilevel structure of the data, hierarchical linear modeling was used. The results show that alumni networks have a significant positive effect on top management fraud. The effect is weakened by external auditing, altered by board independence, and strengthened by the size of the board of directors and the size of the supervisory board. This multilevel research contributes to advancing the understanding of managers' fraudulent behavior within an organization and extends the literature on social networks and corporate governance in the construction industry.","Alumni networks; Corporate governance; Hierarchical linear modeling; Social networks; Top management fraud","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-13","","","Design & Construction Management","","",""
"uuid:6b9bbc73-571d-4f60-8ddd-1a2f720879f5","http://resolver.tudelft.nl/uuid:6b9bbc73-571d-4f60-8ddd-1a2f720879f5","Imperfection-induced internal resonance in nanotube resonators","Belardinelli, P. (Università Politecnica delle Marche); Lenci, S. (Università Politecnica delle Marche); Alijani, F. (TU Delft Dynamics of Micro and Nano Systems)","","2024","Through molecular dynamics simulations, we demonstrate the possibility of internal resonances in single-walled carbon nanotubes. The resonant condition is engineered with a lack of symmetry in the boundary condition and activated by increasing the energy exchange with a coupled thermal bath. The critical temperature threshold for initiating modal interaction is found to be chirality-dependent. By applying the proper orthogonal decomposition algorithm to molecular dynamics time responses, we show how the thermal fluctuations influence the vibrational behaviour of the nanotube leading to both flexural–flexural and flexural–longitudinal resonances. Understanding the interaction between nanotube resonators and the thermal bath is crucial for designing and optimizing their performance for various nanoscale sensing, actuation, and signal processing applications.","Carbon nanotube; Imperfect boundary conditions; Internal resonance; Molecular dynamics; Thermoelasticity","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:2831b2e7-f892-488f-a698-22661f3ea034","http://resolver.tudelft.nl/uuid:2831b2e7-f892-488f-a698-22661f3ea034","Modelling of kinematic higher pairs by lower pairs","Meijaard, J.P. (TU Delft Mechatronic Systems Design)","","2024","Kinematic joints are classified in lower pairs and higher pairs. Most multibody modelling techniques focus on lower pairs, because a complete classification in six types is available. Higher pairs are more diverse. In this article, higher pairs that can be exactly modelled by lower pairs are investigated. A complete classification of higher pairs that can be modelled by a chain of five single-degree-of-freedom lower pairs with a central revolute joint at the contact point is proposed. Two-dimensional cases and surfaces with discontinuities are also considered. The equivalent chains can be used for exact and approximate modelling of higher pairs and as design alternatives. Illustrative examples and applications to a bicycle on toroidal wheels and a railway wheelset on a roller rig are shown.","Bicycle; Classification; Higher pairs; Kinematic joints; Lower pairs; Railway wheelset","en","journal article","","","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:a79712b3-9716-42bb-b01a-c657a7b34369","http://resolver.tudelft.nl/uuid:a79712b3-9716-42bb-b01a-c657a7b34369","How manoeuvre information via auditory (spatial and beep) and visual UI can enhance trust and acceptance in automated driving","Kim, S. (TU Delft Human Information Communication Design); van Egmond, R. (TU Delft Human Information Communication Design); Happee, R. (TU Delft Intelligent Vehicles)","","2024","In conditionally automated driving (SAE level 3), drivers may take their eyes off the road but will still need to be ready to take control and will, therefore, benefit from information on automation. This study aims to investigate the effectiveness of automation manoeuvre information provided through spatial sound, traditional notification sound (beep), and a visual interface. Spatial sounds were designed differentiating four distinct driving manoeuvres: overtaking a leading car, slowing down, turning right, and passing a roundabout. The notification sound consisted of one beep being identical for all manoeuvres. The visual interface showed the automation mode with an image and manoeuvre information with text and images. The impact of these interfaces on trust, workload, acceptance, situation awareness, and sense of control was evaluated with questionnaires and visual attention was evaluated with eye tracking while participants engaged in a visual-motor secondary task in a driving simulator. The results indicate that, with all interfaces tested, manoeuvre information enhances trust, acceptance, situation awareness, and sense of control, without significantly affecting the overall workload. These benefits were more profound, adding auditory information and differed marginally between the traditional notification and the spatial sound, as the effectiveness of the different auditory interface types varied depending on the specific manoeuvre. Findings highlight the importance of designing user interfaces for automation manoeuvre information using auditory cues to improve the user experience in automated driving.","Automated vehicles; Human-Machine Interaction; Trust; Acceptance; Sound design","en","journal article","","","","","","","","","","","Human Information Communication Design","","",""
"uuid:dc1f4148-c91f-49ae-818c-ef7d4ed17212","http://resolver.tudelft.nl/uuid:dc1f4148-c91f-49ae-818c-ef7d4ed17212","Towards a framework for point-cloud-based visual analysis of historic gardens: Jichang Garden as a case study","Peng, Y. (TU Delft Landscape Architecture); Zhang, Guanting (Nanjing Tech University); Nijhuis, S. (TU Delft Landscape Architecture); Agugiaro, G. (TU Delft Urban Data Science); Stoter, J.E. (TU Delft Urban Data Science)","","2024","Historic gardens, regarded as a significant genre of cultural heritage, encapsulate the enduring essence of bygone eras while concurrently transcending temporal boundaries to resonate with the present and future. These gardens provide us vitality and inspiration, holding a collective repository of human memory and serving as a testament to our shared heritage. However, like landscapes, gardens constantly change through natural processes and human interventions. How can we preserve these gardens, though changes are unavoidable? Spatial and visual characteristics are the gardens' essential characteristics, and point-cloud (LiDAR) technologies are powerful tools to reveal and analyze gardens’ spatial-visual relationships and characteristics. Therefore, this paper aims to present a point-cloud-based approach to identifying spatial-visual design principles and making them operational to protect and develop historic gardens. Additionally, several methods have been proposed in this research, including (a) a voxel-based method to transfer points into a solid model for GIS-based computation, (b) a novel method to analyze the field of view (FOV), and (c) a systemic framework to reveal historic gardens’ spatial-visual characteristics based on the voxelized model. Jichang Garden, a historic garden in Wuxi, China, known for its visual design and spatial arrangement, has been selected as a case study to showcase how to apply the methods proposed by this paper. The findings include the design principles for the water body, the arrangement for a route, and the planting strategies of the garden. The conservational strategies have been formed based on the findings, and the appliable potentials and limitations of the methods have also been discussed.","Spatial analysis; Jichang Garden; Heritage gardens; LiDAR; GIS","en","journal article","","","","","","","","","","","Landscape Architecture","","",""
"uuid:9062a160-7556-44c3-a06c-7579961da0a4","http://resolver.tudelft.nl/uuid:9062a160-7556-44c3-a06c-7579961da0a4","Unveiling complexity of hydrogen integration: A multi-faceted exploration of challenges in the Dutch context","Hasankhani, M. (TU Delft Design for Sustainability); van Engelen, J.M.L. (TU Delft Design for Sustainability); Celik, S (TU Delft Methodologie en Organisatie van Design); Diehl, J.C. (TU Delft Design for Sustainability)","","2024","As the transition to sustainable energy intensifies, hydrogen emerges as a pivotal medium in mitigating climate change and improving energy security. While its applicability across various sectors is undeniable, its integration into established energy systems presents multifaceted challenges. This study investigates the complexities of integrating hydrogen into the Netherlands' energy systems. Beyond technological advancements, the successful design and rollout of a hydrogen supply chain require coordination and collaboration among a myriad of stakeholders. Through a mixed-methods approach, this study combines findings from a broad literature review, policy document analyses, evaluation of 59 field projects, and engaging dialogues with 33 key stakeholders from different sectors. This investigation led to the identification and categorization of key players in the Dutch hydrogen sector, revealing their interconnected roles and the challenges encountered in the hydrogen integration process. The study further categorized the identified challenges faced by stakeholders into five core domains: technical, infrastructural (including supply chain), socioeconomic, environmental, and institutional, with associated factors. Prominent challenges include transportation infrastructure upgrades, high initial costs and scalability, effective storage methods, safety and cybersecurity measures, storage and distribution infrastructure, security of supply, and public acceptance. This study contributes to the hydrogen integration discourse, offering insights for academics, industry, and policymakers. Its detailed stakeholder analysis, holistic categorization of challenges across five domains, and a stakeholder-centric approach grounded in real-world dialogues offer applicable frameworks beyond its primary context. In this vein, it guides future research and decisions, and its approach is adaptable for different regions or sectors, emphasizing comprehensive transition strategies.","Hydrogen infrastructure; Hydrogen supply chain; Sectoral distribution shifts; Stakeholder analysis; Stakeholder coordination; Energy policy","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:f18ce335-ce3c-40ee-a7bd-ccce8e5a1481","http://resolver.tudelft.nl/uuid:f18ce335-ce3c-40ee-a7bd-ccce8e5a1481","Emergency response in cascading scenarios triggered by natural events","Ricci, Federica (University of Bologna); Yang, M. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Cozzani, Valerio (University of Bologna)","","2024","Emergency response is a procedural safety barrier of paramount importance for the mitigation of fire scenarios and the prevention of escalation. However, in Natech scenarios, emergency response may be affected by the natural event impacting the site. Indeed, when contrasting Natech accidents, emergency responders have to face both the natural event and the cascading technological scenario. Despite the criticality of the issue, limited attention was devoted to date to the analysis of emergency response in cascading sequences triggered by natural events. The present study provides a novel and technically sound methodology to assess the performance of emergency response and the required intervention time in Natech scenarios. An expert survey combined with a Bayesian Network model was used to assess the performance of the emergency response. The routing and setup phases were identified as those mostly affected by natural events. Monte Carlo simulations were used to obtain baseline data and specific probability distributions for the time required to carry out the emergency response considering the factors that may hinder the response during natural events. In Natech accidents, the time for effective mitigation resulted higher of at least a factor 2 with respect to that expected in the case of conventional accidents. The methodology developed may be used to support the improvement of the emergency management of Natech scenarios, allowing for a detailed definition of site-specific emergency response plans. Moreover, the results may be used to provide a more accurate assessment of the fire-driven escalation probability in Natech events.","Cascading events, Natech, Quantitative risk assessment, Bayesian Network, Monte Carlo simulation; Emergency response","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:9e4b4c23-d1ed-4355-85a6-7a56aa2b839a","http://resolver.tudelft.nl/uuid:9e4b4c23-d1ed-4355-85a6-7a56aa2b839a","Hybrid Tunable Magnet Actuator: Design of a Linearized Force-Flux Tunable Magnet Actuator","Hoekwater, William B. (Student TU Delft); Ronaes, E.P. (TU Delft Mechatronic Systems Design); Hassan HosseinNia, S. (TU Delft Mechatronic Systems Design)","","2024","Recent studies have shown that tunable magnets (soft permanent magnets) can significantly reduce Joule heating in electromagnetic actuators. To achieve high motion accuracy and repeatability, this article proposes a novel actuator design with a linearized force-flux relation. In prior designs of variable reluctance tunable magnet actuators, the force and flux are related quadratically via a C-shaped actuator. Hybrid tunable magnet actuators based on biased fluxes are developed using lumped parameter models. Using finite element analysis, it is shown that the force-flux relation is symmetric linear around the mid position depending on the magnetic flux direction in the magnet. Within a position range of ±500 μm and a force range of ±20 N, the linear fit produces a negligible error of 0.08 N. Finally, this linear relationship is validated with a 0.03-N error in an experimental setup.","Actuators; Force; Magnetic circuits; Magnetic flux; Magnetic hysteresis; Magnetic separation; Magnetomechanical effects; Saturation magnetization; tunable magnets; variable reluctance actuator","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-19","","","Mechatronic Systems Design","","",""
"uuid:6047e0c7-382a-47c3-ad09-2eecf2115de5","http://resolver.tudelft.nl/uuid:6047e0c7-382a-47c3-ad09-2eecf2115de5","Evaluating the choice of radial basis functions in multiobjective optimal control applications","Zatarain Salazar, J. (TU Delft Policy Analysis); Kwakkel, J.H. (TU Delft Policy Analysis); Witvliet, Mark (Axians)","","2024","Evolutionary Multi-Objective Direct Policy Search (EMODPS) is a prominent framework for designing control policies in multi-purpose environmental systems, combining direct policy search with multi-objective evolutionary algorithms (MOEAs) to identify Pareto approximate control policies. While EMODPS is effective, the choice of functions within its global approximator networks remains underexplored, despite their potential to significantly influence both solution quality and MOEA performance. This study conducts a rigorous assessment of a suite of Radial Basis Functions (RBFs) as candidates for these networks. We critically evaluate their ability to map system states to control actions, and assess their influence on Pareto efficient control policies. We apply this analysis to two contrasting case studies: the Conowingo Reservoir System, which balances competing water demands including hydropower, environmental flows, urban supply, power plant cooling, and recreation; and The Shallow Lake Problem, where a city navigates the trade-off between environmental and economic objectives when releasing anthropogenic phosphorus. Our findings reveal that the choice of RBF functions substantially impacts model outcomes. In complex scenarios like multi-objective reservoir control, this choice is critical, while in simpler contexts, such as the Shallow Lake Problem, the influence is less pronounced, though distinctive differences emerge in the characteristics of the prescribed control strategies.","Direct policy search; Global approximators; Many Objective Evolutionary Algorithms; Water resources management","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:d3529293-7b5d-4265-9816-41c226eb9685","http://resolver.tudelft.nl/uuid:d3529293-7b5d-4265-9816-41c226eb9685","Do personality traits influence the user's behavioral intention to adopt and use Open Government Data (OGD)? An empirical investigation","Rizun, Nina (Politechnika Gdanska); Alexopoulos, Charalampos (University of the Aegean); Saxena, Stuti (Graphic Era University); Kleiman, F. (TU Delft Information and Communication Technology); Matheus, R. (TU Delft Information and Communication Technology)","","2024","The academic interest in the Open Government Data (OGD) domain has been burgeoning over the years. Conceding that the prime focus of an OGD initiative is its further re-use for value creation and innovation by stakeholders, the present study seeks to underscore the role of HEXACO personality traits on behavioral intention (BI) to adopt and use OGD in developing countries' context. We investigate the direct, indirect, and moderating effects of HEXACO personality traits provide a better understanding of how and to what extent personality traits influence future behavioral intention to use OGD. The results demonstrate that Trust and Performance Expectancy are positive predictors of BI to adopt and use OGD. Users with higher Openness to Experience tend to have higher Effort and Performance Expectancy; are characterized by exposure to Social Influence; have higher level of Trust and positive experience of Facilitating Conditions and Information Quality. Agreeable people are more likely to Voluntarily Use OGD. Conscientiousness enhances the individual's perception of OGD quality-related factors. Excessive Emotionality affects negative perception to System and Information Quality issues. Honesty–Humility and Extraversion are able to maintain the effect of OGD Information Quality and Trust on users' BI. Our findings could be useful for practitioners to level the divergence between actual and potential use of OGD by considering the user's personality traits.","HEXACO; OGD; Open Government Data; Personality traits; Technology adoption; UTAUT","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-30","","","Information and Communication Technology","","",""
"uuid:86e4fe80-a217-423d-b2ea-dcf1e88fc0bd","http://resolver.tudelft.nl/uuid:86e4fe80-a217-423d-b2ea-dcf1e88fc0bd","Air quality forecasting of along-route ship emissions in realistic meteo-marine scenarios","Orlandi, Andrea (Consorzio LaMMA); Calastrini, Francesca (IMAMOTER - C.N.R. Sensors and Nanomaterials Laboratory); Kalikatzarakis, Miltiadis (University of Strathclyde); Guarnieri, Francesca (Consorzio LaMMA); Busillo, Caterina (Consorzio LaMMA); Coraddu, A. (TU Delft Ship Design, Production and Operations)","","2024","This study introduces a novel framework of metocean prediction and ship performance models that integrate multiple layers of modeling to evaluate the environmental impact of ship emissions. It enables scenario simulations that assess a ship's performance, estimates pollutant emissions, and simulate the fate of these pollutants in the atmosphere. The study analyzes the fate of NOx, SO2, and PM10 pollutants in the atmosphere using spatially distributed concentration maps. It provides a comprehensive approach to assessing the environmental effects of ships and their emissions and contributes to the field of environmental impact assessment. Case studies are presented to demonstrate the framework's functionalities, evaluating the interrelationships between adverse meteo-marine conditions, pollutant emissions, and resulting atmospheric diffusion characteristics.","Air quality modeling; Seakeeping; Ship emissions; Ship performance modeling","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:8455eb64-fe10-4196-8c60-14f16c292381","http://resolver.tudelft.nl/uuid:8455eb64-fe10-4196-8c60-14f16c292381","3-D induction log modelling with integral equation method and domain decomposition pre-conditioning","Saputera, D. H. (University of Bergen); Jakobsen, M. (University of Bergen); van Dongen, K.W.A. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Van Dongen goup); Jahani, N. (NORCE Norwegian Research Centre AS); Eikrem, K. S. (NORCE Norwegian Research Centre AS); Alyaev, S. (NORCE Norwegian Research Centre AS)","","2024","The deployment of electromagnetic (EM) induction tools while drilling is one of the standard routines for assisting the geosteering decision-making process. The conductivity distribution obtained through the inversion of the EM induction log can provide important information about the geological structure around the borehole. To image the 3-D geological structure in the subsurface, 3-D inversion of the EM induction log is required. Because the inversion process is mainly dependent on forward modelling, the use of a fast and accurate forward modelling tool is essential. In this paper, we present an improved version of the integral equation (IE) based modelling technique for general anisotropic media with domain decomposition pre- conditioning. The discretized IE after domain decomposition equals a fixed-point equation that is solv ed iterativ ely with either the block Gauss-Seidel or Jacobi pre-conditioning. Within each iteration, the inverse of the block matrix is computed using a Krylov subspace method instead of a direct solver. An additional reduction in computational time is obtained by using an adaptive relative residual stopping criterion in the iterativ e solv er. Using this domain decomposition scheme, numerical experiments show computation time reductions by factors of 1.97-2.84 compared to solving the full-domain IE with a GMRES solver and a contraction IE pre- conditioner. Additionally, the reduction of memory requirement for covering a large area of the induction tool sensitivity enables acceleration with limited GPU memory. Hence, we conclude that the domain decomposition method is improving the efficiency of the IE method by reducing the computation time and memory requirement.","Electromagnetic theory; Numerical modelling; Numerical solutions","en","journal article","","","","","","","","","","","ImPhys/Medical Imaging","","",""
"uuid:8a757769-7f9a-4d2f-a7ae-1ed7369e90fd","http://resolver.tudelft.nl/uuid:8a757769-7f9a-4d2f-a7ae-1ed7369e90fd","Modelling the formation of peer-to-peer trading coalitions and prosumer participation incentives in transactive energy communities","Zhang, Ying (Student TU Delft; Centrum Wiskunde & Informatica (CWI)); Robu, Valentin (Centrum Wiskunde & Informatica (CWI); Eindhoven University of Technology; Princeton University); Cremers, S.A. (TU Delft Intelligent Electrical Power Grids; Centrum Wiskunde & Informatica (CWI)); Norbu, Sonam (University of Glasgow); Couraud, Benoit (University of Glasgow); Andoni, Merlinda (University of Glasgow); Flynn, David (University of Glasgow); Poor, H. Vincent (Princeton University)","","2024","Peer-to-peer (P2P) energy trading and energy communities have garnered much attention over in recent years due to increasing investments in local energy generation and storage assets. Much research has been performed on the mechanisms and methodologies behind their implementation and realisation. However, the efficiency to be gained from P2P trading, and the structure of local energy markets raise many important challenges. To analyse the efficiency of P2P energy markets, in this work, we consider two different popular approaches to peer-to-peer trading: centralised (through a central market maker/clearing entity) vs. fully decentralised (P2P), and explore the comparative economic benefits of these models. We focus on the metric of Gains from Trade (GT), given optimal P2P trading schedule computed by a schedule optimiser. In both local market models, benefits from trading are realised mainly due to the diversity in consumption behaviour and renewable energy generation between prosumers in an energy community. Both market models will lead to the most promising P2P contracts (the ones with the highest Gains from Trade) to be established first. Yet, we find diversity decreases quickly as more peer-to-peer energy contracts are established and more prosumers join the market, leading to significantly diminishing returns. In this work, we aim to quantify this effect using real-world data from two large-scale smart energy trials in the UK, i.e. the Low Carbon London project and the Thames Valley Vision project. Our experimental study shows that, for both market models, only a small number of P2P contracts i.e. less than 10% of the possible P2P contracts are required to achieve the majority of the maximal potential Gains from Trade. Similarly, only a fraction of prosumers are required to participate in energy trading to realise significant GT; namely we found that 60% of the maximal GT can be realised with only 30% of prosumers’ participation, with the percentage of maximal GT reaching 80% when participation increases to 50% of prosumers. Finally, we study the effect that diversity in consumption profiles has on overall trading potential and dynamics in an energy community. We show that in a community with a DF(load diversity factor) = 1, 80% of potential maximal GT can be achieved by 10% of prosumers engaging in P2P trading, while in a community with DF = 1.5, it is beneficial for 40% of the prosumers to trade.","Peer-to-peer trading; Energy community; Negotiation","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:71c7c81d-b1c0-49ef-9b5f-492a481ea42c","http://resolver.tudelft.nl/uuid:71c7c81d-b1c0-49ef-9b5f-492a481ea42c","Glare-based control strategy for Venetian blinds in a mixed-use conference space with fully glazed facades","Theodoropoulou, P. (TU Delft Civil Engineering & Geosciences); Brembilla, E. (TU Delft Environmental & Climate Design); Schipper, H.R. (TU Delft Applied Mechanics); Louter, P.C. (TU Delft Applied Mechanics)","","2024","Smart buildings are equipped with automated control systems that provide a comfortable indoor environment, aiming simultaneously at energy savings. Control systems for shading devices applied in practice are mostly driven by a rule-based approach, that is usually tested under simplified conditions and hence its effectiveness in complex real-life cases is questionable. The present study develops an optimized glare-based control strategy for Venetian blinds in a real-life open-space building with totally transparent facades. The research is based on the case study of the Co-Creation Center at the TU Delft campus, which can host three different types of events: presentations, meetings and workshops. The control strategy is developed within Grasshopper, a tool for parametric and optimization problems. Radial Basis Function Optimization (RBFOpt) is utilized for the computation of the optimal blinds’ states. Within the developed control strategy, cylindrical illuminance (Ecyl) is used as a glare index, giving the opportunity to evaluate its performance. Results show that the optimized algorithm can improve the existing visual conditions in the building by an average of 80% for all activity types, although it leads to an average increase of 7% of the time when electric lighting is needed, in comparison to the current rule-based control. Finally, Ecyl displayed an overall agreement of 92.5% with DGP-based glare assessments, proving that in spaces with multiple windows and uncertain occupants’ view direction, a view-independent index can predict glare risks as well as a state-of-the-art view-dependent metric.","Living lab; Fully glazed facades; Control strategy; Optimization; Cylindrical illuminance","en","journal article","","","","","","","","","Civil Engineering & Geosciences","","Environmental & Climate Design","","",""
"uuid:777c8d37-6367-455e-80fa-1905fa6f6940","http://resolver.tudelft.nl/uuid:777c8d37-6367-455e-80fa-1905fa6f6940","Regression analysis for container ships in the early design stage","Rinauro, B. (Università degli Studi di Napoli Federico II); Begovic, E. (Università degli Studi di Napoli Federico II); Mauro, F. (TU Delft Ship Design, Production and Operations; Sharjah Maritime Academy); Rosano, G. (Università degli Studi di Napoli Federico II)","","2024","The seaway trade market has expanded in the last years and container ship dimensions are constantly increasing for higher cargo capacity. In the early design stage, main dimensions are usually determined based on an existing ship database from which regression formulas are derived. In the present paper, a database of 260 non-sister container ships built from 1979 to 2022, representing 20% of the world fleet, has been considered to derive and compare different types of regressions. Simple regressions have been developed and compared with equivalent formulations available in literature, proving better approximations of the trends. The study has been further extended by multivariable regressions and forest tree algorithms, which allow the use of more than one independent variable and provide a better fitting compared to simple regressions. Forest tree regressions return the highest values of fitting coefficients, but the technique is not of easy application due to the absence of mathematical expressions. The main contribution is the updated set of simple and multivariable regression formulas which have a higher goodness of fit than previous works and can be easily employed by designers in the early design stage and in multi-attribute design procedures.","Container ships; Database statistics; Forest trees; Multivariable regressions; Ship design; Simple regressions","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:50f3bf57-dab0-4cb5-bb2f-d31759e5c62f","http://resolver.tudelft.nl/uuid:50f3bf57-dab0-4cb5-bb2f-d31759e5c62f","Efficiency of InN/InGaN/GaN Intermediate-Band Solar Cell under the Effects of Hydrostatic Pressure, In-Compositions, Built-in-Electric Field, Confinement, and Thickness","Abboudi, Hassan (University of Sidi Mohamed Ben Abdullah); El Ghazi, Haddou (Hassan II University of Casablanca; University of Sidi Mohamed Ben Abdullah); En-nadir, Redouane (University Sidi Mohammed Ben Abdellah); Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; National Research Institute of Astronomy and Geophysics; Selçuk University); Jorio, Anouar (University of Sidi Mohamed Ben Abdullah); Zorkani, Izeddine (University of Sidi Mohamed Ben Abdullah)","","2024","This paper presents a thorough numerical investigation focused on optimizing the efficiency of quantum-well intermediate-band solar cells (QW-IBSCs) based on III-nitride materials. The optimization strategy encompasses manipulating confinement potential energy, controlling hydrostatic pressure, adjusting compositions, and varying thickness. The built-in electric fields in (In, Ga)N alloys and heavy-hole levels are considered to enhance the results’ accuracy. The finite element method (FEM) and Python 3.8 are employed to numerically solve the Schrödinger equation within the effective mass theory framework. This study reveals that meticulous design can achieve a theoretical photovoltaic efficiency of quantum-well intermediate-band solar cells (QW-IBSCs) that surpasses the Shockley–Queisser limit. Moreover, reducing the thickness of the layers enhances the light-absorbing capacity and, therefore, contributes to efficiency improvement. Additionally, the shape of the confinement potential significantly influences the device’s performance. This work is critical for society, as it represents a significant advancement in sustainable energy solutions, holding the promise of enhancing both the efficiency and accessibility of solar power generation. Consequently, this research stands at the forefront of innovation, offering a tangible and impactful contribution toward a greener and more sustainable energy future.","IBSC; III-nitrides; efficiency; semi-graded potential; built-in field; thickness","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:8ce650e1-8ae9-46d2-a7d0-08ed058937da","http://resolver.tudelft.nl/uuid:8ce650e1-8ae9-46d2-a7d0-08ed058937da","Infrared temperature measurements on fast moving targets: A novel calibration approach","Sisti, Manuela (University of Oxford); Falsetti, C. (TU Delft Fluid Mechanics); Beard, Paul F. (University of Oxford)","","2024","In this study, an infrared system is developed for accurate measurements of surface temperature and heat transfer on fast moving targets. The system was designed for the Oxford Turbine Research Facility, a world-leading experimental facility delivering highly engine representative, scalable heat transfer results for aerospace research. Infrared thermography is employed to acquire temperature maps of high-pressure turbine blades, allowing assessment of surface thermal conditions including heat transfer coefficient, adiabatic wall temperature, Nusselt number, cooling effectiveness, and metal effectiveness. Achieving accurate infrared thermography measurements in rotating turbomachinery experimental conditions is arduous due to reflections from the surroundings, low emissivity of metallic parts, and motion blur resulting from high speed. To overcome these challenges, calibration procedures were developed against a traceable standard using a bespoke steady experimental facility. A method to determine the reflected temperature from surroundings was also validated. Correction for all measurement disturbances is demonstrated to within the accuracy of the primary measurement thermocouple. Finally, the developed calibration method was validated on a fast-moving rotating geometry demonstrating accurate correction for all measurement disturbances, without the need for an in situ calibration. A detailed uncertainty analysis for each calibration step is also presented.","High-speed target; Infrared thermography; Measurement advancements; Turbomachinery","en","journal article","","","","","","","","","","","Fluid Mechanics","","",""
"uuid:28bd0834-5fbc-4af4-b7fe-bb790c76bebb","http://resolver.tudelft.nl/uuid:28bd0834-5fbc-4af4-b7fe-bb790c76bebb","Transforming Zeolite Tuff and Cigarette Waste into Eco-Friendly Ceramic Bricks for Sustainable Construction","Ibrahim, Jamal Eldin F.M. (University of Miskolc); Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; Selçuk University; National Research Institute of Astronomy and Geophysics); Móricz, Ferenc (University of Miskolc); Kocserha, István (University of Miskolc)","","2024","The use of waste materials has gained attention as a sustainable approach in various industries. Cigarette waste, which is typically discarded as a non-recyclable material, poses a significant environmental challenge due to its toxicity and slow decomposition rate. However, by incorporating this waste into ceramic bricks, new approaches for waste management and resource utilization are explored. This research work provides a detailed evaluation of the possibility of utilizing natural zeolite tuff incorporated with cigarette waste to produce sustainable ceramic bricks. Uniform powders are produced by milling various combinations of zeolitic tuff and cigarette waste using a planetary ball mill. The substitution ratios ranged from 0% to 12% by weight of the zeolitic tuff, with increments of 2%. Ceramic discs were formed by dry pressing and then subjected to sintering at different heat treatment temperatures (950–1250 °C). The impact of the inclusion of cigarette waste on the microstructural and technical features of zeolite tuff-based ceramic bricks has been thoroughly investigated. The results of the experiments demonstrate that incorporating cigarette waste into the development of ceramic bricks leads to improved thermal insulation properties, with thermal conductivity ranging from 0.33 to 0.93 W/m·K. Additionally, these bricks exhibit a lighter weight in a range of 1.45 to 1.96 g/cm3. Although the inclusion of cigarette waste slightly reduces the compressive strength, with values ranging from 6.96 to 58.6 MPa, it still falls within the acceptable range specified by standards. The inclusion of cigarette waste into zeolite tuff is an innovative approach and sustainable practice for reducing energy consumption in buildings while simultaneously addressing the issue of waste disposal and pollution mitigation.","zeolite tuff; cigarette waste; dry compaction; thermal conductivity; compressive strength","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:99856753-3c9d-40a1-835b-a62fd1814d80","http://resolver.tudelft.nl/uuid:99856753-3c9d-40a1-835b-a62fd1814d80","Unit Tests for SQL","Spinellis, D. (TU Delft Software Engineering)","","2024","RDBUnit is a unit testing framework designed to test relational database queries, created out of a need for unit testing them while working on software analytics tasks. It is available as a Python package on PyPI and open-source software on GitHub. RDBUnit tests consist of three parts: setup, query, and expected result, with the input and output defined as table contents. The framework utilizes a domain-specific language (DSL) for test specifications, employs a simple parsing mechanism, and uses a class hierarchy for managing database differences. It evaluates test results through SQL code generated and handled by the database engine. RDBUnit supports SQLite, mySQL, and PostgreSQL, and is implemented as a command-line tool suitable for diverse operating systems and continuous integration environments. It has proved beneficial in identifying subtle bugs and facilitating a focused and efficient approach to experimenting with SQL queries, especially in big data scenarios, signifying the assurance provided by unit testing in SQL-centric tasks.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-30","","","Software Engineering","","",""
"uuid:23b99ab5-16c6-452c-887c-25c895a2ce54","http://resolver.tudelft.nl/uuid:23b99ab5-16c6-452c-887c-25c895a2ce54","Calculating S-Parameters and Uncertainties of Coaxial Air-Dielectric Transmission Lines","Ali Mubarak, Faisal (National Metrology Institute VSL); Mascolo, V. (TU Delft Electronics; European Railway Signaling Company); Hussain, Faizan (BCube (Pvt.) Ltd.); Rietveld, Gert (University of Twente)","","2024","Closed-form solutions are presented for calculating the reflection coefficient with corresponding uncertainty of metrology-grade 3.5 mm air-dielectric coaxial transmission lines for use as reference standards in S-parameter measurements up to 33 GHz. The closed-form solutions allow the calculation of the sensitivity coefficients required for calculating the propagation of uncertainties from the material and mechanical parameters of the transmission line toward its reflection coefficient uncertainties. The presented uncertainty framework evaluates every uncertainty source’s contribution, with uncertainties in reflection coefficient ranging from 1 · 10−3 up to 6 · 10−3. The approach is validated up to 33 GHz via a comprehensive measurement comparison of the reflection coefficient parameter for three 3.5 mm transmission lines with 16, 60, and 150 mm lengths. The values obtained by the proposed model agree well within the measurement uncertainties with known traceable calibration results of the transmission lines.","Coaxial transmission line; precision air line; S-parameters; vector network analyzer (VNA); VNA traceability","en","journal article","","","","","","","","2024-06-01","","","Electronics","","",""
"uuid:2a5f61d3-dc5a-4d86-ae1a-79a647d37036","http://resolver.tudelft.nl/uuid:2a5f61d3-dc5a-4d86-ae1a-79a647d37036","Doppler Spectrum Parameter Estimation for Weather Radar Echoes Using a Parametric Semianalytical Model","Dash, T.K. (TU Delft Microwave Sensing, Signals & Systems); Driessen, J.N. (TU Delft Microwave Sensing, Signals & Systems); Krasnov, O.A. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2024","The problem of the limited accuracy of precipitation Doppler spectrum moments estimation measured by fast azimuthally scanning weather radars is addressed. A novel approach for the Doppler moment estimation based on maximum likelihood estimation is proposed. A simplified semianalytical parametric model for the precipitation power spectral density (PSD) as a function of the velocity parameters of the scatterers and the finite radar observation time is derived for typical precipitation-like weather conditions. An inverse problem for estimating the Doppler moments from measurements of the PSD is formulated and solved. It is demonstrated that the variance of the estimation of the Doppler moments approaches the Cramer Rao Lower Bound (CRB) when the observation time approaches infinity. The performance of the proposed approach is compared with some classical techniques and another realization of the maximum likelihood approach based on simulated and experimental data. The results indicate the superiority of the proposed approach, especially for short observation time. Furthermore, a scanning strategy to accurately estimate the Doppler moments based on the true velocity dispersion of the scatterers is provided with the help of the proposed approach.","Doppler velocity retrieval; parametric spectrum estimation; radar signal processing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-30","","","Microwave Sensing, Signals & Systems","","",""
"uuid:01302e26-9428-4c67-acd5-7e5bbe77e7cb","http://resolver.tudelft.nl/uuid:01302e26-9428-4c67-acd5-7e5bbe77e7cb","Remittance dependence, support for taxation and quality of public services in Africa","Konte, Maty (World Bank); Ndubuisi, G.O. (TU Delft Economics of Technology and Innovation; Universiteit Maastricht)","","2024","We explore the heterogeneous effect of migrant remittances on citizens' support for taxation using a sample comprising 45,000 individuals from the Afrobarometer survey round 7 [2016–2018] across 34 African countries. To correct for unobserved heterogeneity, we endogenously identify latent classes/subtypes of individuals that share similar patterns on how their support for taxation is affected by their unobserved and observed characteristics, including remittance dependency. We apply the finite multilevel mixture of regressions approach, a supervised machine learning method to detect hidden classes in the data without imposing a priori assumptions on class membership. Our data are best generated by an econometric model with two classes/subtypes of individuals. In class 1 where more than two-thirds of the citizens belong, we do not find any significant evidence that remittance dependence affects support for taxation. However, in class 2 where the remaining one-third of the citizens belong, we find a significant negative effect of remittance dependence on support for taxation. Furthermore, we find that citizens who have a positive appraisal of the quality of the public service delivery have a lower probability of belonging to the class in which depending on remittance reduces support for taxation. The findings emphasize the need for efficient public services provisioning to counteract the adverse effect of remittances on tax morale.","Africa; public services; remittance; tax morale; taxation","en","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:68093421-4edf-4678-89e7-851520bdcbae","http://resolver.tudelft.nl/uuid:68093421-4edf-4678-89e7-851520bdcbae","Bi-sinusoidal light stimulation reveals an enhanced response power and reduced phase coherence at the visual cortex in migraine","van den Hoek, Thomas C. (Leiden University Medical Center); Perenboom, Matthijs J.L. (Leiden University Medical Center); Terwindt, Gisela M. (Leiden University Medical Center); Tolner, Else A. (Leiden University Medical Center); van de Ruit, M.L. (TU Delft Biomechatronics & Human-Machine Control; Leiden University Medical Center)","","2024","Introduction: Migraine is associated with enhanced visual sensitivity during and outside attacks. Processing of visual information is a highly non-linear process involving complex interactions across (sub)cortical networks. In this exploratory study, we combined electroencephalography with bi-sinusoidal light stimulation to assess non-linear features of visual processing in participants with migraine.
Methods: Twenty participants with migraine (10 with aura, 10 without aura) and ten non-headache controls were measured (outside attacks). Participants received bi-sinusoidal 13 + 23 Hz red light visual stimulation. Electroencephalography spectral power and multi-spectral phase coherence were compared between groups at the driving stimulation frequencies together with multiples and combinations of these frequencies (harmonic and intermodulation frequencies) caused by non-linearities.
Results: Only at the driving frequency of 13 Hz higher spectral power was found in migraine with aura participants compared with those with migraine without aura and controls. Differences in phase coherence were present for 2nd, 4th, and 5th-order non-linearities in those with migraine (migraine with and without aura) compared with controls. Bi-sinusoidal light stimulation revealed evident non-linearities in the brain’s electroencephalography response up to the 5th order with reduced phase coherence for higher order interactions in interictal participants with migraine.
Discussion: Insight into interictal non-linear visual processing may help understand brain dynamics underlying migraine attack susceptibility. Future research is needed to determine the clinical value of the results.","visual system; bi-sinusoidal; non-linear; EEG; steady-state response","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:686af1c3-dd00-4eff-9fbb-95b63c4ec268","http://resolver.tudelft.nl/uuid:686af1c3-dd00-4eff-9fbb-95b63c4ec268","An algae-derived partially renewable epoxy resin formulation for glass fibre-reinforced sustainable polymer composites","Apostolidis, Dimitrios; Dyer, W.E. (TU Delft Group Kumru); Dransfeld, C.A. (TU Delft Group Dransfeld); Kumru, B. (TU Delft Group Kumru)","","2024","Utilization of sustainable feedstocks to fabricate renewable thermosetting epoxy resins has been of great interest recently; however, their translation into composite structures and benchmark comparisons are poorly understood. Phloroglucinol is a phenolic molecule obtained from brown algae, and its epoxidized form is a high viscosity, high reactivity monomer. In this study, the potential of epoxidized phloroglucinol as a laminating resin was examined in comparison with a bisphenol A diglycidyl ether (BADGE) epoxy monomer employing the Epikure 04908 linear amine hardener system. Utilization of a reactive diluent for PHTE resin was necessary for room temperature laminating applications to reduce viscosity, and the thermomechanical properties of PHTE-based resins and composites are superior to those of BADGE systems.","","en","journal article","","","","","","","","","","","Group Kumru","","",""
"uuid:a9cf4a5f-082f-4ad0-9f33-46e333971773","http://resolver.tudelft.nl/uuid:a9cf4a5f-082f-4ad0-9f33-46e333971773","WInDI: a Warp-Induced Dust Instability in protoplanetary discs","Aly, H.A. (TU Delft Astrodynamics & Space Missions; University Heidelberg); Nealon, Rebecca (University of Warwick); Gonzalez, Jean-François (Université Claude Bernard Lyon 1)","","2024","We identify a new dust instability that occurs in warped discs. The instability is caused by the oscillatory gas motions induced by the warp in the bending wave regime. We first demonstrate the instability using a local 1D (vertical) toy model based on the warped shearing box coordinates and investigate the effects of the warp magnitude and dust Stokes number on the growth of the instability. We then run 3D smoothed particle hydrodynamics (SPH) simulations and show that the instability is manifested globally by producing unique dust structures that do not correspond to gas pressure maxima. The 1D and SPH analysis suggest that the instability grows on dynamical time-scales and hence is potentially significant for planet formation.","Planet formation; Fluid instabilities; Dust evolution; Warped discs; protoplanetary disks","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:9c9e7446-41fa-4ba4-84c3-03575873e5b0","http://resolver.tudelft.nl/uuid:9c9e7446-41fa-4ba4-84c3-03575873e5b0","Learning-Based Multi-UAV Flocking Control With Limited Visual Field and Instinctive Repulsion","Bai, Chengchao (Harbin Institute of Technology); Yan, Peng (Harbin Institute of Technology); Piao, Haiyin (Northwestern Polytechnical University; SADRI Institute); Pan, W. (TU Delft Robot Dynamics; The University of Manchester); Guo, Jifeng (Harbin Institute of Technology)","","2024","This article explores deep reinforcement learning (DRL) for the flocking control of unmanned aerial vehicle (UAV) swarms. The flocking control policy is trained using a centralized-learning-decentralized-execution (CTDE) paradigm, where a centralized critic network augmented with additional information about the entire UAV swarm is utilized to improve learning efficiency. Instead of learning inter-UAV collision avoidance capabilities, a repulsion function is encoded as an inner-UAV 'instinct.' In addition, the UAVs can obtain the states of other UAVs through onboard sensors in communication-denied environments, and the impact of varying visual fields on flocking control is analyzed. Through extensive simulations, it is shown that the proposed policy with the repulsion function and limited visual field has a success rate of 93.8% in training environments, 85.6% in environments with a high number of UAVs, 91.2% in environments with a high number of obstacles, and 82.2% in environments with dynamic obstacles. Furthermore, the results indicate that the proposed learning-based methods are more suitable than traditional methods in cluttered environments.","Autonomous aerial vehicles; Collision avoidance; Deep reinforcement learning (DRL); flocking control; inter-unmanned aerial vehicle (UAV) collision avoidance; limited visual field; Optimization; Reinforcement learning; Sensors; Training; UAVs; Visualization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-08","","","Robot Dynamics","","",""
"uuid:59b4d50b-6e74-48ca-8d84-fef1128b0ac2","http://resolver.tudelft.nl/uuid:59b4d50b-6e74-48ca-8d84-fef1128b0ac2","Near-Optimal Feedback Guidance for Low-Thrust Earth Orbit Transfers","Atmaca, D. (TU Delft Control & Simulation); Pontani, Mauro (Sapienza University of Rome)","","2024","This research describes a near-optimal feedback guidance, based on nonlinear orbit control, for low-thrust Earth orbit transfers. Lyapunov stability theory leads to proving that although several equilibria exist, only the desired operational conditions are associated with a stable equilibrium. This ensures quasi-global asymptotic convergence toward the desired final orbit. The dynamical model includes the effect of eclipsing on the available thrust, as well as all the relevant orbit perturbations, such as several harmonics of the geopotential, solar radiation pressure, aerodynamic drag, and gravitational attraction due to the Sun and the Moon. Near-optimality of the feedback guidance comes from careful selection of the control gains. They are identified in two steps. Step (a) is an extensive table search in which the gains are changed in a large interval. Step (b) uses a numerical optimization algorithm that refines the gains found in (a), while minimizing the time of flight. For the numerical simulations, two scenarios are defined: (i) nominal conditions and (ii) nonnominal conditions, which arise from orbit injection errors and stochastic failures of the propulsion system. For case (i), gain optimization leads to obtaining numerical results very close to those corresponding to a known optimal orbit transfer with eclipse arcs. Moreover, for case (ii), extensive Monte Carlo simulations demonstrate that the nonlinear feedback guidance at hand is effective in driving a spacecraft from a low Earth orbit to a geostationary orbit, also in the presence of nonnominal flight conditions.","Earth Orbit Transfers; Low-thrust Space Propulsion; Feedback Guidance and Control","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:e3e91723-a52e-4217-b581-cadc96de5992","http://resolver.tudelft.nl/uuid:e3e91723-a52e-4217-b581-cadc96de5992","Drying of silty soil treated with superabsorbent hydrogels: Retention behaviour and cracking","Liaudat, J. (TU Delft Geo-engineering); Muraro, S. (TU Delft Geo-engineering)","","2024","Desiccation cracks in soils pose risks to the serviceability and safety of geotechnical infrastructure worldwide. This paper aims to investigate the potential of superabsorbent hydrogels (SAH) as innovative soil amendment to mitigate soil drying effects and cracking. Laboratory tests were conducted on an initially saturated silty soil treated with different types and dosages of SAH. Desiccation cracking tests, shrinkage tests, and water retention tests were performed to analyse the cracking process, evaporation rate, and retention properties. The tests were integrated with micro-CT scan analyses to observe changes in soil fabric due to the SAH addition. The results indicate that SAH particles serve as internal water reservoirs, extending the normal shrinkage stage and maintaining higher suctions without significant desaturation, in comparison to untreated soil. The addition of SAH reduces the evaporation rate, particularly at a dosage of 0.1%. The progression of cracking occurs at suctions below the air entry value, and the inclusion of SAH reduces the rate of crack development. These findings highlight the need for additional research on SAH as a promising soil treatment for geotechnical applications.","Soil; Desiccation cracking; Superabsorbent hydrogels","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:46f7dd57-fc54-415c-8793-a6696014963f","http://resolver.tudelft.nl/uuid:46f7dd57-fc54-415c-8793-a6696014963f","Full-length single-molecule protein fingerprinting","Filius, M. (TU Delft BN/Chirlmin Joo Lab; Kavli institute of nanoscience Delft); van Wee, R.G. (TU Delft BN/Chirlmin Joo Lab; Kavli institute of nanoscience Delft); de Lannoy, C.V. (TU Delft BN/Chirlmin Joo Lab; Wageningen University & Research; Kavli institute of nanoscience Delft); Westerlaken, I. (TU Delft BT/Industriele Microbiologie; Kavli institute of nanoscience Delft); Li, Zeshi (Kavli institute of nanoscience Delft); Kim, S.H. (TU Delft BN/Chirlmin Joo Lab; Ewha Womans University; Kavli institute of nanoscience Delft); de Agrela Pinto, C. (TU Delft BN/Arjen Jakobi Lab; Kavli institute of nanoscience Delft); Wu, Yunfei (Universiteit Utrecht); Boons, Geert-Jan (Universiteit Utrecht); Pabst, Martin (TU Delft BT/Environmental Biotechnology); de Ridder, Dick (Wageningen University & Research); Joo, C. (TU Delft BN/Chirlmin Joo Lab; Kavli institute of nanoscience Delft)","","2024","Proteins are the primary functional actors of the cell. While proteoform diversity is known to be highly biologically relevant, current protein analysis methods are of limited use for distinguishing proteoforms. Mass spectrometric methods, in particular, often provide only ambiguous information on post-translational modification sites, and sequences of co-existing modifications may not be resolved. Here we demonstrate fluorescence resonance energy transfer (FRET)-based single-molecule protein fingerprinting to map the location of individual amino acids and post-translational modifications within single full-length protein molecules. Our data show that both intrinsically disordered proteins and folded globular proteins can be fingerprinted with a subnanometer resolution, achieved by probing the amino acids one by one using single-molecule FRET via DNA exchange. This capability was demonstrated through the analysis of alpha-synuclein, an intrinsically disordered protein, by accurately quantifying isoforms in mixtures using a machine learning classifier, and by determining the locations of two O-GlcNAc moieties. Furthermore, we demonstrate fingerprinting of the globular proteins Bcl-2-like protein 1, procalcitonin and S100A9. We anticipate that our ability to perform proteoform identification with the ultimate sensitivity may unlock exciting new venues in proteomics research and biomarker-based diagnosis","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","","BN/Chirlmin Joo Lab","","",""
"uuid:3ede2611-c689-43b2-87ce-ddea17e64367","http://resolver.tudelft.nl/uuid:3ede2611-c689-43b2-87ce-ddea17e64367","Dams are fragile: The frenzy and legacy of modern infrastructures along the Klamath and Allegheny Rivers","Iuorio, Luca (TU Delft Environmental Technology and Design)","","2024","This article discusses the socio-technical process of dam construction in the United States from the early 20th century to the present. It examines how marginal places have been the object of industrial modifications through the inventiveness and entrepreneurship of social groups and local individuals who, supported by federal measures, have built power and cultural relations on territorial scales for decades. Historical reconstructions describe the generative processes of places through dam construction to demonstrate that the contemporary built environment is a product of natural and human-made relationships. Events associated with modern dam constructions and, more recently, with demolitions along the Klamath and Allegheny Rivers are critically discussed to illustrate how environmental resources relate to and interact with technology, human practices, and places. The article suggests that dams have been engines for industrial growth and technological devices to reframe the interdependencies between people and the environment. Dams supply people with water and energy and protect them and their property from droughts, floods, and fires. However, after a century of operations, these structures are reaching the ends of their lifecycles. In light of dam removal trends in the United States, the article presents a historical narrative on the societal legacy of dams. The intent is to share a broad understanding of the current technical and political debates on whether to demolish or maintain US dams in the future.","Modernity; Dams; Technology; Removal","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-11","","","Environmental Technology and Design","","",""
"uuid:d631ea6e-254a-4b40-906e-1687c076a1d9","http://resolver.tudelft.nl/uuid:d631ea6e-254a-4b40-906e-1687c076a1d9","Multifaceted Functionalities of Bridge-Type DC Reactor Fault Current Limiters: An Experimentally Validated Investigation","Behdani, B. (TU Delft Intelligent Electrical Power Grids); Moghim, Ali (Islamic Azad University); Mousavi, Sheyda (Zanjan University); Soltanfar, Mostafa (University of Kashan); Hojabri, Mojgan (Lucerne University of Applied Sciences and Arts)","","2024","With the ongoing expansion and interconnection of electrical power systems, alongside the rapid proliferation of renewable distributed generations (DGs), the short-circuit extent in the power grid is experiencing a significant rise. Fault current limiters (FCLs) have been introduced in an effort to address this issue, ensuring the robustness and sustainability of expensive power system components when confronted with short-circuit faults. Among the various types of FCLs, bridge-type DC reactor fault current limiters (BDCR-FCLs) have emerged as one of the most promising options. While BDCR-FCLs have shown excellent properties in limiting harmful short-circuit currents, they are also advantageous in other respects. This paper investigates the supplementary functionalities of BDCR-FCLs as a multifaceted device towards the enhancement of the quality of supplied energy in terms of total harmonic distortion (THD) reduction, power factor (PF) correction, peak current reduction for nonlinear loads, and soft load variation effects, as well as their capability to limit fault current. To this aim, the capabilities of BDCR-FCLs have been studied through various simulated case studies in PSCAD/EMTDC software V5.0.1, in addition to experimental tests considering an AC microgrid connected to a DC system. The experimental and simulation investigations verify the superior multifaceted functionalities BDCR-FCLs introduce in addition to their excellent fault current-limiting capabilities. The results show that PF improved by 6.7% and 7%, respectively, in simulation and experimental tests. Furthermore, the current THD decreased by 20% and 18% in the simulation and experiment, respectively.","AC/DC microgrid; bridge-type DC reactor; fault current limiter","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:e9f814fb-1317-4820-b823-5d0a07ae3e4b","http://resolver.tudelft.nl/uuid:e9f814fb-1317-4820-b823-5d0a07ae3e4b","Can ChatGPT be used to predict citation counts, readership, and social media interaction? An exploration among 2222 scientific abstracts","de Winter, J.C.F. (TU Delft Human-Robot Interaction)","","2024","This study explores the potential of ChatGPT, a large language model, in scientometrics by assessing its ability to predict citation counts, Mendeley readers, and social media engagement. In this study, 2222 abstracts from PLOS ONE articles published during the initial months of 2022 were analyzed using ChatGPT-4, which used a set of 60 criteria to assess each abstract. Using a principal component analysis, three components were identified: Quality and Reliability, Accessibility and Understandability, and Novelty and Engagement. The Accessibility and Understandability of the abstracts correlated with higher Mendeley readership, while Novelty and Engagement and Accessibility and Understandability were linked to citation counts (Dimensions, Scopus, Google Scholar) and social media attention. Quality and Reliability showed minimal correlation with citation and altmetrics outcomes. Finally, it was found that the predictive correlations of ChatGPT-based assessments surpassed traditional readability metrics. The findings highlight the potential of large language models in scientometrics and possibly pave the way for AI-assisted peer review.","Citation prediction; Scientometrics; Altmetrics; ChatGPT; GPT-4; Scientific abstracts; Artificial intelligence","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:c2c6c678-708a-49e9-b38d-9253bd5ced5a","http://resolver.tudelft.nl/uuid:c2c6c678-708a-49e9-b38d-9253bd5ced5a","Autonomic responses to pressure sensitivity of head, face and neck: Heart rate and skin conductance","Yang, W. (Harbin Ergineering University Yantai Research Institute; School of Design Hunan University); Chen, Tingshu (School of Design Hunan University); He, Renke (School of Design Hunan University); Goossens, R.H.M. (TU Delft Human-Centered Design; TU Delft Applied Ergonomics and Design); Huysmans, T. (TU Delft Applied Ergonomics and Design)","","2024","Subjective scales are frequently used in the design process of head-related products to assess pressure discomfort. Nevertheless, some users lack fundamental cognitive and motor abilities (e.g., paralyzed patients). Therefore, it is vital to find non-verbal measurements of pressure discomfort and pressure pain. This study gathered the autonomic response data (heart rate and skin conductance) of 30 landmarks in head, neck and face from 31 participants experiencing pressure discomfort and pressure pain. The results indicate that pressure stimulation can change heart rate (HR) and skin conductance (SC). SC can be more useful in assessing pressure discomfort than HR for specific landmarks, and SC also possesses a faster arousal rate than HR. Moreover, HR decreased in response to pressure stimulation, while SC decreased followed by an increase. In comparisons between genders, the subjective pressure discomfort threshold (PDT) and pressure pain threshold (PPT) of women were lower than those of men, but men's autonomic responses (HR and SC) were more intense. Furthermore, there was no linear correlation between subjective pressure thresholds (PDT and PPT) and autonomic response intensity. This study has significant implications for resolving ergonomic issues (pressure discomfort and pain) associated with head-related products.","Pressure discomfort; Head-related products; Physiological signals","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-26","","Human-Centered Design","Applied Ergonomics and Design","","",""
"uuid:e512b2fc-cf41-42a7-b288-19b7cc05ebc2","http://resolver.tudelft.nl/uuid:e512b2fc-cf41-42a7-b288-19b7cc05ebc2","Mobile atoms power up logical qubits","Terhal, B.M. (TU Delft Discrete Mathematics and Optimization)","","2024","Small groups of mobile neutral atoms have been manipulated with extraordinary control to form ‘logical’ quantum bits. These qubits can perform quantum computations more reliably than can individual atoms.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-29","","","Discrete Mathematics and Optimization","","",""
"uuid:c7da2dfd-9583-4fbd-ad8d-ef512be91c2f","http://resolver.tudelft.nl/uuid:c7da2dfd-9583-4fbd-ad8d-ef512be91c2f","Nudging human drivers via implicit communication by automated vehicles: Empirical evidence and computational cognitive modeling","Zgonnikov, A. (TU Delft Human-Robot Interaction); Beckers, N.W.M. (TU Delft Human-Robot Interaction); George, A. (TU Delft Human-Robot Interaction); Abbink, D.A. (TU Delft Human-Centred Artificial Intelligence; TU Delft Human-Robot Interaction); Jonker, C.M. (TU Delft Interactive Intelligence)","","2024","Understanding behavior of human drivers in interactions with automated vehicles (AV) can aid the development of future AVs. Existing investigations of such behavior have predominantly focused on situations in which an AV a priori needs to take action because the human has the right of way. However, future AVs might need to proactively manage interactions even if they have the right of way over humans, e.g., a human driver taking a left turn in front of the approaching AV. Yet it remains unclear how AVs could behave in such interactions and how humans would react to them. To address this issue, here we investigated behavior of human drivers (N = 19) when interacting with an oncoming AV during unprotected left turns in a driving simulator experiment. We measured the outcomes (Go or Stay) and timing of participants’ decisions when interacting with an AV which performed subtle longitudinal nudging maneuvers, e.g. briefly decelerating and then accelerating back to its original speed. We found that participants’ behavior was sensitive to deceleration nudges but not acceleration nudges. We compared the obtained data to predictions of several variants of a drift-diffusion model of human decision making. The most parsimonious model that captured the data hypothesized noisy integration of dynamic information on time-to-arrival and distance to a fixed decision boundary, with an initial accumulation bias towards the Go decision. Our model not only accounts for the observed behavior but can also flexibly generate predictions of human responses to arbitrary longitudinal AV maneuvers, and can be used for both informing future studies of human behavior and incorporating insights from such studies into computational frameworks for AV interaction planning.","Driver behavior; Automated vehicles; Implicit communication; Decision making; Cognitive modeling; Evidence accumulation","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:3b3ecab9-52a7-494d-b4bd-da74c126e39a","http://resolver.tudelft.nl/uuid:3b3ecab9-52a7-494d-b4bd-da74c126e39a","Microplastics van een textielwasserij en de verwijdering in een RWZI","Bertelkamp, Cheryl (Water Research Australia; Waternet); Pieke, Eelco (Het Waterlaboratorium); Struker, Andre (Waternet); Traast, Olivia (Waternet); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet)","","2024","Hoewel de rwzi’s Amsterdam-West en Horstermeer meer dan 99,8% van de microplastics verwijderen, blijft het absolute aantal dat in het milieu terechtkomt aanzienlijk. Tevens bleek dat één industriële textielwasserij verantwoordelijk is voor 13% van het totale aantal microplastics dat de rioolwaterzuivering binnenkomt.","","nl","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:c408e496-937f-44b2-a76f-a6cc4d905986","http://resolver.tudelft.nl/uuid:c408e496-937f-44b2-a76f-a6cc4d905986","Size Effect in the Compression of 3D Polymerized Micro-Structures","Li, Jiayu (Dalian University of Technology); Accardo, A. (TU Delft Micro and Nano Engineering); Liu, Shutian (Dalian University of Technology)","","2024","Micro/nanoscale additive manufacturing provides a powerful tool for advanced materials and structures with complex and precise features. For instance, the feature resolution of two-photon polymerization (2PP) can reach 200 nm. At this scale, materials properties can change, and the influence of the size effect cannot be ignored. Therefore, it is necessary to assess changes in the material mechanical properties considering size effects. In this work, several micrometric polymeric specimens are printed via 2PP, and their mechanical properties are assessed using compression tests. Detailed printing and testing procedures and the effects of parameter settings are provided. The experimental results show that the changes in the microstructures size have a direct effect on Young s modulus. In particular, a large surface-volume ratio results in a higher Young s modulus. In other words, the smaller the structure size, the higher the stiffness. The reported findings play a significant role in the development of fabrication strategies for polymeric microstructures where high stiffness accuracy is fundamental.","mechanical properties of materials; micro/nanoscale additive manufacturing; Size effect; two-photon polymerization resin; Young s modulus","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-25","","","Micro and Nano Engineering","","",""
"uuid:271509df-a9db-4edd-b36d-268220064dee","http://resolver.tudelft.nl/uuid:271509df-a9db-4edd-b36d-268220064dee","Influence of geometrical levels of detail and inaccurate material optical properties on daylight simulation","Forouzandeh Shahraki, N. (TU Delft Environmental & Climate Design); Brembilla, E. (TU Delft Environmental & Climate Design); Nan, L. (TU Delft Urban Data Science); Stoter, J.E. (TU Delft Urban Data Science); Jakubiec, Alstan (University of Toronto)","","2024","Optimizing the built environment via simulations of building models hinges on standardizing data acquisition. In this research, we put forward distinct levels of detail for geometry and material inputs, specifically tailored for indoor daylight applications. We primarily focus on understanding the uncertainties arising from imprecise estimations of material optical properties and incomplete geometrical inputs in climate-based indoor daylight simulations. Employing a Monte Carlo approach, we analyzed six office and teaching spaces, creating 20 variations for each by altering geometrical completeness and material accuracy. The technique of excluding non-permanent objects below certain sizes in four graduated steps was used to derive and test the impact of various geometrical levels of detail. Our findings reveal that different levels of geometrical completeness lead to errors ranging from 1.08% to 18.05%. Additionally, a twofold increase in simulation time was noted when geometrical detail was enhanced relative to the most basic model. Errors stemming from imprecise definitions of material optical properties showed a normal distribution. The uncertainty in simulation outcomes showed a linear rise with increasing input material uncertainty, lying between 10% to 30%, depending on space configurations. We observed heightened uncertainty near openings, attributed to window transmittance effects. The research underscores that daylight predictions are markedly more sensitive to transmittance uncertainties than to those in reflectance, regardless of the window-to-floor ratio. These insights may help to guide a more efficient data acquisition process of indoor spaces for daylight simulations.","Digital twin; Digitization; Interior; LOD; Retrofit","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:213602b7-9f32-496b-b262-586560ff46a6","http://resolver.tudelft.nl/uuid:213602b7-9f32-496b-b262-586560ff46a6","A market for trading forecasts: A wagering mechanism","Raja, A.A. (TU Delft Team Sergio Grammatico); Pinson, Pierre (Imperial College London; Technical University of Denmark); Kazempour, Jalal (Technical University of Denmark); Grammatico, S. (TU Delft Team Sergio Grammatico; TU Delft Team Bart De Schutter)","","2024","In many areas of industry and society, including energy, healthcare, and logistics, agents collect vast amounts of data that are deemed proprietary. These data owners extract predictive information of varying quality and relevance from data depending on quantity, inherent information content, and their own technical expertise. Aggregating these data and heterogeneous predictive skills, which are distributed in terms of ownership, can result in a higher collective value for a prediction task. In this paper, a platform for improving predictions via the implicit pooling of private information in return for possible remuneration is envisioned. Specifically, a wagering-based forecast elicitation market platform has been designed, in which a buyer intending to improve their forecasts posts a prediction task, and sellers respond to it with their forecast reports and wagers. This market delivers an aggregated forecast to the buyer (pre-event) and allocates a payoff to the sellers (post-event) for their contribution. A payoff mechanism is proposed and it is proven that it satisfies several desirable economic properties, including those specific to electronic platforms. Furthermore, the properties of the forecast aggregation operator and scoring rules are discussed in order to emphasize their effect on the sellers’ payoff. Finally, numerical examples are provided in order to illustrate the structure and properties of the proposed market platform.","Elicitation of probabilities; Mechanism design; Predictive distribution; Scoring rules; Value of forecast; Wagering mechanism","en","journal article","","","","","","","","","","","Team Sergio Grammatico","","",""
"uuid:f8b4514f-c7f7-4a95-b0f4-e0f8d25da653","http://resolver.tudelft.nl/uuid:f8b4514f-c7f7-4a95-b0f4-e0f8d25da653","Changing Urban Temperature and Rainfall Patterns in Jakarta: A Comprehensive Historical Analysis","Maheng, M.D. (TU Delft Hydraulic Structures and Flood Risk; IHE Delft Institute for Water Education; Universitas Muhammadiyah Kendari); Bhattacharya, Biswa (IHE Delft Institute for Water Education); Zevenbergen, C. (TU Delft Urban Design; IHE Delft Institute for Water Education); Pathirana, Assela (IHE Delft Institute for Water Education)","","2024","The increasing global population and in-country migration have a significant impact on global land use land cover (LULC) change, which reduces green spaces and increases built-up areas altering the near-surface radiation and energy budgets, as well as the hydrological cycle over an urban area. The LULC change can lead to a combination of hazards such as increasing urban temperatures and intensified rainfall, ultimately resulting in increased flooding. This present study aims to discuss the changing pattern in urban temperature, daily rainfall, and flooding in Jakarta. The daily urban temperature and daily rainfall were based on a 30-year dataset from three meteorological stations of Jakarta in the period between 1987 and 2013. The changing trend was analyzed by using the Mann–Kendall and the Pettitt’s tests. The relation between daily rainfall and flooding was analyzed using a 30-year flooding dataset collected from several sources including the international disaster database, research, and newspaper. The results show that there was an increasing trend in the daily temperature and the daily rainfall in Jakarta. The annual maximum daily temperature showed that an increasing trend started in 2001 at the KMY station, and in 1996 at the SHIA station. In general, the highest annual maximum daily temperature was about 37 °C, while the lowest was about 33 °C. Moreover, the maximum daily rainfall started increasing from 2001. An increase in the maximum daily rainfall was observed mainly in January and February, which coincided with the flood events recorded in these months in Jakarta. This indicates that Jakarta is not only vulnerable to high urban temperature but also to flooding. While these two hazards occur in distinct timeframes, there is potential for their convergence in the same geographical area. This study provides new and essential insights to enhance urban resilience and climate adaptation, advocating a holistic approach required to tackle these combined hazards.","urbanization; land use land cover change; urban temperature; daily rainfall; flooding; Jakarta","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:b18dad0e-c2eb-4602-b3f6-e3101fd43f6e","http://resolver.tudelft.nl/uuid:b18dad0e-c2eb-4602-b3f6-e3101fd43f6e","The potential of collaborative housing to tackle the social deficit of housing: The Chilean case","Cortés Urra, V.A. (TU Delft Real Estate Management); Ersoy, A. (TU Delft Urban Development Management); Czischke, D.K. (TU Delft Real Estate Management); Gruis, V.H. (TU Delft Real Estate Management)","","2024","In recent decades, various programs have been developed as part of Chile’s housing policies to respond to the housing deficit. Most policies have so far focused on addressing the quantitative, qualitative, and urban deficits, neglecting the social dimension of housing. At the same time, the concept of collaborative housing has been referred to as a possible alternative to respond to these social challenges by fostering social cohesion, collaboration, and mutual aid. This article explores how collaborative housing can tackle the social deficit of housing. Here, we conceptualise this deficit as ‘the lack of non-physical or intangible social characteristics given among residents of a project, such as trust, social cohesion, and a sense of community, necessary for housing to be considered adequate.’ We examined the relation between these two concepts by developing a theoretical and empirical study. The first consisted of a theoretical framework and a review of literature on collaborative housing’s response to the social deficit of housing. Second, we interviewed stakeholders from two study cases. We found that residents in both collaborative housing cases perceive an improvement in their social interactions, sociability, trust, and sense of community in their current homes compared to previous homes. Therefore, we conclude that collaborative housing presents opportunities to tackle the social deficit of housing.","Collaborative housing; Social deficit of housing; Study cases; Housing policy; Chile; Maestranza; Pequeños Condominios","en","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:a97d8a06-8661-4755-8548-350a7736ef6b","http://resolver.tudelft.nl/uuid:a97d8a06-8661-4755-8548-350a7736ef6b","Process competences to incorporate in higher education curricula","Nijhuis, S. A. (University of Twente); Endedijk, M. D. (University of Twente); Kessels, W. F. M. (University of Twente); Vrijhoef, R. (TU Delft Design & Construction Management)","","2024","This study reports on a survey on project managers' priorities. The survey used ISO 21500 as a scaffold to ask various respondents, like junior, experienced, and senior project managers, project sponsors, and students, to share their perceptions on the priorities for junior project managers. The respondent groups shared similar perceptions. Furthermore, project type and sector had little effect on junior project managers' priorities. Experienced and senior project managers shared their own priorities as well. The perceptions of priorities for junior, experienced, and senior project managers were mostly alike. However, experienced and senior project managers' priorities seemed slightly more affected by project type and sector. A session with experts in project management and teaching project management highlighted that the results for junior project managers could provide accents for introducing project management to students in higher education, provided the entire playing field of project management is also introduced.","Competences; Experience; Higher education; Processes; Project types; Respondent types","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:aeed12d4-3bde-4d73-a825-258aa615b5b5","http://resolver.tudelft.nl/uuid:aeed12d4-3bde-4d73-a825-258aa615b5b5","Approaching nearly zero energy of PV direct air conditioners by integrating building design, load flexibility and PCM","Li, Sihui (Changsha University of Science and Technology); Peng, Jinqing (Hunan University); Wang, Meng (Changsha University of Science and Technology); Wang, Kai (Hunan University); Li, Houpei (Hunan University); Lu, C.J. (TU Delft Environmental & Climate Design)","","2024","The energy matching of PV driven air conditioners is influenced by building load demand and PV generation. Merely increasing energy performance of building or PV capacity separately may improve the energy balance on a large time resolution, the real-time energy mismatching problem is still serious. In this study, a coordinated optimization method of PV capacity, building design, and load flexibility is proposed for improving the real-time energy matching of PVAC system. Then, a methodology integrating data mining method (XG Boost) and parametric simulation was developed to identify the determinant parameters of PV system and building design, exploring feature importance and correlations. The results of XG Boost indicate that the PV capacity, shape factor, and SHGC are the most critical factors. Finally, based on the optimized building design, the PCM layer was applied to improve the real time energy matching. To achieve a goal of 90 % ZEP, the PCM capacity can be decreased by 50.4 % and 62.8 % in Guangzhou and Shanghai in the optimized building. Moreover, the PV capacity can be reduced by 23 % in Guangzhou. The findings of this study provide practical guidance for designing PVAC system coupling with building design and energy storage devices.","PV direct driven air conditioner (PVAC); Zero energy buildings; Load flexibility; Building design","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-15","","","Environmental & Climate Design","","",""
"uuid:047fa48d-de15-43ef-b96c-31291d989b42","http://resolver.tudelft.nl/uuid:047fa48d-de15-43ef-b96c-31291d989b42","Unsupervised Learning for Public Transport Delay Pattern Analysis","Cheng, Y. (TU Delft Transport and Planning); Krishnakumari, P.K. (TU Delft Transport and Planning)","","2024","To analyze inherent and diverse patterns within line-based public transport daily delay occurrences, we introduce a data-driven exploratory analysis focused on the spatial-temporal distribution of these delays. Our approach relies on the utilization of the image pattern recognition technique and k-means clustering algorithm. We extract daily punctuality information from the automatic vehicle location data for a singular public transport route. This information is then translated into a visual representation through aggregated daily delay distribution profile images, offering insights into the spatial and temporal distribution of delays. The delay distribution finds expression in the arrangement of pixels within these profile images. The essence of these images is further distilled through image pattern recognition using the neural network architecture of ResNet50. Employing the k-means algorithm, we cluster these images based on their similarity, revealing five distinct daily delay patterns. The analysis of these patterns offers insight into their unique characteristics, yielding noteworthy outcomes. These findings hold the potential to provide public transport operators with an enriched comprehension of the dynamics of delays occurring on a specific line.","analytic data visualization; automatic vehicle location; data and data science; performance measures; public transportation; quality; visualization in transportation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-04","","Transport and Planning","Transport and Planning","","",""
"uuid:4729359e-3ef8-4a88-a8fe-88a820b54dc0","http://resolver.tudelft.nl/uuid:4729359e-3ef8-4a88-a8fe-88a820b54dc0","CasPEDIA Database: a functional classification system for class 2 CRISPR-Cas enzymes","Adler, Benjamin A. (University of California); Trinidad, Marena I. (University of California); Bellieny-Rabelo, Daniel (University of California); Zhang, Elaine (University of California); Karp, Hannah M. (University of California); Skopintsev, Petr (University of California); Thornton, Brittney W. (University of California); Yoon, Peter H. (University of California); Brouns, S.J.J. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft)","","2024","CRISPR-Cas enzymes enable RNA-guided bacterial immunity and are widely used for biotechnological applications including genome editing. In particular, the Class 2 CRISPR-associated enzymes (Cas9, Cas12 and Cas13 families), have been deployed for numerous research, clinical and agricultural applications. However, the immense genetic and biochemical diversity of these proteins in the public domain poses a barrier for researchers seeking to leverage their activities. We present CasPEDIA (http://caspedia.org), the Cas Protein Effector Database of Information and Assessment, a curated encyclopedia that integrates enzymatic classification for hundreds of different Cas enzymes across 27 phylogenetic groups spanning the Cas9, Cas12 and Cas13 families, as well as evolutionarily related IscB and TnpB proteins. All enzymes in CasPEDIA were annotated with a standard workflow based on their primary nuclease activity, target requirements and guide-RNA design constraints. Our functional classification scheme, CasID, is described alongside current phylogenetic classification, allowing users to search related orthologs by enzymatic function and sequence similarity. CasPEDIA is a comprehensive data portal that summarizes and contextualizes enzymatic properties of widely used Cas enzymes, equipping users with valuable resources to foster biotechnological development. CasPEDIA complements phylogenetic Cas nomenclature and enables researchers to leverage the multi-faceted nucleic-acid targeting rules of diverse Class 2 Cas enzymes.","","en","journal article","","","","","","","","","","","BN/Stan Brouns Lab","","",""
"uuid:06f5905d-a350-43fd-af14-1d8422474a26","http://resolver.tudelft.nl/uuid:06f5905d-a350-43fd-af14-1d8422474a26","Housing inequalities: The space-time geography of housing policies","Nelson, R.J. (TU Delft Policy Analysis); Warnier, Martijn (TU Delft Multi Actor Systems); Verma, T. (TU Delft Policy Analysis)","","2024","Changes in policy over the last thirty years, particularly within advanced economies, have allowed for increased financialization, deregulation and globalisation of housing. What differentiates real-estate from other financial markets is that it possesses a salient socio-spatial geography. Housing inequalities are often framed as an outcome of macro-economic structural changes or as a product of local socio-spatial conditions, but the interactions between the two are less understood. To address this gap, we develop a descriptive methodology to connect the analysis of national housing policy trends in the Netherlands with local socio-spatial trajectories of neighbourhood change using nearly 20 years of historical data across a range of socio-spatial dimensions from the City of Rotterdam. Whilst nationally there has been an increasing policy preference for home ownership associated with a narrative of social upliftment, the spatial-temporal analysis reveals that the wealthiest neighbourhoods have benefitted significantly more from capital gains and increased rates of home ownership over time. Through descriptive analysis, the results highlight the role of divergent neighbourhood characteristics and path dependencies, suggesting that housing policies could benefit from the adoption of a more localised approach. Overall, the study sheds light on housing inequalities by integrating macro socio-economic factors with micro-level neighbourhood conditions.","Home ownership; Housing inequalities; Policy; Rotterdam; Spatial-temporal analysis","en","journal article","","","","","","","","","","Multi Actor Systems","Policy Analysis","","",""
"uuid:e18134a3-5359-4e10-b49b-303085dcbad7","http://resolver.tudelft.nl/uuid:e18134a3-5359-4e10-b49b-303085dcbad7","Interference Mitigation for Automotive FMCW Radar Based on Contrastive Learning With Dilated Convolution","Wang, J. (TU Delft Microwave Sensing, Signals & Systems); Li, Runlong (Beijing University of Posts and Telecommunications); Zhang, Xinqi (Beijing University of Posts and Telecommunications); He, Yuan (Beijing University of Posts and Telecommunications)","","2024","As one of the crucial sensors for environment sensing, frequency modulated continuous wave (FMCW) radars are widely used in modern vehicles for driving assistance/autonomous driving. However, the limited frequency bandwidth and the increasing number of equipped radar sensors would inevitably cause mutual interference, degrading target detection and producing safety hazards. In this paper, a deep learning-based interference mitigation (IM) approach is proposed for FMCW radars by using the dilated convolution for network construction and a designated contrast learning strategy for training. The dilated convolution enlarges the receptive field of the neural network, and the designated contrastive learning strategy enforces to distinguish better between interferences and desired signals. The results of numerical simulation and experimental data processing show that the dilated convolution-based IM network, compared to the traditional convolution-based ones, can achieve a higher Signal-to-Interference-plus-Noise-Ratio (SINR) and target detection rate. Moreover, the designated contrastive learning strategy enables a better and more stable IM performance without increasing the complexity of the network, which can facilitate faster signal processing.","Automotive radar; interference mitigation; deep learning; dilated convolution; contrastive learning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-31","","","Microwave Sensing, Signals & Systems","","",""
"uuid:cecaa425-5484-40fa-8c27-a130fa014fe2","http://resolver.tudelft.nl/uuid:cecaa425-5484-40fa-8c27-a130fa014fe2","Cryogenic H-Bridge Converter for HTS Degaussing Application","Wikkerink, D.P. (TU Delft High Voltage Technology Group); Gagic, Mladen (TU Delft ESP LAB; TU Delft DC systems, Energy conversion & Storage); Mor, A. R. (Universitat Politécnica de Valencia); Polinder, H. (TU Delft Transport Engineering and Logistics); Ross, Robert (TU Delft Ship Hydromechanics and Structures; Institute for Science and Development)","","2024","A degaussing system can be used to reduce the detectability of the magnetic signature of a ship. Commonly, a degaussing system consists of a set of onboard copper coils that produce a magnetic field to compensate for the magnetic signature. High-temperature superconductive degaussing coils are considered an alternative to copper degaussing coils because of a reduction in energy losses, weight, volume, and costs. The losses of a high-temperature superconductor (HTS) degaussing system can be reduced even further by powering it with a cryocooled converter with parallel mosfets. A low-duty cycle and smaller current leads can be used. These solutions eliminate most of the power source losses. This article investigates such a cryocooled converter. The effect of the low switching frequency on the converter performance is tested. A prototype that can operate at cryogenic temperatures was built. The converter powers an HTS coil. It was found that a load current of 50 A can be achieved with a duty cycle of just 0.025 at an input voltage of 3.5 V while still meeting the requirement of a maximum current ripple of 0.5%. At a switching frequency higher than 100 Hz, the converter's performance deteriorates. Also, oscillations were observed in the circuit. This is a problem due to the low blocking voltage of the mosfets. The parasitic inductances in the circuit have a high impact on the performance because the resistance in the circuit is very low.","Converter; cryocooled electronics; cryostat; degaussing; high temperature superconductors; magnetic signature; parallel MOSFETs; ReBCO","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-30","","","High Voltage Technology Group","","",""
"uuid:29d02263-0699-4716-a460-ad53a87a1ab5","http://resolver.tudelft.nl/uuid:29d02263-0699-4716-a460-ad53a87a1ab5","Reliability updating for lateral failure of historic quay walls","Hemel, M. (TU Delft Hydraulic Engineering; TU Delft Amsterdam Institute for Advanced Metropolitan Solutions); Peters, D.J. (TU Delft Hydraulic Structures and Flood Risk; Royal HaskoningDHV); Schweckendiek, T. (TU Delft Hydraulic Structures and Flood Risk; Deltares); Jonkman, Sebastiaan N. (TU Delft Hydraulic Structures and Flood Risk)","","2024","The historic canal walls of Amsterdam, stretching 200 km in total, are constructed as a masonry wall on a timber deck supported by vertical timber piles. Understanding the resistance against lateral failure of these quays has been challenging due to uncertainties in their working principles, geometry, soil and structural properties. This paper proposes a Bayesian approach to include evidence from past loading situations and corresponding deformations into the reliability assessment. This approach enables refinement of the reliability predictions and parameter distribution uncertainties, leading to a more accurate prediction of the resistance against the lateral failure of historic quay wall. Depending on the type of evidence, an a-priori reliability prediction for a quay wall that fails to meet safety standards can be updated to any of the three consequence classes outlined in NEN8700. In a case study, a quay wall with an a-priori reliability of β = 1.5 has been increased to β = 3.2 by including evidence of an extreme survived load of 10 kN/m2 that resulted in displacements of less than 4 mm. This is a decrease in failure probability by two orders of magnitude, showing the potential impact of using observational information in combination with Bayesian updating.","bacterial deterioration; Bayesian approach; historic quay walls; lateral loaded timber piles; Reliability updating","en","journal article","","","","","","","","","","Hydraulic Engineering","Hydraulic Structures and Flood Risk","","",""
"uuid:a379dd7e-0cf9-4f42-98ed-abbed8cd8a67","http://resolver.tudelft.nl/uuid:a379dd7e-0cf9-4f42-98ed-abbed8cd8a67","Enhanced isobutanol recovery from fermentation broth for sustainable biofuels production","Jankovic, T.J. (TU Delft BT/Bioprocess Engineering); Straathof, Adrie J.J. (TU Delft BT/Bioprocess Engineering); Kiss, A.A. (TU Delft ChemE/Product and Process Engineering)","","2024","Isobutanol is a highly attractive renewable alternative to conventional fossil fuels, with superior fuel properties as compared to ethanol and 1-butanol. Even though the isobutanol production by fermentation has significant potential, complex downstream processing is limiting the wide-spreading of this technology. Accordingly, this original research significantly contributes to the advancement in industrial biofuel production by developing two eco-efficient downstream processes for the industrial-scale recovery of isobutanol (production capacity 50 ktonneIBUT/y), from a highly dilute fermentation broth (>98 wt% water). Vacuum distillation and a novel hybrid combination of gas stripping and vacuum evaporation were coupled with atmospheric azeotropic distillation to recover over 99.9 % of isobutanol as a high-purity product (100 wt%). Advanced heat pumping and heat integration techniques were further implemented to allow the complete electrification of these recovery processes. Furthermore, implementation of these techniques significantly decreased total annual costs (0.131–0.161 $/kgIBUT), reduced energy requirements (0.488–0.807 kWeh/kgIBUT) and lowered CO2 emissions (0.303–0.449 kgCO2/kgIBUT), resulting in highly competitive purification processes. In addition to efficiently recovering isobutanol, the designed downstream processes provide the potential to enhance the fermentation process by recycling all present microorganisms and reducing water demand. Therefore, the results of this original research substantially contribute to the advancement in industrial biotechnology and the wide-spreading of biofuel production.","Biofuels; Dividing-wall column; Downstream processing; Gas stripping with vacuum evaporation; Industrial biotechnology; Isobutanol","en","journal article","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:c58a673f-5b77-4a7a-a516-328415bceb7f","http://resolver.tudelft.nl/uuid:c58a673f-5b77-4a7a-a516-328415bceb7f","Impact of the COVID-19 pandemic on the in-hospital diagnostic pathway of breast and colorectal cancer in the Netherlands: A population-based study","Wolfkamp, Wouter (University of Twente; Netherlands Comprehensive Cancer Organisation (IKNL)); Meijer, Joyce (University of Twente; Netherlands Comprehensive Cancer Organisation (IKNL)); van Hoeve, Jolanda C. (University of Twente; Netherlands Comprehensive Cancer Organisation (IKNL)); van Erning, Felice (Netherlands Comprehensive Cancer Organisation (IKNL); Catharina Cancer Institute, Eindhoven); de Geus-Oei, L.F. (TU Delft RST/Radiation, Science and Technology; Leiden University Medical Center; University of Twente); de Hingh, Ignace (Universiteit Maastricht; Netherlands Comprehensive Cancer Organisation (IKNL); Catharina Cancer Institute, Eindhoven); Veltman, Jeroen (University of Twente; ZGT, Almelo); Siesling, Sabine (University of Twente; Netherlands Comprehensive Cancer Organisation (IKNL))","","2024","Background: In the Netherlands, the COVID-19 pandemic resulted in a temporary halt of population screening for cancer and limited hospital capacity for non-COVID care. We aimed to investigate the impact of the pandemic on the in-hospital diagnostic pathway of breast cancer (BC) and colorectal cancer (CRC). Methods: 71,159 BC and 48,900 CRC patients were selected from the Netherlands Cancer Registry. Patients, diagnosed between January 2020 and July 2021, were divided into six periods and compared to the average of patients diagnosed in the same periods in 2017–2019. Diagnostic procedures performed were analysed using logistic regression. Lead time of the diagnostic pathway was analysed using Cox regression. Analyses were stratified for cancer type and corrected for age, sex (only CRC), stage and region. Results: For BC, less mammograms were performed during the first recovery period in 2020. More PET-CTs were performed during the first peak, first recovery and third peak period. For CRC, less ultrasounds and more CT scans and MRIs were performed during the first peak. Lead time decreased the most during the first peak by 2 days (BC) and 8 days (CRC). Significantly fewer patients, mainly in lower stages, were diagnosed with BC (−47%) and CRC (−36%) during the first peak. Conclusion: Significant impact of the COVID-19 pandemic was found on the diagnostic pathway, mainly during the first peak. In 2021, care returned to the same standards as before the pandemic. Long-term effects on patient outcomes are not known yet and will be the subject of future research.","breast cancer; colorectal cancer; COVID-19; diagnosis; diagnostic pathway; diagnostic procedures; lead time; population based","en","journal article","","","","","","","","","","RST/Radiation, Science and Technology","","","",""
"uuid:79f41801-69d9-4359-9b1e-4ad53efe9948","http://resolver.tudelft.nl/uuid:79f41801-69d9-4359-9b1e-4ad53efe9948","Author Correction: Origin of fast charging in hard carbon anodes (Nature Energy, (2024), 10.1038/s41560-023-01414-5)","Vasileiadis, A. (TU Delft RST/Storage of Electrochemical Energy); Zhou, Q. (TU Delft Design Conceptualization and Communication; Chinese Academy of Sciences); Lu, Yaxiang (Chinese Academy of Sciences); Li, Y. (TU Delft Aerospace Engineering/International Space University; Chinese Academy of Sciences; University of Chinese Academy of Sciences); Ombrini, P. (TU Delft RST/Storage of Electrochemical Energy); Chen, Z. (TU Delft Electronic Instrumentation; Chinese Academy of Sciences; University of Chinese Academy of Sciences); van der Jagt, R. (TU Delft RST/Storage of Electrochemical Energy); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2024","Correction to: Nature Energy, published online 3 January 2024. In the version of this article initially published, lithium (green, “Li”) and sodium (purple, “Na”) color key labels in Fig. 3a,d,e were interchanged and are now amended in the HTML and PDF versions of the article.","","en","journal article","","","","","","Corrigendum voor DOI 10.1038/s41560-023-01414-5","","2024-07-03","","Aerospace Engineering/International Space University","RST/Storage of Electrochemical Energy","","",""
"uuid:7d7185c8-44e4-4e25-951d-a7d30a3dc642","http://resolver.tudelft.nl/uuid:7d7185c8-44e4-4e25-951d-a7d30a3dc642","An automated slide scanning system for membrane filter imaging in diagnosis of urogenital schistosomiasis","Oyibo, P.O. (TU Delft Team Michel Verhaegen); Agbana, T.E. (TU Delft Team Michel Verhaegen); van Lieshout, Lisette (Leiden University Medical Center); Oyibo, Wellington (University of Lagos); Diehl, J.C. (TU Delft Design for Sustainability); Vdovin, Gleb (TU Delft Team Michel Verhaegen)","","2024","Traditionally, automated slide scanning involves capturing a rectangular grid of field-of-view (FoV) images which can be stitched together to create whole slide images, while the autofocusing algorithm captures a focal stack of images
to determine the best in-focus image. However, these methods can be timeconsuming due to the need for X-, Y- and Z-axis movements of the digital microscope while capturing multiple FoV images. In this paper, we propose a solution to minimise these redundancies by presenting an optimal procedure for automated slide scanning of circular membrane filters on a glass slide. We achieve this by following an optimal path in the sample plane, ensuring that only FoVs overlapping the filter membrane are captured. To capture the best infocus FoV image, we utilise a hill-climbing approach that tracks the peak of the mean of Gaussian gradient of the captured FoVs images along the Z-axis. We implemented this procedure to optimise the efficiency of the Schistoscope, an automated digital microscope developed to diagnose urogenital schistosomiasis by imaging Schistosoma haematobium eggs on 13 or 25 mm membrane filters. Our improved method reduces the automated slide scanning time by 63.18%and 72.52% for the respective filter sizes. This advancement greatly supportsthe practicality of the Schistoscope in large-scale schistosomiasis monitoringand evaluation programs in endemic regions. This will save time, resources andalso accelerate generation of data that is critical in achieving the targets for schistosomiasis elimination.","autofocusing; automated slide scanning; digital microscope; schistosomiasis; whole slide imaging This","en","journal article","","","","","","","","","","","Team Michel Verhaegen","","",""
"uuid:5501c983-6dd4-46a7-81aa-be9839655272","http://resolver.tudelft.nl/uuid:5501c983-6dd4-46a7-81aa-be9839655272","High-Confidence Data-Driven Ambiguity Sets for Time-Varying Linear Systems","Boskos, D. (TU Delft Team Dimitris Boskos); Cortes, Jorge (University of California); Martinez, Sonia (University of California)","","2024","This paper builds Wasserstein ambiguity sets for the unknown probability distribution of dynamic random variables leveraging noisy partial-state observations. The constructed ambiguity sets contain the true distribution of the data with quantifiable probability and can be exploited to formulate robust stochastic optimization problems with out-of-sample guarantees. We assume the random variable evolves in discrete time under uncertain initial conditions and dynamics, and that noisy partial measurements are available. All random elements have unknown probability distributions and we make inferences about the distribution of the state vector using several output samples from multiple realizations of the process. To this end, we leverage an observer to estimate the state of each independent realization and exploit the outcome to construct the ambiguity sets. We illustrate our results in an economic dispatch problem involving distributed energy resources over which the scheduler has no direct control.","Aerodynamics; Distributional uncertainty; estimation; linear system observers; Noise measurement; Optimization; Power system dynamics; Probability distribution; Random variables; stochastic systems; Uncertainty","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-08","","","Team Dimitris Boskos","","",""
"uuid:3e973f93-e4c6-4067-8e43-ca7931028de1","http://resolver.tudelft.nl/uuid:3e973f93-e4c6-4067-8e43-ca7931028de1","Distributed Adaptive Synchronization in Euler Lagrange Networks with Uncertain Interconnections","Tao, T. (TU Delft Team Bart De Schutter); Roy, Spandan (International Institute of Information Technology); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University)","","2024","In this work we propose a new practical synchronization protocol for multiple Euler Lagrange (EL) systems without structural linear-in-the-parameters (LIP) knowledge of the uncertainty and where the agents can be interconnected before control design by unknown state-dependent interconnection terms. This setting is meant to overcome two standard a priori assumptions in the literature concerning uncertainty with LIP structure and absence of interaction among agents before designing the synchronization protocol. To overcome these assumptions, we propose an adaptive distributed control mechanism having the purpose of estimating the coefficients of the resulting state-dependent uncertainty structure.","Adaptive synchronization; Adaptive systems; bounded interconnections; Control design; Euler Lagrange dynamics; Friction; heterogeneous networks; Lips; Standards; Synchronization; Uncertainty","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-10","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:268a3b5b-fc9b-418f-948d-16530e661d33","http://resolver.tudelft.nl/uuid:268a3b5b-fc9b-418f-948d-16530e661d33","A Pitch-Matched High-Frame-Rate Ultrasound Imaging ASIC for Catheter-Based 3-D Probes","Hopf, Y.M. (TU Delft Electronic Instrumentation); Simoes dos Santos, D. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verweij group); Ossenkoppele, Boudewine W. (Student TU Delft); Noothout, E.C. (TU Delft ImPhys/Verweij group); Chang, Z.Y. (TU Delft Electronic Instrumentation); Chen, Chao (Student TU Delft); Vos, H.J. (TU Delft ImPhys/Verweij group; Erasmus MC); Verweij, M.D. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verweij group; Erasmus MC); de Jong, N. (TU Delft ImPhys/De Jong group; Erasmus MC); Pertijs, M.A.P. (TU Delft Electronic Instrumentation)","","2024","This article presents an application-specific integrated circuit (ASIC) for catheter-based 3-D ultrasound imaging probes. The pitch-matched design implements a comprehensive architecture with high-voltage (HV) transmitters, analog front ends, hybrid beamforming analog-To-digital converters (ADCs), and data transmission to the imaging system. To reduce the number of cables in the catheter while maintaining a small footprint per element, transmission (TX) beamforming is realized on the chip with a combination of a shift register (SR) and a row/column (R/C) approach. To explore an additional cable-count reduction in the receiver part of the design, a channel with a combination of time-division multiplexing (TDM), subarray beamforming, and multi-level pulse amplitude modulation (PAM) data transmission is also included. This achieves an 18-fold cable-count reduction and minimizes the power consumption in the catheter by a load modulation (LM) cable driver. It is further explored how common-mode interference can limit beamforming gain and a strategy to reduce its impact with local regulators is discussed. The chip was fabricated in TSMC 0.18-m HV BCD technology and a 2-D PZT transducer matrix of 16 × 18 elements with a pitch of 160 m and a center frequency of 6 MHz was manufactured on the chip. The system can generate all required TX patterns at up to 30 V, provides quick settling after the TX phase, and has an reception (RX) power consumption of only 1.12 mW/element. The functionality and operation of up to 1000 volumes/s have been demonstrated in electrical and acoustic imaging experiments.","3-D ultrasound; analog front end (AFE); common-mode interference suppression; high frame rate; intracardiac echocardiography (ICE); load-modulation datalink; transmit beamformer; transmit/receive (T/R) switching; ultrasound application-specific integrated circuit (ASIC)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-30","","","Electronic Instrumentation","","",""
"uuid:accfe314-6066-4eb5-ad14-04fad3e0f168","http://resolver.tudelft.nl/uuid:accfe314-6066-4eb5-ad14-04fad3e0f168","The Slow and The Furious? Performance Antipattern Detection in Cyber-Physical Systems","van Dinten, I. (TU Delft Software Engineering); Derakhshanfar, Pouria (JetBrains Research); Panichella, A. (TU Delft Software Engineering); Zaidman, A.E. (TU Delft Software Technology)","","2024","Cyber-Physical Systems (CPSs) have gained traction in recent years. A major non-functional quality of CPS is performance since it affects both usability and security. This critical quality attribute depends on the specialized hardware, simulation engines, and environmental factors that characterize the system under analysis. While a large body of research exists on performance issues in general, studies focusing on performance-related issues for CPSs are scarce. The goal of this paper is to build a taxonomy of performance issues in CPSs. To this aim, we present two empirical studies aimed at categorizing common performance issues (Study I) and helping developers detect them (Study II). In the first study, we examined commit messages and code changes in the history of 14 GitHub-hosted open-source CPS projects to identify commits that report and fix self-admitted performance issues. We manually analyzed 2699 commits, labeled them, and grouped the reported performance issues into antipatterns. We detected instances of three previously reported Software Performance Antipatterns (SPAs) for CPSs. Importantly, we also identified new SPAs for CPSs not described earlier in the literature. Furthermore, most performance issues identified in this study fall into two new antipattern categories: Hard Coded Fine Tuning (399 of 646) and Magical Waiting Number (150 of 646). In the second study, we introduce static analysis techniques for automatically detecting these two new antipatterns; we implemented them in a tool called AP-Spotter. We analyzed 9 open-source CPS projects not utilized to build the SPAs taxonomy to benchmark AP-Spotter. Our results show that AP-Spotter achieves 62.04% precision in detecting the antipatterns","Software performance antipatterns; Cyber-Physical Systems; Antipattern Detection; Software Maintenance; Empirical Software Engineering; Static Analysis","en","journal article","","","","","","","","","","Software Technology","Software Engineering","","",""
"uuid:57935769-3408-47fa-9347-19e1393b9cbb","http://resolver.tudelft.nl/uuid:57935769-3408-47fa-9347-19e1393b9cbb","The SATA-Drive: A Modular Robotic Drive for Reusable Steerable Laparoscopic Instruments","Lenssen, T.A. (TU Delft Medical Instruments & Bio-Inspired Technology); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Horeman, T. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2024","Introduction: Most robotic instruments and their drives still risk residual contamination due to cleaning complexities, rendering them limited reusable, and tend to have larger instruments than the 5mm laparoscopic standard. The novel steerable laparoscopic SATA-LRS uses modularity for cleanability and exchangeability. The SATA-Drive: a robotic driver designed for the actuation of a 3mm scaled version of the SATA-LRS is presented. Methods: A modular, expandable gear mechanism was designed to efficiently rotate and translate the instrument shafts. The 3mm SATA-LRS is controlled as proof. An user-experiment is conducted to test the (de)coupling of the instrument to and from the drive. Results: A video shows the SATA-Driver successfully articulating, rotating and grasping the end-effector. End-effector dis- and reassembly is possible in 36 (13 SD) seconds, while complete instrument coupling requires 28(8 SD) seconds and de-coupling requires 16 (7 SD) seconds. Discussion: A non-surgical robot arm, mounted with the SATA-drive has effectively been transformed into a system similar to robot assisted laparoscopy. The modularity of the drive's segmented build can easily be adapted and could benefit the adoption of future instruments. The SATA-LRS's cleanability features and its end-effector changes without disassembly are expected to benefit medical robotics. The 3mm SATA-LRS shows the instrument's potential for mini-laparoscopy.","Driver; End effectors; Gears; Grasping; Instrument; Instruments; Laparoscopes; Laparoscopy; RAS; SATA; Shafts; Surgery","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:3ac4d7bc-3fcf-4d1c-89ac-731b7ab40b76","http://resolver.tudelft.nl/uuid:3ac4d7bc-3fcf-4d1c-89ac-731b7ab40b76","Collective action lessons for the energy transition: learning from social movements of the past","Pearce, B.J. (TU Delft Policy Analysis); Djinlev, Vanja (ETH Zürich)","","2024","To accelerate the energy transition and achieve the needed large-scale transformation to address climate change, different barriers including lock-ins and path dependencies, incumbent power structures and changing individual and collective norms, values, and behaviors around energy need to be addressed. In the face of these challenges, citizens are beginning to play a bigger role in the transformation of the energy system. For example, they are becoming prosumers (energy consumers who also produce energy) and are increasingly engaging in collective energy actions, including taking part in energy communities. In the latter instance, collective investment and consumption decisions are made together, and norms, understanding and behavior towards energy are shaped collectively. To better understand the roles that individuals and groups can play in confronting the challenges of the energy transition, we make use of and adapt Ostrom’s socio-ecological systems (SES) framework to analyze past examples of collective actions and to delve deeper into the causes and catalysts of collective actions. We show how this framework can be used to analyze collective actions across time and contexts, focusing on connecting individual and group behavior with changing societal norms and the corresponding barriers to change. By applying the adapted SES framework as a lens to analyze historical examples of collective actions that have resulted in a widespread transformation in social norms and structures, we identify similarities and differences between these case studies and the current energy transition. Confronting incumbents and the challenge of changing social norms and behaviors are among the similarities, but the specific tactics used to limit incumbents’ powers and the actions taken to influence the norms and behaviors differ. Lastly, we determine the key actors that influenced social and behavioral change, as one of the main outcomes of the analysis.","","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:c9638625-6c82-4180-9c4f-929d311ebf11","http://resolver.tudelft.nl/uuid:c9638625-6c82-4180-9c4f-929d311ebf11","Examining the Interplay Between National Strategies and Value Change in the Battle Against COVID-19: An Agent-Based Modelling Inquiry","Ale Ebrahim Dehkordi, Molood (TU Delft Energie and Industrie); Melnyk, A. (TU Delft Ethics & Philosophy of Technology); Ghorbani, Amineh (TU Delft System Engineering); Herder, P.M. (TU Delft Energy Technology)","","2024","Social disruptions caused by the COVID-19 pandemic challenged existing institutional arrangements that govern the society. During that time, nation-states had to prevent the collapse of society and rapidly establish new institutions and adapt existing ones to address public health, job security, and freedom-of-movement concerns. At the same time, institutional developments are explicitly or implicitly related to the cultural and moral values relevant to societal well-being. Values hold a significant role in governing society during crises, guiding states' institutional response to unforeseen challenges. However, values themselves are not static: research has shown that values may change rapidly during crises. This paper studies the relationship between value change and institutional change in times of crisis using agent-based modelling and machine learning techniques. In our model, we represent countries as agents who define institutional strategies to control disease spread and subsequently protect the well-being of their citizens. Institutional change and value change are modelled as two independent processes. Yet, the model confirms the seemingly trivial inverse correlation between them: when the value of openness-to-change increases in a society, the institutional strategies also become less strict. Conversely, when conservatism increases, the strategies become stricter on average. However, there is no direct causal relationship between the two changes: being open to change does not necessarily make a government select more relaxed rules, but this correlation is rather an emergent consequence of being more flexible in changing rules, whether the new ones are stricter or more relaxed.","Institutional modelling; values; value change; Institutional evolution; crisis","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:ce41e552-b804-4420-a59d-6fc661984561","http://resolver.tudelft.nl/uuid:ce41e552-b804-4420-a59d-6fc661984561","Long-term stability, noise, and temperature sensitivity of modular porous-pot electrodes designed for geophysical and geotechnical applications, and details of their construction","Comeau, M.J. (TU Delft Applied Geophysics and Petrophysics); Ueding, Stefan (University of Münster); Becken, Michael (University of Münster)","","2024","Electrodes are used to measure a potential difference between two points. In geophysical and geotechnical applications they are often in the form of non-polarizable porous-pot electrodes. Here we describe the design, construction, and testing of modular and refillable electrodes, which facilitates repair as the electrodes degrade over time. We use a chemical composition based on a metal in contact with an over-saturated electrolyte that consists of a salt of that metal and an auxiliary salt. We compare characteristics when the electrolyte is stabilized in a clay or not, and with various states of ceramic porous plugs and two types of wood plugs. Next, we assess the long-term stability (more than 1 month), noise (periods of 1 s to 1 hr), and temperature sensitivity of different types of electrodes. Electrodes with an electrolyte and clay formula showed lower noise (0.2–0.4 μV at periods of 1–120 s), greater long-term stability (0.05–0.5 mV/month of smooth drift), and greater consistency between samples measured than those with no clay (noise and drift values up to four times larger). The effects from different porous plugs were negligible, with similar results for ceramic and wood types. The temperature sensitivity of the electric potential was assessed, from −3 to 35°C. All electrodes showed a temperature sensitivity of about −30 μV/°C. This is considered very low compared to some commercially available electrodes. Finally, continuous long-term laboratory and field measurements of the potential highlight the application of the new electrodes.","","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:6c62e06a-8a1b-4000-923b-c01948515e28","http://resolver.tudelft.nl/uuid:6c62e06a-8a1b-4000-923b-c01948515e28","Identifying the most important facilitators of open research data sharing and reuse in Epidemiology: A mixed-methods study","Zuiderwijk-van Eijk, A.M.G. (TU Delft Information and Communication Technology); Türk, Berkay Onur (Eindhoven University of Technology)","","2024","To understand how open research data sharing and reuse can be further improved in the field of Epidemiology, this study explores the facilitating role that infrastructural and institutional arrangements play in this research discipline. It addresses two research questions: 1) What influence do infrastructural and institutional arrangements have on open research data sharing and reuse practices in the field of Epidemiology? And 2) how could infrastructural and institutional instruments used in Epidemiology potentially be useful to other research disciplines? First, based on a systematic literature review, a conceptual framework of infrastructural and institutional instruments for open research data facilitation is developed. Second, the conceptual framework is applied in interviews with Epidemiology researchers. The interviews show that two infrastructural and institutional instruments have a very high influence on open research data sharing and reuse practices in the field of Epidemiology, namely (a) access to a powerful search engine that meets open data search needs and (b) support by data stewards and data managers. Third, infrastructural and institutional instruments with a medium, high, or very high influence were discussed in a research workshop involving data stewards and research data officers from different research fields. This workshop suggests that none of the influential instruments identified in the interviews are specific to Epidemiology. Some of our findings thus seem to apply to multiple other disciplines. This study contributes to Science by identifying field-specific facilitators and challenges for open research data in Epidemiology, while at the same time revealing that none of the identified influential infrastructural and institutional instruments were specific to this field. Practically, this implies that open data infrastructure developers, policymakers, and research funding organizations may apply certain infrastructural and institutional arrangements to multiple research disciplines to facilitate and enhance open research data sharing and reuse.","","en","journal article","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:a3c3ff9c-45bb-428e-8511-07b84c9f8523","http://resolver.tudelft.nl/uuid:a3c3ff9c-45bb-428e-8511-07b84c9f8523","How should an AI trust its human teammates? Exploring possible cues of artificial trust","Centeio Jorge, C. (TU Delft Interactive Intelligence); Jonker, C.M. (TU Delft Interactive Intelligence); Tielman, M.L. (TU Delft Interactive Intelligence)","","2024","In teams composed of humans, we use trust in others to make decisions, such as what to do next, who to help and who to ask for help. When a team member is artificial, they should also be able to assess whether a human teammate is trustworthy for a certain task. We see trustworthiness as the combination of (1) whether someone will do a task and (2) whether they can do it. With building beliefs in trustworthiness as an ultimate goal, we explore which internal factors (krypta) of the human may play a role (e.g., ability, benevolence, and integrity) in determining trustworthiness, according to existing literature. Furthermore, we investigate which observable metrics (manifesta) an agent may take into account as cues for the human teammate’s krypta in an online 2D grid-world experiment (n = 54). Results suggest that cues of ability, benevolence and integrity influence trustworthiness. However, we observed that trustworthiness is mainly influenced by human’s playing strategy and cost-benefit analysis, which deserves further investigation. This is a first step towards building informed beliefs of human trustworthiness in human-AI teamwork.","","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:d35b1817-8ebf-472a-845b-38723c668ed9","http://resolver.tudelft.nl/uuid:d35b1817-8ebf-472a-845b-38723c668ed9","Hoe klaar ben je als gemeente voor zero-emissie stadslogistiek?: Naar een stadslogistiek maturity model voor gemeenten","van Duin, Ron (TU Delft Transport and Logistics; Rotterdam University of Applied Sciences); Anand, N.R. (Rotterdam University of Applied Sciences); Motloung, T. (Breda University of Applied Sciences); Quak, H.J. (Breda University of Applied Sciences; TNO)","","2024","Het aantal activiteiten in de stadslogistiek groeit snel, waardoor de uitstoot toeneemt en de bereikbaarheid en veiligheid in steden afnemen. Volgend op de Green Deal Zero-emissie stadslogistiek (ZES) is daarom in het Klimaatakkoord afgesproken om tot zero emissie stadslogistiek te komen. Concreet betekent dit, dat 30 tot 40 van de grootste gemeenten in Nederland in 2025 een zero emissie zone voor stadslogistiek moeten invoeren. Veel gemeenten zijn druk met de voorbereidingen van de zones, maar in de praktijk ontbreekt het hen aan de mogelijkheid om hun voortgang te kunnen zien en deze te ijken met andere gemeenten. Om hier inzicht in te verkrijgen is het stadslogistiek maturity model ontwikkeld. We hebben dit model in 2022 getest voor drie gemeenten, namelijk de gemeente Deventer, Zwolle en Nijmegen","Maturity model; zero emission; municipalities; Policies","nl","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:c5b333c8-3824-4b11-95ba-13fa75f0d344","http://resolver.tudelft.nl/uuid:c5b333c8-3824-4b11-95ba-13fa75f0d344","Asgard/NOTT: L-band nulling interferometry at the VLTI. II. Warm optical design and injection system","Garreau, Germain (Katholieke Universiteit Leuven); Bigioli, Azzurra (Katholieke Universiteit Leuven); Laugier, Romain (Katholieke Universiteit Leuven); Raskin, Gert (Katholieke Universiteit Leuven); Morren, Johan (Katholieke Universiteit Leuven); Berger, Jean Philippe (Université Grenoble Alpes); Dandumont, Colin (Université de Liège); Goldsmith, Harry-Dean Kenchington (Australian National University); Loicq, J.J.D. (TU Delft Spaceborne Instrumentation)","","2024","Asgard/NOTT (previously Hi-5) is a European Research Council (ERC)-funded project hosted at KU Leuven and a new visitor instrument for the Very Large Telescope Interferometer (VLTI). Its primary goal is to image the snow line region around young stars using nulling interferometry in the L′-band (3.5 to 4.0) μm, where the contrast between exoplanets and their host stars is advantageous. The breakthrough is the use of a photonic beam combiner, which only recently allowed the required theoretical raw contrast of 10−3 in this spectral range. Nulling interferometry observations of exoplanets also require a high degree of balancing between the four pupils of the VLTI in terms of intensity, phase, and polarization. The injection into the beam combiner and the requirements of nulling interferometry are driving the design of the warm optics and the injection system. The optical design up to the beam combiner is presented. It offers a technical solution to efficiently couple the light from the VLTI into the beam combiner. During the coupling, the objective is to limit throughput losses to 5% of the best expected efficiency for the injection. To achieve this, a list of different loss sources is considered with their respective impact on the injection efficiency. Solutions are also proposed to meet the requirements of beam balancing for intensity, phase, and polarization. The different properties of the design are listed, including the optics used, their alignment and tolerances, and their impact on the instrumental performances in terms of throughput and null depth. The performance evaluation gives an expected throughput loss <6.4% of the best efficiency for the injection and a null depth of ∼2.10−3, mainly from optical path delay errors outside the scope of this work","","en","journal article","","","","","","","","","","","Spaceborne Instrumentation","","",""
"uuid:a081f421-da03-4c20-b567-6e271f9d0dd6","http://resolver.tudelft.nl/uuid:a081f421-da03-4c20-b567-6e271f9d0dd6","Perspectives on Assessing the Flexibility of Hospitals for Crisis Mode Operations: Lessons From the COVID-19 Pandemic in the Netherlands","van Heel, M.E. (TU Delft Design & Construction Management; Erasmus MC); Pretelt, Manuela (Royal HaskoningDHV); van Gelder, M.H. (TU Delft History, Form & Aesthetics; Wiegerinck, Arnhem); van Oel, C.J. (TU Delft Design & Construction Management)","","2024","Background: The COVID-19 pandemic placed healthcare design at the heart of the crisis. Hospitals faced challenges such as rapidly increasing their intensive care unit capacity, enabling physical distancing measures, quickly converting to telehealth and telework practices, and above all, keeping patients and staff safe. Improving flexibility in hospital facility design and adaptability of hospital operations to function in “crisis mode” can be seen as ways of future-proofing for pandemics. In a design brief, flexibility is typically mentioned as an important target. Meanwhile, robustness of technical infrastructure is called for, and standardization at unit level with single-occupancy inpatient accommodation may be considered a way to enhance flexibility and adaptability in dealing with a surge in infectious patients. Aim: To future-proof facility design with pandemic preparedness and resilience in mind, this study evaluated what kinds of interventions were taken in Dutch hospital facilities and what perspectives need to be considered when hospitals operate in crisis mode. Methods: We have collected data from facility and estate professionals from 30 Dutch hospitals. Using a practice-based approach, in-depth interviewing helped uncover and compare successful operational strategies and design elements that provided the flexibility needed in the early stages of the recent crisis. Results: As we looked at existing facilities and alterations made to allow hospitals to operate during the COVID-19 pandemic, we discovered that staff availability and adaptability were deemed crucial. Conclusion: We add the perspective of staff as an essential factor to be considered when future-proofing hospital facility desigr crisis mode operation.","flexibility; hospital design; pandemic resilience; robustness; staff adaptability","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:fe50979a-2553-453b-8cf7-c9757453ff19","http://resolver.tudelft.nl/uuid:fe50979a-2553-453b-8cf7-c9757453ff19","Engineering ssRNA tile filaments for (dis)assembly and membrane binding","De Franceschi, N. (TU Delft BN/Cees Dekker Lab); Hoogenberg, B. (TU Delft BN/Cees Dekker Lab); Katan, A.J. (TU Delft QN/Afdelingsbureau); Dekker, C. (TU Delft BN/Cees Dekker Lab)","","2024","Cytoskeletal protein filaments such as actin and microtubules confer mechanical support to cells and facilitate many cellular functions such as motility and division. Recent years have witnessed the development of a variety of molecular scaffolds that mimic such filaments. Indeed, filaments that are programmable and compatible with biological systems may prove useful in studying or substituting such proteins. Here, we explore the use of ssRNA tiles to build and modify filaments in vitro. We engineer a number of functionalities that are crucial to the function of natural proteins filaments into the ssRNA tiles, including the abilities to assemble or disassemble filaments, to tune the filament stiffness, to induce membrane binding, and to bind proteins. This work paves the way for building dynamic cytoskeleton-mimicking systems made out of rationally designed ssRNA tiles that can be transcribed in natural or synthetic cells.","","en","journal article","","","","","","","","","","","BN/Cees Dekker Lab","","",""
"uuid:806323be-e2aa-4bc6-86b1-ca2df873d75f","http://resolver.tudelft.nl/uuid:806323be-e2aa-4bc6-86b1-ca2df873d75f","Fast contrail estimation with OpenSky data","Sun, Junzi (TU Delft Control & Simulation); Roosenbrand, E.J. (TU Delft Control & Simulation)","","2024","Contrails, formed under specific atmospheric conditions, have a noteworthy role in heat-trapping within the atmosphere. This study bridges the gap between theoretical contrail formation models and real-world data by employing flight information from OpenSky and meteorological data from the European Centre for Medium-Range Weather Forecasts. We introduce a computationally efficient contrail estimation module, leveraging a client-server architecture that allows on-demand weather data interpolation via an API, significantly reducing computational load and enhancing performance locally. The study also benchmarks the entire pipeline, from data acquisition to contrail prediction, offering a robust tool for future air traffic studies requiring interpolated weather data.","Open-data; Emissions; Air Traffic","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:a024a3ff-7f37-4eb1-aaae-e71a3955ebc9","http://resolver.tudelft.nl/uuid:a024a3ff-7f37-4eb1-aaae-e71a3955ebc9","Compilation of an open-source traffic and CO2 emissions dataset for commercial aviation","Salgas, A. (Université de Toulouse); Sun, Junzi (TU Delft Control & Simulation); Delbecq, Scott (Université de Toulouse); Planès, Thomas (Université de Toulouse); Lafforgue, Gilles (Université de Toulouse)","","2024","The study of the environmental transition of the aviation sector calls for prospective traffic scenarios. Detailed traffic and emissions inventories are often needed to refine the available analyses and to enable the simulation of regionalised scenarios. In the past studies, these are generally based on commercial, proprietary traffic data, making their dissemination problematic and reducing the reproducibility of the science produced. Open-source alternatives do exist, but with limited geographical coverage. This paper presents a method to aggregate different sources of flight information, in order to obtain an open-source air traffic dataset for 2019. Then, missing flight information is identified and completed using an airline route database built from Wikipedia parsing and related socio-economic data. After that, several reference datasets are used to evaluate the accuracy of the extended open-source dataset. Despite varying accuracy for different routes, major traffic flows are reasonably well estimated at the country and continental levels. Finally, the CO2 emissions are obtained using an existing aircraft performance surrogate model, and the accuracies are examined compared to the results from previous studies.","Open-data; Emissions; Air Traffic","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:2b0ec6e8-9134-483a-8c8f-4b6959591e94","http://resolver.tudelft.nl/uuid:2b0ec6e8-9134-483a-8c8f-4b6959591e94","Defining design orientation: A field-based discovery approach","Cankurtaran, P. (TU Delft Marketing and Consumer Research); Beverland, Michael B. (University of Sussex Business School); Farrelly, Francis J. (Royal Melbourne Institute of Technology University)","","2024","The value of design as a means of innovation has long been recognized. More recently, interest in how design can create value has moved from a functional to a strategic focus whereby the design concept defines the way in which the whole firm competes. This is known as “design orientation,” although research on the nature of this construct remains scarce. In this exploratory study to define and unpack the nature of design orientation we follow the same process as previous research on orientations, through extrapolation from the sustained behaviours at firms that use design to drive their strategy. Empirically, we ground our definition in insights from design experts and senior managers (n = 62) within a diverse sample of “design-oriented” firms (n = 26). We identify that design orientation consists of an overarching ethos defined by four core emphases (connective, empathetic, future, and aesthetic), reflected in and reinforced by eight behaviours (catalysing, integrating, perspective taking, marrying logics, disrupting, future-proofing, design language, and brand reinforcing). In so doing, we define the design orientation construct and identify the strategic investments firms can use to leverage it for competitive advantage. We provide an agenda for future research and explore managerial challenges associated with implementation.","Design orientation; Strategic design; Value creation; Innovation; Theory-building","en","journal article","","","","","","","","","","","Marketing and Consumer Research","","",""
"uuid:ac2616a6-2636-4834-b0e6-9e859a57795e","http://resolver.tudelft.nl/uuid:ac2616a6-2636-4834-b0e6-9e859a57795e","Corrosion classification through deep learning of electrochemical noise time-frequency transient information","Homborg, A.M. (TU Delft Team Arjan Mol; Netherlands Defence Academy); Mol, J.M.C. (TU Delft Team Arjan Mol); Tinga, Tiedo (Netherlands Defence Academy)","","2024","This paper for the first time treats the interpretation of electrochemical noise time-frequency spectra as an image classification problem. It investigates the application of a convolutional neural network (CNN) for deep learning image classification of electrochemical noise time-frequency transient information. Representative slices of these spectra were selected by our transient analysis technique and served as input images for the CNN. Corrosion data from two types of pitting corrosion processes serve as test cases: AISI304 and AA2024-T3 immersed in a 0.01M HCl and 0.1M NaCl solution between 0 and 1ks after immersion, respectively. Continuous wavelet transform (CWT) spectra and modulus maxima (MM) are used to train the CNN, either individually or in a combined form. The classification accuracy of the CNN trained with the combined dataset is 0.97 and with the two individual datasets 0.72 (only CWT spectrum) and 0.84 (only MM). The ability to additionally classify a more progressed form of pitting corrosion of AA2024-T3 between 9 and 10ks after immersion indicates that the proposed method is sufficiently robust using combined datasets with CWT spectra and MM. The pitting processes can effectively be detected and classified by the proposed method. The most important contribution of the present work is to introduce a novel procedure that decreases the classical need for large amounts of raw data for training and validation purposes, while still achieving a satisfactory classification robustness. A relatively small number of individual signals thereby generates a multitude of input images that still contain all relevant kinetic information about the underlying chemo-physical process.","Machine learning; Electrochemical noise transients; Continuous wavelet transform; Modulus maxima; Time-frequency images; Corrosion classification","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:06b36cb6-1728-449a-bb66-422be9095e57","http://resolver.tudelft.nl/uuid:06b36cb6-1728-449a-bb66-422be9095e57","Can an energy only market enable resource adequacy in a decarbonized power system?: A co-simulation with two agent-based-models","Sanchez Jimenez, I.J. (TU Delft Energie and Industrie); Ribo-Perez, D.G. (TU Delft Energie and Industrie; Universitat Politécnica de Valencia); Cvetkovic, M. (TU Delft Intelligent Electrical Power Grids); Kochems, J. (German Aerospace Center); Schimeczek, C. (German Aerospace Center); De Vries, Laurens (TU Delft Energie and Industrie)","","2024","Future power systems, in which generation will come almost entirely from variable Renewable Energy Sources (vRES), will be characterized by weather-driven supply and flexible demand. In a simulation of the future Dutch power system, we analyze whether there are sufficient incentives for market-driven investors to provide a sufficient level of security of supply, considering the profit-seeking and myopic behavior of investors. We co-simulate two agent-based models (ABM), one for generation expansion and one for the operational time scale. The results suggest that in a system with a high share of vRES and flexibility, prices will be set predominantly by the demand’s willingness to pay, particularly by the opportunity cost of flexible hydrogen electrolyzers. The demand for electric heating could double the price of electricity in winter, compared to summer, and in years with low vRES could cause shortages. Simulations with stochastic weather profiles increase the year-to-year variability of cost recovery by more than threefold and the year-to-year price variability by more than tenfold compared to a scenario with no weather uncertainty. Dispatchable technologies have the most volatile annual returns due to high scarcity rents during years of low vRES production and diminished returns during years with high vRES production. We conclude that in a highly renewable EOM, investors would not have sufficient incentives to ensure the reliability of the system. If they invested in such a way to ensure that demand could be met in a year with the lowest vRES yield, they would not recover their fixed costs in the majority of years.","Agent-based model; High share of renewable energies; Flexibility; Co-simulation","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:f2294efd-4de1-4df0-8573-881ebaee4fa1","http://resolver.tudelft.nl/uuid:f2294efd-4de1-4df0-8573-881ebaee4fa1","Microsegregation Influence on Austenite Formation from Ferrite and Cementite in Fe–C–Mn–Si and Fe–C–Si Steels","Krugla, M. (TU Delft Team Erik Offerman; Tata Steel); Offerman, S.E. (TU Delft Team Erik Offerman); Sietsma, J. (TU Delft Team Joris Dik); Hanlon, Dave N. (Tata Steel)","","2024","The production reality of sheet steels from casting to the end product is such that in the cases of ultra- and advanced high-strength steels, we have to deal with the segregation of elements on macro- and microlevels. Both can have a significant impact on the microstructure formation and resulting properties. There are several production stages where it can influence the transformations, i.e., casting, hot rolling process and annealing after cold rolling. In the present work, we focus on the latter, and more specifically, the transformation from ferrite–cementite to austenite, especially the nucleation process, in cold-rolled material. We vary the levels of two substitutional elements, Mn and Si, and then look in detail at the microsegregation and nucleation processes. The classical nucleation theory is used, and both the chemical driving force and strain energy are calculated for various scenarios. In the case of a high Mn and high Si concentration, the nucleation can thus be explained. In the cases of high Mn and low Si concentrations as well as low Mn alloys, more research is needed on the nuclei shapes and strain energy.","nucleation; microsegregation; advanced high-strength steels; driving force; Strain Energy Release","en","journal article","","","","","","","","","","","Team Erik Offerman","","",""
"uuid:14d82dc4-eaa0-44af-b5b8-0459cb7dbd54","http://resolver.tudelft.nl/uuid:14d82dc4-eaa0-44af-b5b8-0459cb7dbd54","Can designers take the driver’s seat? A new human-centered process to design with data and machine learning","Colombo, S. (TU Delft Human-Centred Artificial Intelligence); Costa, Camilla (Politecnico di Milano)","","2024","Machine Learning (ML) is increasingly becoming a crucial asset across diverse industries. However, designers lack human-centered processes to envision and develop innovative solutions enabled by ML. By engaging in a Research-through-Design activity, we outline a new design process to generate human-centered adaptive systems enabled by data and ML. We describe and discuss the possibilities and limits of designing with ML, the need to concurrently address user experience and ML aspects, and the implications of their mutual influence. We argue that designers can envision and design human-centered ML-enabled systems if they acquire fundamental ML knowledge, although certain tasks necessitate close collaboration with ML experts. We discuss how uncertainty and risk of failure characterize the outlined process and may limit its applicability. The proposed process serves as a foundational framework for future research in human-centered design innovation through data and ML.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-21","","","Human-Centred Artificial Intelligence","","",""
"uuid:5398d36a-a785-4f27-991d-aaffb23be2e8","http://resolver.tudelft.nl/uuid:5398d36a-a785-4f27-991d-aaffb23be2e8","Adaptive Differentially Quantized Subspace Perturbation (ADQSP): A Unified Framework for Privacy-Preserving Distributed Average Consensus","Li, Qiongxiu (Tsinghua University); Gundersen, Jaron Skovsted (Aalborg University); Lopuhaa-Zwakenberg, Milan (University of Twente); Heusdens, R. (TU Delft Signal Processing Systems; Netherlands Defence Academy)","","2024","Privacy-preserving distributed average consensus has received significant attention recently due to its wide applicability. Based on the achieved performances, existing approaches can be broadly classified into perfect accuracy-prioritized approaches such as secure multiparty computation (SMPC), and worst-case privacy-prioritized approaches such as differential privacy (DP). Methods of the first class achieve perfect output accuracy but reveal some private information, while methods from the second class provide privacy against the strongest adversary at the cost of a loss of accuracy. In this paper, we propose a general approach named adaptive differentially quantized subspace perturbation (ADQSP) which combines quantization schemes with so-called subspace perturbation. Although not relying on cryptographic primitives, the proposed approach enjoys the benefits of both accuracy-prioritized and privacy-prioritized methods and is able to unify them. More specifically, we show that by varying a single quantization parameter the proposed method can vary between SMPC-type performances and DP-type performances. Our results show the potential of exploiting traditional distributed signal processing tools for providing cryptographic guarantees. In addition to a comprehensive theoretical analysis, numerical validations are conducted to substantiate our results.","consensus; data aggregation; decentralized networks; differential privacy; quantization; Secure multiparty computation; subspace perturbation","en","journal article","","","","","","","","2024-07-22","","","Signal Processing Systems","","",""
"uuid:094250fb-166d-4fe7-891f-5d313229c515","http://resolver.tudelft.nl/uuid:094250fb-166d-4fe7-891f-5d313229c515","Capturing Electricity Market Dynamics in Strategic Market Participation Using Neural Network Constrained Optimization","Dolanyi, Mihaly (Katholieke Universiteit Leuven); Bruninx, K. (TU Delft Energie and Industrie; Katholieke Universiteit Leuven); Toubeau, Jean Francois (Université de Mons); Delarue, Erik (Katholieke Universiteit Leuven)","","2024","In competitive electricity markets, the optimal bid or offer problem of a strategic agent is commonly formulated as a bi-level program and solved as a mathematical program with equilibrium constraints (MPEC). If the lower-level (LL) part of the problem can be well approximated as a convex problem, this approach leads to a global optimum. However, electricity markets are governed by non-convex (partially known) constraints and reward functions of the participating agents. In this paper, an alternative data-driven paradigm, labeled as a mathematical program with neural network constraint (MPNNC), is developed. The method uses a neural network to represent the mapping between the upper-level (agent) decisions and the lower-level (market) outcomes, i.e., it replaces the lower-level problem with a surrogate model. In the presented case studies, the proposed model is used to find the optimal load shedding strategy of a strategic load-serving entity. First, the MPNNC performance is compared to the MPEC approach, both in convex and non-convex environments, showing that the proposed MPNNC achieves similar performance to an ideal MPEC that has perfect knowledge of the simulated market environment. Then, aggregated supply curves from the Belgian spot exchange are used to assess the potential gains of using the developed model in real-life applications.","electricity markets; mathematical program with neural network constraint; Strategic bidding","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-27","","","Energie and Industrie","","",""
"uuid:187343ad-a455-475c-89a5-868966358afb","http://resolver.tudelft.nl/uuid:187343ad-a455-475c-89a5-868966358afb","Estimating bridge criticality due to extreme traffic loads in highway networks","Mendoza Lugo, M.A. (TU Delft Hydraulic Structures and Flood Risk); Nogal Macho, M. (TU Delft Integral Design & Management); Morales Napoles, O. (TU Delft Hydraulic Structures and Flood Risk)","","2024","Around the world, an increasing amount of bridge infrastructure is ageing. The resources involved in the reassessment of existing assets often exceed available resources and many bridges lack a minimum structural assessment. Therefore, there is a need for comprehensive and quantitative approaches to assess all the assets in the bridge network to reduce the risk of collapsing, damage to infrastructure, and economic losses. This paper proposes a methodology to quantify the structural criticality of bridges at a network level. To accomplish this, long-run site-specific simulations are conducted using Bayesian Networks and bivariate copulas, utilizing recorded traffic data obtained from permanent counting stations. To enhance the dataset, information from Weigh-in-Motion systems from different regions was integrated through a matching process. Subsequently, the structural response resulting from the simulated traffic is assessed, and the extreme values of the traffic load effects are obtained for selected return periods. Site-specific bridge criticality as a performance indicator for traffic load effects is derived by comparing the extreme load effects with the design load effects. The outcomes are mapped to facilitate visualization employing an open-source geographic information system application. To illustrate the application of the methodology, a total of 576 bridges within a national highway network are investigated, and a comparison with a popular simplified method is shown. The methodology herein presented can be used to assist in assessing the condition of a bridge network and prioritizing maintenance and repair activities by identifying potential bridges subjected to major load stress.","Bayesian Network; Copulas; Extreme value; Bridge network; Maps; Traffic load effects; Bridge criticality","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:1101dd16-9bfc-4cac-a5c6-0d29d03da42f","http://resolver.tudelft.nl/uuid:1101dd16-9bfc-4cac-a5c6-0d29d03da42f","A hybrid decision-making framework for a supplier selection problem based on lean, agile, resilience, and green criteria: a case study of a pharmaceutical industry","Sheykhzadeh, Morteza (University of Tehran); Ghasemi, Rohollah (University of Tehran); Vandchali, Hadi Rezaei (University of Tasmania); Sepehri, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Torabi, Seyed Ali (University of Tehran)","","2024","Due to the outbreak of COVID-19 around the globe in the last few years, the need for pharmaceutical supply chains is felt more than before. However, increasing uncertainties along with unpredictable demand for products led to disruptions in supply chains when receiving requests from retailers. These disruptions not only affected the economic aspect of supply chains but also caused shortages in hospitals and medical centers. Therefore, it has become significant for companies to select their suppliers to avoid disruptions in the case of the severity of infections. To address this issue in practice, this paper has been conducted based on a case study to address the role of lean, agile, resilience, and green (LARG) criteria in selecting the supplier in a pharmaceutical supply chain and compare the results obtained before and after the prevalence of COVID-19. The main purpose of this study is to determine and evaluate different indicators within the LARG concept to avoid disruptions when selecting suppliers. Besides, the significance of these criteria before and after the pandemic condition is addressed. Due to addressing multiple aspects of the problem, a hybrid fuzzy multi-attribute decision-making (MADM) approach is adopted for this elaboration when the four LARG criteria are integrated with eighteen supplier selection sub-criteria. To calculate the impact of each criterion (or sub-criteria), a fuzzy best–worst method (BWM) along with an additive ratio assessment (ARAS) is employed to propose a supplier ranking for a distributor of a pharmaceutical supply chain. The developed model is novel as LARG criteria in the context of supplier selection have not been studied to address the disruptions in the pharmaceutical supply chain. This is significant because it gives insight to both retailers and suppliers to emphasize the correct criteria, especially in the pandemic or related disrupting conditions. The results demonstrated that quality, collaboration, safety stock, and environmental criteria weigh the highest before the pandemic, while just-in-time delivery, lead time, safety stock, and environmental criteria weigh the highest after the pandemic. This study demonstrates that developing a supplier selection approach that meets the demand in a short time and recommends suppliers to hold surplus inventory helps the healthcare systems better respond to the market needs.","Fuzzy multi-attribute decision-making; LARG supply chain; Pharmaceutical supply chains; Supplier selection","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-02","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:e316ce2d-d063-4e7b-a686-0c74d3b4905a","http://resolver.tudelft.nl/uuid:e316ce2d-d063-4e7b-a686-0c74d3b4905a","Multi-Sensor Seismic Processing Approach using Geophones and HWC DAS in the Monitoring of CO2 Storage at the Hellisheiði Geothermal Field in Iceland","Bellezza, Cinzia (OGS-National Institute of Oceanography and Applied Geophysics–); Barison, Erika (OGS-National Institute of Oceanography and Applied Geophysics–); Farina, Biancamaria (OGS-National Institute of Oceanography and Applied Geophysics–); Poletto, Flavio (OGS-National Institute of Oceanography and Applied Geophysics–); Meneghini, Fabio (OGS-National Institute of Oceanography and Applied Geophysics–); Böhm, Gualtiero (OGS-National Institute of Oceanography and Applied Geophysics–); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics); Janssen, M.T.G. (TU Delft Applied Geophysics and Petrophysics); van Otten, Gijs (Seismic Mechatronics BV)","","2024","Geothermal power production may result in significant CO2 emissions as part of the produced steam. CO2 capture, utilisation, subsurface storage (CCUS) and developments to exploit geothermal resources are focal points for future clean and renewable energy strategies. The Synergetic Utilisation of CO2 Storage Coupled with Geothermal Energy Deployment (SUCCEED) project aims to demonstrate the feasibility of using produced CO2 for re-injection in the geothermal field to improve geothermal performance, while also storing the CO2 as an action for climate change mitigation. Our study has the aim to develop innovative reservoir-monitoring technologies via active-source seismic data acquisition using a novel electric seismic vibrator source and permanently installed helically wound cable (HWC) fibre-optic distributed acoustic sensing (DAS) system. Implemented together with auxiliary multi-component (3C and 2C) geophone receiver arrays, this approach gave us the opportunity to compare and cross-validate the results using wavefields from different acquisition systems. We present the results of the baseline survey of a time-lapse monitoring project at the Hellisheiði geothermal field in Iceland. We perform tomographic inversion and multichannel seismic processing to investigate both the shallower and the deeper basaltic rocks targets. The wavefield analysis is supported by seismic modelling. The HWC DAS and the geophone-stacked sections show good consistency, highlighting the same reflection zones. The comparison of the new DAS technology with the well-known standard geophone acquisition proves the effectiveness and reliability of using broadside sensitivity HWC DAS in surface monitoring applications.","CO2 injection monitoring; geothermal reservoir; CCUS; surface seismic processing; distributed acoustic sensing (DAS); geophones","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:4dc3ba97-ae9c-40eb-bf7e-c49bcddba7d9","http://resolver.tudelft.nl/uuid:4dc3ba97-ae9c-40eb-bf7e-c49bcddba7d9","Digital government and the circular economy transition: An analytical framework and a research agenda","Medaglia, Rony (Copenhagen Business School); Rukanova, B.D. (TU Delft Innovation Affairs); Zhang, Ziyan (Sun Yat-sen University)","","2024","The transition from a linear economy towards a circular economy (CE), based on reusing, repairing, refurbishing, and recycling existing materials and products, is one of the key priorities in pursuing Sustainable Development Goals (SDGs), where governments play a fundamental role, with the support of digital technologies.
Despite the increasing global policy focus on CE, research on the role of digital government in initiating, implementing, and consolidating a transition towards a circular economy is surprisingly scarce and fragmented, and a systematic effort in digital government research is yet to emerge.
To tackle this issue, this article sets out to answer the research question: what is the role of digital government in the transition towards a circular economy? Driven by this research question, we conduct a review on 88 empirical studies in the Information Systems (IS) and digital government fields and discuss existing research foci and gaps in relation to the types of digital technologies used, the types of stakeholders involved, the stages of the product life cycle, and the type of resources that governments draw on to advance the circular economy transition. In addition, we identify two types of transition styles, based on an analysis of the types of roles taken by the government in two cases of transition towards a circular economy.
Based on these findings, we provide two contributions to establishing a new line of research in digital government and the circular economy: an analytical framework, including a static view, a longitudinal view, and a transition style view of the role of digital government in the circular economy transition; and a research agenda that builds on our framework, to guide future research on the role of digital government in the circular economy transition.","Digital government; Circular economy; Sustainability","en","journal article","","","","","","","","","","","Innovation Affairs","","",""
"uuid:9dd31e25-1136-4d3b-bcdb-0e3ef4104903","http://resolver.tudelft.nl/uuid:9dd31e25-1136-4d3b-bcdb-0e3ef4104903","The Push Forward in Rehabilitation: Validation of a Machine Learning Method for Detection of Wheelchair Propulsion Type","van der Slikke, R.M.A. (TU Delft Biomechatronics & Human-Machine Control; The Hague University of Applied Sciences); de Leeuw, Arie-Willem (The Hague University of Applied Sciences); de Rooij, Aleid (Leiden University Medical Center; Basalt Revalidatie); Berger, M.A.M. (The Hague University of Applied Sciences; Basalt Revalidatie)","","2024","Within rehabilitation, there is a great need for a simple method to monitor wheelchair use, especially whether it is active or passive. For this purpose, an existing measurement technique was extended with a method for detecting self- or attendant-pushed wheelchair propulsion. The aim of this study was to validate this new detection method by comparison with manual annotation of wheelchair use. Twenty-four amputation and stroke patients completed a semi-structured course of active and passive wheelchair use. Based on a machine learning approach, a method was developed that detected the type of movement. The machine learning method was trained based on the data of a single-wheel sensor as well as a setup using an additional sensor on the frame. The method showed high accuracy (F1 = 0.886, frame and wheel sensor) even if only a single wheel sensor was used (F1 = 0.827). The developed and validated measurement method is ideally suited to easily determine wheelchair use and the corresponding activity level of patients in rehabilitation.","rehabilitation; inertial sensor; wheelchair activity","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:35663783-562d-4a7e-82d9-3d0d66123c8a","http://resolver.tudelft.nl/uuid:35663783-562d-4a7e-82d9-3d0d66123c8a","How Trees Shape Urban Spaces: Multiplicity and Differentiation of the Urban Forest Viewed from a Visual-Spatial Perspective","de Wit, S.I. (TU Delft Landscape Architecture); van der Velde, J.R.T. (TU Delft Landscape Architecture)","","2024","Background: The field of urban forestry encompasses many dimensions, of which that of visual-spatial perception, addressing the spatial relationship between city and trees, has received little attention. Analyzing the urban forest from a visual-spatial perspective is needed to understand relationships between different components as well as site-specific qualities. Methods: Tree configurations describe the relationship between form and space, determined by the relative disposition of the trees which result from an interaction between design and the development over time. Based on field observations, with the city of Delft in the Netherlands as a case study, 35 generic tree configuration types have been defined. With this “vocabulary,” specific tree configurations and their relations are researched, describing the urban forest from an eyelevel perspective as an essential level on which the spatiality of the urban forest can be understood. Results: Unraveling the urban forest components by comparing two emblematic ensembles of tree configurations allows an understanding of their heterogeneity as well as their coherence and dynamics. Conclusions: The relationship of the tree vocabulary with the specific location exposes their role as an ordering structure and a carrier of the identity of Delft, and their differentiation and site-specific qualities, revealing a composition of wooded areas each with their own characteristics, shows both urban and forested areas as equivalent components of an urban forest mosaic. This differentiation can be used as a tool for strengthening relations between the different components as well as diversity and heterogeneity in urban forests.","site specificity; tree configurations; Tree architecture; tree vocabulary; visual-spatial characteristics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Landscape Architecture","","",""
"uuid:b9c15cb9-5740-404c-abbf-ffafd2a71bd7","http://resolver.tudelft.nl/uuid:b9c15cb9-5740-404c-abbf-ffafd2a71bd7","Do wool carpets ‘clean’ the air or not? A study on the sorption effects of wool carpets by sensory evaluation","Noorian Najafabadi, S.A. (TU Delft Environmental & Climate Design); Ding, Er (TU Delft Environmental & Climate Design); Hobeika, N. (TU Delft Urban Data Science); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2024","Indoor air quality (IAQ) is an important aspect of maintaining human health and well-being, particularly since people spend most of their time indoors. Carpets, with their large surface area and dense fibre piles, have the potential to significantly impact IAQ by emitting and absorbing volatile organic compounds (VOC) from building materials and human activities. The cleaning effect of wool carpets regarding the sorption of odours from two sources of pollution: hardboard and sweaty underwear (as a proxy for bio-effluents), was investigated with an untrained panel of subjects assessing the odour intensity and the acceptability. Tests were performed in three different test environments, including a sniffing table, CLIMPAQs, and full-scale test chambers. The outcome showed that wool carpets can potentially clean the air of odours in small-scale environments, where the wool carpet covers the floor and walls of the test environment, and the odour sources are in contact with the wool carpet. However, the results were less conclusive in on scale scenarios where wool carpets only covered the floor. Overall, wool carpets have the potential to ad(b)sorb odorous emissions, but only when these emissions are near the wool carpet, and thus can have the opportunity to be ad(b)sorbed.","Sensory evaluation; Indoor air quality; Wool carpets; Ad(b)sorption; Indoor air pollution","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:f58bf308-39b9-4053-bab3-6f0bc5a44cdb","http://resolver.tudelft.nl/uuid:f58bf308-39b9-4053-bab3-6f0bc5a44cdb","Linking residential mobility with daily mobility: A three-wave cross-lagged panel analysis of travel mode choices and preferences pre–post residential relocation in the Netherlands","Tao, Y. (TU Delft Urban Studies)","","2024","The causal impact of the built environment on travel behaviours is a subject of debate. This debate especially concerns the independent effect of the built environment on the observed travel patterns after taking into account residential self-selection arising from pre-existing travel-related attitude. This study argues that travel attitude varies over time, and thus, is also reshaped by residential built environment and interrelated with residents? travel behaviours. Focusing on the event of residential relocation in the Netherlands, this study longitudinally investigated the interrelations between travel mode choices and preferences before, immediately after and a year after the relocation. Results from the random-intercept cross-lagged panel models substantiated the residential self-selection based on the pre-relocation preferences for motorised means of transport, including cars and public transport. Moreover, travel mode preferences varied to a greater extent than travel mode use pre?post relocation, and especially, frequent use of public transport or bicycles stimulated by the new place of residence had a one-year lagged effect on developing the mode preference. Therefore, the structural role of residential built environment manifests as (re)shaping travel mode choices as well as mode-specific preferences in the process of residential relocation.","longitudinal design; neighbourhood effects; Netherlands; residential self-selection; travel behavior","en","journal article","","","","","","","","","","","Urban Studies","","",""
"uuid:91c087a3-6d22-4b55-b0d9-884b2747a7bc","http://resolver.tudelft.nl/uuid:91c087a3-6d22-4b55-b0d9-884b2747a7bc","Inkjet printing P(VDF-TrFE-CTFE) actuators for large bending strains","Sekar, S.A. (Student TU Delft); Hunt, A. (TU Delft Micro and Nano Engineering)","","2024","Additive manufacturing of sensors and actuators together with structural materials and electronics will make it possible to fabricate innovative system designs that are overly laborious to realise with conventional methods. While printing of the structural materials and electronics are advancing fast, the additive manufacturing methods for actuators and sensors are in an earlier stage of development. This research will develop a manufacturing process for entirely inkjet printed electroactive polymer (EAP) actuators basing on the P(VDF-TrFE-CTFE) relaxor ferroelectric polymer and Ag electrodes. The process consists of (1) printing an Ag layer on a polyethylene terephthalate (PET) substrate for the bottom electrode; (2) formulating, printing and annealing a P(VDF-TrFE-CTFE) ink for the EAP layer; and (3) printing and sintering an Ag layer on the plasma-treated EAP surface to form the top electrode. Two actuator variations, addressed as DMC and KM512, are manufactured and characterised by their: (a) response to quasi-static excitation (1 Hz sine wave); (b) hysteresis behaviour; (c) actuation amplitude variation with the input voltage; and (d) frequency response. The 18 mm long actuators showed 91.4 µm (DMC, 200 V p p ) and 224 µm (KM512, 275 V p p ) deflections in response to 1 Hz sinusoidal excitation, and 1.10 mm (DMC, 113 Hz, 200 V p p ) and 1.72 mm (KM512, 114 Hz, 200 V p p ) deflections in resonant operation. It is 55% more quasi-static strain and 470% more resonant strain than in earlier fully inkjet-printed polyvinylidene fluoride (PVDF) -based actuators, and comparable to similar partially inkjet-printed actuators. This is the first time that inkjet printing of all three layers of a relaxor ferroelectric actuator have been achieved.","actuator; inkjet printing; electroactive polymer; ink formulation; piezoelectric; ferroelectric; P(VDF-TrFE-CTFE)","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:e5a9fa2b-2f10-4393-a985-f1f1f2d8d154","http://resolver.tudelft.nl/uuid:e5a9fa2b-2f10-4393-a985-f1f1f2d8d154","Correlated Sparse Bayesian Learning for Recovery of Block Sparse Signals with Unknown Borders","Doğan, D. (TU Delft Signal Processing Systems); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2024","We consider the problem of recovering complex-valued block sparse signals with unknown borders. Such signals arise naturally in numerous applications. Several algorithms have been developed to solve the problem of unknown block partitions. In pattern-coupled sparse Bayesian learning (PCSBL), each coefficient involves its own hyperparameter and those of its immediate neighbors to exploit the block sparsity. Extended block sparse Bayesian learning (EBSBL) assumes the block sparse signal consists of correlated and overlapping blocks to enforce block correlations. We propose a simpler alternative to EBSBL and reveal the underlying relationship between the proposed method and a particular case of EBSBL. The proposed algorithm uses the fact that immediate neighboring sparse coefficients are correlated. The proposed model is similar to classical sparse Bayesian learning (SBL). However, unlike the diagonal correlation matrix in conventional SBL, the unknown correlation matrix has a tridiagonal structure to capture the correlation with neighbors. Due to the entanglement of the elements in the inverse tridiagonal matrix, instead of a direct closed-form solution, an approximate solution is proposed. The alternative algorithm avoids the high dictionary coherence in EBSBL, reduces the unknowns of EBSBL, and is computationally more efficient. The sparse reconstruction performance of the algorithm is evaluated with both correlated and uncorrelated block sparse coefficients. Simulation results demonstrate that the proposed algorithm outperforms PCSBL and correlation-based methods such as EBSBL in terms of reconstruction quality. The numerical results also show that the proposed correlated SBL algorithm can deal with isolated zeros and nonzeros as well as block sparse patterns.","block sparse signals; correlated sparse Bayesian learning; expectation-maximization (EM) method; compressive sensing","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:30d594bf-5ee1-4d25-b7a4-c8e4b4615ce0","http://resolver.tudelft.nl/uuid:30d594bf-5ee1-4d25-b7a4-c8e4b4615ce0","A Resilience Enhanced Secondary Control for AC Micro-grids","Xiao, J. (TU Delft DC systems, Energy conversion & Storage); Wang, L. (TU Delft Hydraulic Structures and Flood Risk); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2024","Communication-based distributed secondary control is deemed necessary to restore the state of islanding AC microgrids to set points. As its limited global information, the microgrids become vulnerable to cyber-attacks, which by falsifying the communicating singles, like the angular frequency, can disturb the power dispatch in the microgrids or even induce blackout by pushing the microgrids beyond the safe operation area and triggering the protection. To make the microgrids more cyber secure, adaptive resilient control for the secondary frequency regulation is proposed. It assumes that each converter is communicating with its adjacent converters. With the proposed control, the weight of the communication channel being attacked is automatically reduced, and the more the communicating signals are falsified, the further the weight of that communication channel is weakened. The proposed approach does not rely on attack detection and thereby is easy to implement; Besides, it still works when challenged by a combination of multi-attack signals; Moreover, it applies to multiple communication lines getting attacked cases. Finally, the effectiveness and feasibility of the proposed resilient control scheme are validated by both simulations and experimental results.","AC micro-grid; adaptive control; Communication networks; cyber-attack; Cyberattack; distributed control; Frequency control; Microgrids; Power system stability; Resilience; Voltage control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-04","","","DC systems, Energy conversion & Storage","","",""
"uuid:5e3140a9-f6e0-4247-b706-9442fcde54ff","http://resolver.tudelft.nl/uuid:5e3140a9-f6e0-4247-b706-9442fcde54ff","Spatiotemporal operando UV–vis spectroscopy: Development and mechanistic alternation of CO oxidation on Pt/Al2O3 on the reactor scale","van Beek, L.E. (TU Delft ChemE/Catalysis Engineering; Université Grenoble Alpes); Jain, D. (TU Delft ChemE/Catalysis Engineering); Gholkar, P.V. (TU Delft ChemE/Catalysis Engineering); Eldridge, T.J. (TU Delft ChemE/Catalysis Engineering); Nguyen, H.P. (Toyota Motor Europe); Muramoto, Kei (Toyota Motor Europe); Urakawa, A. (TU Delft ChemE/Catalysis Engineering)","","2024","Operando methodologies are widely used in heterogenous catalysis to understand unique state of catalyst materials emerging under specific reaction conditions and to establish catalyst structure-activity relationships. Recent studies highlight the importance of combining multiple operando techniques (multimodal approach) to gain complementary information as well as looking into chemical and material gradients and spatial variations on the reactor scale. In this work, we developed an operando UV–vis diffuse reflectance spectroscopy (DRS) setup compatible with a common fixed-bed tubular reactor. The design is based on optical calculations, validation experiments and signals considerations. A spatial resolution of 1 mm along the axial direction of the reactor was successfully demonstrated and combined with a time resolution of seconds with good signal to noise. CO oxidation over Pt/Al2O3 was performed as a proof of principle experiment demonstrating the capabilities of the new setup. The information gained by the space-resolved operando UV–vis DRS was combined with other space-resolved operando studies such as diffuse reflectance infrared Fourier transform spectroscopy (DRIFTS), gas sampling and temperature profiling. The study shows that the nature of active sites (Pt redox state) and thus the reaction mechanism alter with reaction temperature and also in space. Spatiotemporal UV–vis DRS is also demonstrated, showing the capability for transient studies with space-resolution.","CO oxidation; Diffuse reflection; operando; Pt/AlO; Space- and time-resolution; UV–vis","en","journal article","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:94b2a5b9-d869-4415-a713-ec39427809f0","http://resolver.tudelft.nl/uuid:94b2a5b9-d869-4415-a713-ec39427809f0","Ground-Based Soil Moisture Retrieval Using the Correlation Between Dual-Polarization GNSS-R Interference Patterns","El Hajj, Marcel M. (King Abdullah University of Science and Technology); Steele-Dunne, S.C. (TU Delft Mathematical Geodesy and Positioning); Almashharawi, Samer K. (King Abdullah University of Science and Technology); Tian, X. (TU Delft Geoscience and Remote Sensing; Wageningen University & Research); Johansen, Kasper (King Abdullah University of Science and Technology); Camargo, Omar A.Lopez (King Abdullah University of Science and Technology); Amezaga-Sarries, Adria (Microwave Sensors and Electronics Sl); Mas-Vinolas, Andreu (Microwave Sensors and Electronics Sl); McCabe, Matthew F. (King Abdullah University of Science and Technology)","","2024","Soil moisture (SM) is an important state variable in land surface models. Here, we investigate the potential of a ground-based global navigation satellite system receiver with two linearly polarized antennas that measure the interference power (IP) of direct and reflected signals in horizontal polarization (H-pol) and vertical polarization (V-pol) to estimate SM. The coefficient of determination between the IP waveforms at H-pol and V-pol ( $\boldsymbol {R}_{ \boldsymbol {v}\mathbf {/} \boldsymbol {h}}^{\mathbf {2}}$ ) was used as a predictor of SM. A coherent specular reflection model was employed to first explore the relationship between $\boldsymbol {R}_{ \boldsymbol {v}\mathbf {/} \boldsymbol {h}}^{\mathbf {2}}$ and SM for different values of soil roughness. That relationship was subsequently applied to estimate SM from $\boldsymbol {R}_{ \boldsymbol {v}\mathbf {/} \boldsymbol {h}}^{\mathbf {2}}$ determined from global positioning system (GPS) signals acquired continuously by a ground-based receiver between May and December 2022 for an area with very smooth bare soil. The results show that the proposed method can estimate the SM of the upper 10-cm layer with high accuracy (with a root-mean-square error (RMSE) of approximately 1.5 vol.%) and demonstrate the potential of the ground-based IP technique as a practical system solution for proximal remote sensing of SM over bare soils .","Global navigation satellite systems reflectometry (GNSS-R); interference power (IP); soil moisture (SM)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-30","","Geoscience and Remote Sensing","Mathematical Geodesy and Positioning","","",""
"uuid:0fb8c6cf-63ef-41c0-aaf5-9e58b7736479","http://resolver.tudelft.nl/uuid:0fb8c6cf-63ef-41c0-aaf5-9e58b7736479","Understanding influences on entrepreneurship educator role identity","Brush, Candida (Arthur M. Blank Center, Wellesley, Massachusetts); Wraae, Birgitte (UCL University College); Nikou, S. (TU Delft Responsible Marketing and Consumer Behavior; Åbo Akademi University)","","2024","Purpose: Despite the considerable increase in research on entrepreneurship education, few studies examine the role of entrepreneurship educators. Similarly, most frameworks from entrepreneurship education recognize the educator’s importance in facilitating instruction and assessment, but the factors influencing the educator role are not well understood. According to the identity theory, personal factors including self-efficacy, job satisfaction and personal values influence the perspective of self, significance and anticipations that an individual in this role associates with it, determining their planning and actions. The stronger the role identity the more likely entrepreneurship educators will be in effectively developing their entrepreneurial skills as well as the overall learning experience of their students. The objective of this study is to pinpoint the factors that affect entrepreneurial role identity. Design/methodology/approach: Drawing upon the identity theory, this study developed a theoretical framework and carried out an empirical investigation involving a survey of 289 entrepreneurship educators across the globe. Structural equation modeling (SEM) technique was applied to analyze and explore the factors that impact the identity of the educators in their role as entrepreneurship teachers. Findings: The findings show that the role identity of entrepreneurship educators is significantly influenced by their self-efficacy, job satisfaction and personal values. Among these factors, self-efficacy and job satisfaction have the most significant impacts on how educators perceive their role. The implications of these results and directions for future research are also discussed. Originality/value: The novelty of the current study is derived from its conceptualization of the antecedents of role perception among entrepreneurship educators. This study stands out as one of the earliest attempts to investigate the factors that shape an individual’s scene of self and professional identity as an entrepreneurship educator. The significance of comprehending the antecedents of role perception lies in the insights it can offer into how educators undertake and execute their role, and consequently, their effectiveness in teaching entrepreneurship.","Entrepreneurship; entrepreneurship educator; role identity; Role perception; Self-efficacy","en","journal article","","","","","","","","","","","Responsible Marketing and Consumer Behavior","","",""
"uuid:e5890b49-ded3-496e-ae99-f8feea5311dc","http://resolver.tudelft.nl/uuid:e5890b49-ded3-496e-ae99-f8feea5311dc","Enabling Technologies for the Navigation and Communication of UAS Operating in the Context of BVLOS","Politi, Elena (Harokopio University of Athens); Purucker, Patrick (University of Applied Sciences Amberg-Weiden); Larsen, Morten (AnyWi Technologies); Reis, Ricardo J. Dos (Embraer Research and Technology Europe); Rajan, R.T. (TU Delft Signal Processing Systems); Penna, Sergio Duarte (CISTER—Research Centre in Real-Time Embedded Computing Systems); Boer, Jan-Floris (Royal Netherlands Aerospace Centre NLR); Rodosthenous, Panagiotis (Information Technology for Market Leadership); Dimitrakopoulos, George (Harokopio University of Athens); Varlamis, Iraklis (Harokopio University of Athens); Höß, Alfred (University of Applied Sciences Amberg-Weiden)","","2024","Unmanned Aerial Systems (UAS) have rapidly gained attraction in recent years as a promising solution to revolutionize numerous applications and meet the growing demand for efficient and timely delivery services due to their highly automated operation framework. Beyond Visual Line of Sight (BVLOS) operations, in particular, offer new means of delivering added-value services via a wide range of applications. This ""plateau of productivity"" holds enormous promise, but it is challenging to equip the drone with affordable technologies which support the BVLOS use case. To close this gap, this work showcases the convergence of the automotive and aviation industries to advance BVLOS aviation for UAS in a practical setting by studying a combination of Commercial Off-The-Shelf (COTS) technologies and systems. A novel risk-based approach of investigating the key technological components, architectures, algorithms, and protocols is proposed that facilitate highly reliable and autonomous BVLOS operations, aiming to enhance the alignment between market and operational needs and to better identify integration requirements between the different capabilities to be developed.","","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:5e2c89e3-6bc0-49ad-8256-1f564d0c3fbd","http://resolver.tudelft.nl/uuid:5e2c89e3-6bc0-49ad-8256-1f564d0c3fbd","On the effectiveness of Reynolds-averaged and subgrid scale models in predicting flows inside car cabins","Grossi, G. (TU Delft Aerodynamics; University of Cassino and Southern Lazio); Arpino, Fausto (University of Cassino and Southern Lazio); Bertone, M. (TU Delft Aerodynamics; University of Cassino and Southern Lazio); Cortellessa, Gino (University of Cassino and Southern Lazio); Sciacchitano, A. (TU Delft Aerodynamics)","","2024","The aim of the present study is to analyze the performances of unsteady Reynolds-averaged Navier-Stokes (URANS) and large eddy simulation (LES) approaches in predicting the airflow patterns inside car cabins and to give insight in the design of computational fluid dynamics simulations of a real car cabin. For this purpose, one eddy viscosity-based turbulence model (shear stress transport k-ω) and two subgrid scale models (wall-adapting local eddy-viscosity and dynamic kinetic energy) were tested, and numerical results were compared with particle image velocimetry measurements carried out on a commercial car. The URANS model exhibited great accuracy in predicting the mean flow behavior and was appreciably outperformed by the LES models only far from the inlet sections. For this reason, it was deemed suitable for conducting further analyses, aimed at characterizing the airflow patterns in winter and summer conditions and performing a thermal comfort analysis. The thermal regime was found to have a very little effect on the air flow patterns, once the quasi-steady state regime is achieved; in fact, both in winter and in summer, the temperature field is fairly uniform within the car cabin, making the contribution of buoyancy negligible and velocity fields to be very similar in the two seasons. Findings also reveal that thermal comfort sensation can be different for passengers sharing the same car but sitting on different seats; this aspect should be considered when designing and operating the ventilation system, since the minimum comfort requirements should be met for all the occupants.","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:728fb398-9f0e-4752-90ca-304967ca203a","http://resolver.tudelft.nl/uuid:728fb398-9f0e-4752-90ca-304967ca203a","Migration and clinical outcomes of a novel cementless hydroxyapatite-coated titanium acetabular shell: two-year follow-up of a randomized controlled trial using radiostereometric analysis","van der Lelij, Thies J. N. (Leiden University Medical Center); Marang-van de Mheen, P.J. (TU Delft Safety and Security Science; Leiden University Medical Center); Kaptein, Bart L. (Leiden University Medical Center); Koster, Lennard A. (Leiden University Medical Center); Ljung, Peter (Hässleholm Hospital); Nelissen, Rob G. H. H. (Leiden University Medical Center); Toksvig-Larsen, Sören (Hässleholm Hospital; Lund University)","","2024","Aims The objective of this study was to compare the two-year migration and clinical outcomes of a new cementless hydroxyapatite (HA)-coated titanium acetabular shell with its previous version, which shared the same geometrical design but a different manufacturing process for applying the titanium surface. Methods Overall, 87 patients undergoing total hip arthroplasty (THA) were randomized to either a Trident II HA or Trident HA shell, each cementless with clusterholes and HA-coating. All components were used in combination with a cemented Exeter V40 femoral stem. Implant migration was measured using radiostereometric analysis (RSA), with radiographs taken within two days of surgery (baseline), and at three, 12, and 24 months postoperatively. Proximal acetabular component migration was the primary outcome measure. Clinical scores and patient-reported outcome measures (PROMs) were collected at each follow-up. Results Mean proximal migrations at three, 12, and 24 months were 0.08 mm (95% confidence interval (CI) 0.03 to 0.14), 0.11 mm (95% CI 0.06 to 0.16), and 0.14 mm (95% CI 0.09 to 0.20), respectively, in the Trident II HA group, versus 0.11 mm (95% CI 0.06 to 0.16), 0.12 mm (95% CI 0.07 to 0.17), and 0.14 mm (95% CI 0.09 to 0.19) in the Trident HA group (p = 0.875). No significant differences in translations or rotations between the two designs were found in any other direction. Clinical scores and PROMs were comparable between groups, except for an initially greater postoperative improvement in Hip disability and Osteoarthritis Outcome Symptoms score in the Trident HA group (p = 0.033). Conclusion The Trident II clusterhole HA shell has comparable migration with its predecessor, the Trident hemispherical HA cluster shell, suggesting a similar risk of long-term aseptic loosening. Cite this article: Bone Joint J 2024;106-B(2):136–143.","Radiostereometric analysis; Total hip arthroplasty; Acetabular cup; Aseptic loosening; Migration; Clinical outcome; acetabular shells; hydroxyapatite; titanium; Randomized controlled trial","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-01","","","Safety and Security Science","","",""
"uuid:e3cf26d1-86b2-4e2b-9c82-412386b37f6b","http://resolver.tudelft.nl/uuid:e3cf26d1-86b2-4e2b-9c82-412386b37f6b","Drivers for optimum sizing of wind turbines for offshore wind farms","Mehta, M.K. (TU Delft Wind Energy); Zaaijer, M B (TU Delft Wind Energy); von Terzi, D.A. (TU Delft Wind Energy)","","2024","Large-scale exploitation of offshore wind energy is deemed essential to provide its expected share to electricity needs of the future. To achieve the same, turbine and farm-level optimizations play a significant role. Over the past few years, the growth in the size of turbines has massively contributed to the reduction in costs. However, growing turbine sizes come with challenges in rotor design, turbine installation, supply chain, etc. It is, therefore, important to understand how to size wind turbines when minimizing the levelized cost of electricity (LCoE) of an offshore wind farm. Hence, this study looks at how the rated power and rotor diameter of a turbine affect various turbine and farm-level metrics and uses this information in order to identify the key design drivers and how their impact changes with setup. A multi-disciplinary design optimization and analysis (MDAO) framework is used to perform the analysis. The framework uses low-fidelity models that capture the core dependencies of the outputs on the design variables while also including the trade-offs between various disciplines of the offshore wind farm. The framework is used, not to estimate the LCoE or the optimum turbine size accurately, but to provide insights into various design drivers and trends. A baseline case, for a typical setup in the North Sea, is defined where LCoE is minimized for a given farm power and area constraint with the International Energy Agency 15 MW reference turbine as a starting point. It is found that the global optimum design, for this baseline case, is a turbine with a rated power of 16 MW and a rotor diameter of 236 m. This is already close to the state-of-the-art designs observed in the industry and close enough to the starting design to justify the applied scaling. A sensitivity study is also performed that identifies the design drivers and quantifies the impact of model uncertainties, technology/cost developments, varying farm design conditions, and different farm constraints on the optimum turbine design. To give an example, certain scenarios, like a change in the wind regime or the removal of farm power constraint, result in a significant shift in the scale of the optimum design and/or the specific power of the optimum design. Redesigning the turbine for these scenarios is found to result in an LCoE benefit of the order of 1 %–2 % over the already optimized baseline. The work presented shows how a simplified approach can be applied to a complex turbine sizing problem, which can also be extended to metrics beyond LCoE. It also gives insights into designers, project developers, and policy makers as to how their decision may impact the optimum turbine scale.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:6684d85d-708e-4459-abc8-4b0d488f2581","http://resolver.tudelft.nl/uuid:6684d85d-708e-4459-abc8-4b0d488f2581","Posterolateral or Direct Lateral Surgical Approach for Hemiarthroplasty After a Hip Fracture: A Randomized Clinical Trial Alongside a Natural Experiment","Tol, Maria C.J.M. (Onze Lieve Vrouwe Gasthuis); Willigenburg, Nienke W. (Onze Lieve Vrouwe Gasthuis); Willems, Hanna C. (Amsterdam UMC); Gosens, Taco (ETZ Tilburg; Tilburg University); Heetveld, Martin J. (Spaarne Gasthuis); Eggen, B. (TU Delft Statistics); Kormos, M. (TU Delft Statistics); van der Pas, Stéphanie L. (Vrije Universiteit Amsterdam; Amsterdam Public Health); van der Vaart, A.W. (TU Delft Statistics)","","2024","Importance: Hip fractures in older adults are serious injuries that result in disability, higher rates of illness and death, and a substantial strain on health care resources. High-quality evidence to improve hip fracture care regarding the surgical approach of hemiarthroplasty is lacking. Objective: To compare 6-month outcomes of the posterolateral approach (PLA) and direct lateral approach (DLA) for hemiarthroplasty in patients with acute femoral neck fracture. Design, Setting, and Participants: This multicenter, randomized clinical trial (RCT) comparing DLA and PLA was performed alongside a natural experiment (NE) at 14 centers in the Netherlands. Patients aged 18 years or older with an acute femoral neck fracture were included, with or without dementia. Secondary surgery of the hip, pathological fractures, or patients with multitrauma were excluded. Recruitment took place between February 2018 and January 2022. Treatment allocation was random or pseudorandom based on geographical location and surgeon preference. Statistical analysis was performed from July 2022 to September 2022. Exposure: Hemiarthroplasty using PLA or DLA. Main Outcome and Measures: The primary outcome was health-related quality of life 6 months after surgery, quantified with the EuroQol Group 5-Dimension questionnaire (EQ-5D-5L). Secondary outcomes included dislocations, fear of falling and falls, activities of daily living, pain, and reoperations. To improve generalizability, a novel technique was used for data fusion of the RCT and NE. Results: A total of 843 patients (542 [64.3%] female; mean [SD] age, 82.2 [7.5] years) participated, with 555 patients in the RCT (283 patients in the DLA group; 272 patients in the PLA group) and 288 patients in the NE (172 patients in the DLA group; 116 patients in the PLA group). In the RCT, mean EQ-5D-5L utility scores at 6 months were 0.50 (95% CI, 0.45-0.55) after DLA and 0.49 (95% CI, 0.44-0.54) after PLA, with 77% completeness. The between-group difference (-0.04 [95% CI, -0.11 to 0.04]) was not statistically significant nor clinically meaningful. Most secondary outcomes were comparable between groups, but PLA was associated with more dislocations than DLA (RCT: 15 of 272 patients [5.5%] in PLA vs 1 of 283 patients [0.4%] in DLA; NE: 6 of 113 patients [5.3%]) in PLA vs 2 of 175 patients [1.1%] in DLA). Data fusion resulted in an effect size of 0.00 (95% CI, -0.04 to 0.05) for the EQ-5D-5L and an odds ratio of 12.31 (95% CI, 2.77 to 54.70) for experiencing a dislocation after PLA. Conclusions and Relevance: This combined RCT and NE found that among patients treated with a cemented hemiarthroplasty after an acute femoral neck fracture, PLA was not associated with a better quality of life than DLA. Rates of dislocation and reoperation were higher after PLA. Randomized and pseudorandomized data yielded similar outcomes, which suggests a strengthening of these findings. Trial Registration: ClinicalTrials.gov Identifier: NCT04438226.","","en","journal article","","","","","","","","","","","Statistics","","",""
"uuid:e661bc93-dba4-4b65-a1bf-c9ae79081bec","http://resolver.tudelft.nl/uuid:e661bc93-dba4-4b65-a1bf-c9ae79081bec","The lasting impact of formation cycling on the Li-ion kinetics between SEI and the Li-metal anode and its correlation with efficiency","Zhang, S. (TU Delft RST/Storage of Electrochemical Energy); Li, Yuhang (Tsinghua University); Bannenberg, L.J. (TU Delft RID/TS/Instrumenten groep); Liu, Ming (Tsinghua University); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2024","Formation cycling is a critical process aimed at improving the performance of lithium ion (Li-ion) batteries during subsequent use. Achieving highly reversible Li-metal anodes, which would boost battery energy density, is a formidable challenge. Here, formation cycling and its impact on the subsequent cycling are largely unexplored. Through solid-state nuclear magnetic resonance (ssNMR) spectroscopy experiments, we reveal the critical role of the Li-ion diffusion dynamics between the electrodeposited Li-metal (ED-Li) and the as-formed solid electrolyte interphase (SEI). The most stable cycling performance is realized after formation cycling at a relatively high current density, causing an optimum in Li-ion diffusion over the Li-metal-SEI interface. We can relate this to a specific balance in the SEI chemistry, explaining the lasting impact of formation cycling. Thereby, this work highlights the importance and opportunities of regulating initial electrochemical conditions for improving the stability and life cycle of lithium metal batteries.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:b0b4b511-818e-4b6e-b7d7-62b4c1ae586f","http://resolver.tudelft.nl/uuid:b0b4b511-818e-4b6e-b7d7-62b4c1ae586f","Computationally Aware Surrogate Models for the Hydrodynamic Response Characterization of Floating Spar-Type Offshore Wind Turbine","Ilardi, Davide (University of Genova); Kalikatzarakis, Miltiadis (University of Strathclyde); Oneto, Luca (University of Genova); Collu, Maurizio (University of Strathclyde); Coraddu, A. (TU Delft Ship Design, Production and Operations)","","2024","Due to increasing environmental concerns and global energy demand, the development of Floating Offshore Wind Turbines (FOWTs) is on the rise. FOWTs offer a promising solution to expand wind farm deployment into deeper waters with abundant wind resources. However, their harsh operating conditions and lower maturity level compared to fixed structures pose significant engineering challenges, notably in the design phase. A critical challenge is the time-consuming hydromechanics analysis traditionally done using computationally intensive Computational Fluid Dynamics (CFD) models. In this study, we introduce Artificial Intelligence-based surrogate models using state-of-the-art Machine Learning algorithms. These surrogate models achieve CFD-level accuracy (within 3% difference) while dramatically reducing computational requirements from minutes to milliseconds. Specifically, we build a surrogate model for characterizing the hydrodynamic response of a floating spar-type offshore wind turbine (including added mass, radiation damping matrices, and hydrodynamic excitation) using computationally efficient shallow Machine Learning models, optimizing the trade-off between computational efficiency and accuracy, based on data generated by a cutting-edge potential-flow code.","accuracy; computational fluid dynamics; computational requirements; Floating offshore wind turbines; hydrodynamic response; machine learning; surrogate models","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:a4e1a4d4-0a31-43c4-9f94-a78eeac07061","http://resolver.tudelft.nl/uuid:a4e1a4d4-0a31-43c4-9f94-a78eeac07061","An adaptive parallel arc-length method","Verhelst, H.M. (TU Delft Ship and Offshore Structures; TU Delft Numerical Analysis); den Besten, J.H. (TU Delft Ship and Offshore Structures); Möller, M. (TU Delft Numerical Analysis)","","2024","Parallel computing is omnipresent in today's scientific computer landscape, starting at multicore processors in desktop computers up to massively parallel clusters. While domain decomposition methods have a long tradition in computational mechanics to decompose spatial problems into multiple subproblems that can be solved in parallel, advancing solution schemes for dynamics or quasi-statics are inherently serial processes. For quasi-static simulations, however, there is no accumulating ‘time’ discretization error, hence an alternative approach is required. In this paper, we present an Adaptive Parallel Arc-Length Method (APALM). By using a domain parametrization of the arc-length instead of time, the multi-level error for the arc-length parametrization is formed by the load parameter and the solution norm. Given coarse approximations of arc-length intervals, finer corrections enable the parallelization of the presented method. This results in an arc-length method that is parallel within a branch and inherently adaptive. This concept is easily extended for bifurcation problems. The performance of the method is demonstrated using isogeometric Kirchhoff-Love shells on problems with snap-through and pitch-fork instabilities and applied to the problem of a snapping meta-material. These results show that parallel corrections are performed in a fraction of the time of the serial initialization, achievable on desktop scale.","Arc-length methods; Parallelisation; Isogeometric analysis; Kirchhoff-Love shell; Post-buckling","en","journal article","","","","","","","","","","","Ship and Offshore Structures","","",""
"uuid:78cc3c05-d711-41e9-8b0e-f791b5c1cb4b","http://resolver.tudelft.nl/uuid:78cc3c05-d711-41e9-8b0e-f791b5c1cb4b","How predictable are macroscopic traffic states: a perspective of uncertainty quantification","Li, G. (TU Delft Transport and Planning); Knoop, V.L. (TU Delft Transport and Planning); van Lint, J.W.C. (TU Delft Transport and Planning)","","2024","Traffic condition forecasting is fundamental for Intelligent Transportation Systems. Besides accuracy, many services require an estimate of uncertainty for each prediction. Uncertainty quantification must consider the inherent randomness in traffic dynamics, the so-called aleatoric uncertainty, and the additional distrust caused by data shortage, the so-called epistemic uncertainty. They together depict how predictable macroscopic traffic is. This study uses deep ensembles of graph neural networks to estimate both types of uncertainty in network-level speed forecasting. Experimental results given by the used model reveal that, although rare congestion patterns arise randomly, the short-term predictability of traffic states is mainly restricted by the irreducible stochasticity in traffic dynamics. The predicted future state bifurcates into congested or free-flowing cases. This study suggests that the potential for improving prediction models through expanding speed and flow data is limited while diversifying data types is crucial.","traffic forecasting; uncertainty quantification; traffic dynamics; predictability","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:151efe59-e26c-4eac-aa83-16a67930ae6e","http://resolver.tudelft.nl/uuid:151efe59-e26c-4eac-aa83-16a67930ae6e","On string vibrations influenced by a smooth obstacle at one of the endpoints","Ihsan, A.F. (Telkom University); van Horssen, W.T. (TU Delft Mathematical Physics); Tuwankotta, J.M. (Institute of Technology Bandung)","","2024","In this paper, the vibrations of a string are considered. At one end of the string, a smooth obstacle is placed and the other end of the string is attached to a fixed point. The contact between the string and the obstacle varies in time, and leads to a linear, moving boundary value problem for the string vibrations. By applying a boundary fixing transformation, the problem is transformed from a linear problem with a moving boundary, to a nonlinear problem with fixed boundaries. It is assumed that the vibrations around the stationary position of the string are small. Explicit approximations of the solution are obtained by using a multiple time-scales perturbation method. Depending on the parameters in the problem, it turns out that three different cases for the obstacle boundary condition have to be considered, that is, Dirichlet, or Neumann, or Robin type of boundary conditions. To avoid an infinite-dimensional system of ordinary differential equations that occurs in the analysis of the modal interactions of the string vibrations, characteristic coordinates are used together with a multiple time-scales approach to analyze the string dynamics in terms of traveling waves in opposite directions. A comparison between a direct numerical integration of the PDE problem and the results obtained by using the aforementioned perturbation approach shows an excellent agreement in the results.","Characteristic coordinates; Modal interactions; Moving boundary problem; Multiple time-scales perturbation method","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-27","","","Mathematical Physics","","",""
"uuid:96fe8373-414d-4e23-854b-9d4b04e30a0e","http://resolver.tudelft.nl/uuid:96fe8373-414d-4e23-854b-9d4b04e30a0e","Applying a logistics cluster typology in spatial planning for circularity: Lessons from a Dutch policy lab","Nefs, Merten (TU Delft Spatial Planning and Strategy)","","2024","The spatial planning of logistics is an emerging topic due to scarcity of land, environmental impacts and the transition to a circular economy. This paper proposes a policy information tool for these issues, including a new logistics cluster typology applied in suitability maps. The validity and applicability of this tool are tested in a Dutch policy lab. The analysis reveals two stakeholder views: one emphasising an informed multilevel dialogue and the other pointing to local freedom of decision making. Applicability can be improved by training, updating and deciding on a clear status of the tool in the policy process.","logistics typology; spatial planning; circular economy; policy tool; policy lab","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:28141475-92bf-45e9-b27c-17dd56021692","http://resolver.tudelft.nl/uuid:28141475-92bf-45e9-b27c-17dd56021692","CFD analysis of the full-scale resistance of an oil tanker in presence of a mud–water interface","Lovato, S. (Maritime Research Institute Netherlands (MARIN)); Toxopeus, S.L. (Maritime Research Institute Netherlands (MARIN)); Settels, J.W. (Maritime Research Institute Netherlands (MARIN)); Keetels, G.H. (TU Delft Offshore and Dredging Engineering); Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering)","","2024","The presence of mud layers on the bottom of ports and waterways can have negative effects on the hydrodynamic behaviour of marine vessels. This numerical study investigates the effect of muddy seabeds on the full-scale resistance of an oil tanker sailing straight ahead. The objective is to determine the influence of factors such as the densimetric Froude number, UKC and mud rheology at speeds between 3 and 9 knots. The numerical study is conducted using a finite-volume Reynolds-Averaged Navier–Stokes (RANS) flow solver combined with the Volume-Of-Fluid (VOF) method to capture the mud–water interface. At certain critical speeds, the presence of mud increased the ship’s total resistance by up to 15 times compared to the case with solid bottoms. The non-Newtonian rheology of mud was found to influence the ship’s resistance mainly at low speeds and when sailing through the mud layer. This article also shows that, when sailing through mud, the computed resistance at high speeds may be underestimated because of two effects, namely ‘water lubrication’ and ‘numerical ventilation’.","Shallow water; CFD; KVLCC2; Nautical bottom; Mud rheology","en","journal article","","","","","","","","","","","Offshore and Dredging Engineering","","",""
"uuid:575bc204-eebf-47ba-8bb8-15da7edd3f91","http://resolver.tudelft.nl/uuid:575bc204-eebf-47ba-8bb8-15da7edd3f91","A nonlinear repair technique for the MPFA-D scheme in single-phase flow problems and heterogeneous and anisotropic media","Castiel Reis de Souza, A. (TU Delft Numerical Analysis); Elisiário de Carvalho, Darlan Karlo (Universidade Federal de Pernambuco); de Moura Cavalcante, Túlio (Universidade Federal de Pernambuco); Licapa Contreras, Fernando Raul (Universidade Federal de Pernambuco); Edwards, Michael G. (Swansea University); Lyra, Paulo Roberto Maciel (Universidade Federal de Pernambuco)","","2024","A novel Flux Limited Splitting (FLS) non-linear Finite Volume (FV) method for families of linear Control Volume Distributed Multi Point Flux Approximation (CVD-MPFA) schemes is presented. The new formulation imposes a local discrete maximum principal (LDMP) which ensures that the discrete solution is free of spurious oscillations. The FLS scheme can be seen as a natural extension of the M-Matrix Flux Splitting method that splits the MPFA flux components in terms of the Two-Point Flux Approximation (TPFA) flux and Cross Diffusion Terms (CDT), with the addition of a dynamically computed relaxation parameter to the CDT that identifies and locally corrects the regions where the LDMP is violated. Moreover, the whole non-linear procedure was devised as a series of simple straightforward matrix operations. The methodology is presented considering the Multi-Point Flux Approximation with a Diamond (MPFA-D) in what we call the FLS + MPFA-D formulation which is tested using a series of challenging benchmark problems. For all test cases, the FLS repair technique imposes the LDMP and eliminates the spurious oscillations induced by the original MPFA-D method.","Flux Limited Splitting (FLS); Non-linear Repair Technique; Discrete Maximum Principle (DMP); Heterogeneous and Anisotropic media; Unstructured Meshes","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:f46910a1-4031-4927-a5e2-84fb0dd72e3b","http://resolver.tudelft.nl/uuid:f46910a1-4031-4927-a5e2-84fb0dd72e3b","Surface gravity wave-induced drift of floating objects in the diffraction regime","Xiao, Q. (University of Oxford); Calvert, R. (TU Delft Environmental Fluid Mechanics; University of Edinburgh); Yan, S.Q. (University of London); Adcock, T.A.A. (University of Oxford); van den Bremer, T.S. (TU Delft Environmental Fluid Mechanics; University of Oxford)","","2024","Floating objects will drift due to the action of surface gravity waves. This drift will depart from that of a perfect Lagrangian tracer due to both viscous effects (non-potential flow) and wave–body interaction (potential flow). We examine the drift of freely floating objects in regular (non-breaking) deep-water wave fields for object sizes that are large enough to cause significant diffraction. Systematic numerical simulations are performed using a hybrid numerical solver, qaleFOAM, which deals with both viscosity and wave–body interaction. For very small objects, the model predicts a wave-induced drift equal to the Stokes drift. For larger objects, the drift is generally greater and increases with object size (we examine object sizes up to 10% of the wavelength). The effects of different shapes, sizes and submergence depths and steepnesses are examined. Furthermore, we derive a ‘diffraction-modified Stokes drift’ akin to Stokes (Trans. Camb. Phil. Soc., vol. 8, 1847, pp. 411–455), but based on the combination of incident, diffracted and radiated wave fields, which are based on potential-flow theory and obtained using the boundary element method. This diffraction-modified Stokes drift explains both qualitatively and quantitatively the increase in drift. Generally, round objects do not diffract the wave field significantly and do not experience a significant drift enhancement as a result. For box-shape objects, drift enhancement is greater for larger objects with greater submergence depths (we report an increase of 92% for simulations without viscosity and 113% with viscosity for a round-cornered box whose size is 10% of the wavelength). We identify the specific standing wave pattern that arises near the object because of diffraction as the main cause of the enhanced drift. Viscosity plays a small positive role in the enhanced drift behaviour of large objects, increasing the drift further by approximately 20%.","wave-structure interactions","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:03accef9-d307-4fcc-b7a3-54db837cb4df","http://resolver.tudelft.nl/uuid:03accef9-d307-4fcc-b7a3-54db837cb4df","Contributing to health system resilience during pandemics via purchasing and supply strategies: an exploratory system dynamics approach","Götz, Paula (Student TU Delft); Auping, Willem L. (TU Delft Policy Analysis); Hinrichs-Krapels, S. (TU Delft Policy Analysis)","","2024","Background: Health systems worldwide struggled to obtain sufficient personal protective equipment (PPE) and ventilators during the COVID-19 pandemic due to global supply chain disruptions. Our study’s aim was to create a proof-of-concept model that would simulate the effects of supply strategies under various scenarios, to ultimately help decision-makers decide on alternative supply strategies for future similar health system related crises. Methods: We developed a system dynamics model that linked a disease transmission model structure (susceptible, exposed, infectious, recovered (SEIR)) with a model for the availability of critical supplies in hospitals; thereby connecting care demand (patients’ critical care in hospitals), with care supply (available critical equipment and supplies). To inform the model structure, we used data on critical decisions and events taking place surrounding purchase, supply, and availability of PPE and ventilators during the first phase of the COVID-19 pandemic within the English national health system. We used exploratory modelling and analysis to assess the effects of uncertainties on different supply strategies in the English health system under different scenarios. Strategies analysed were: (i) purchasing from the world market or (ii) through direct tender, (iii) stockpiling, (iv) domestic production, (v) supporting innovative supply strategies, or (vi) loaning ventilators from the private sector. Results: We found through our exploratory analysis that a long-lasting shortage in PPE and ventilators is likely to be apparent in various scenarios. When considering the worst-case scenario, our proof-of-concept model shows that purchasing PPE and ventilators from the world market or through direct tender have the greatest influence on reducing supply shortages, compared to producing domestically or through supporting innovative supply strategies. However, these supply strategies are affected most by delays in their shipment time or set-up. Conclusion: We demonstrated that using a system dynamics and exploratory modelling approach can be helpful in identifying the purchasing and supply chain strategies that contribute to the preparedness and responsiveness of health systems during crises. Our results suggest that to improve health systems’ resilience during pandemics or similar resource-constrained situations, purchasing and supply chain decision-makers can develop crisis frameworks that propose a plan of action and consequently accelerate and improve procurement processes and other governance processes during health-related crises; implement diverse supplier frameworks; and (re)consider stockpiling. This proof-of-concept model demonstrates the importance of including critical supply chain strategies as part of the preparedness and response activities to contribute to health system resilience.","Critical medical supply chains; Exploratory modelling and analysis; Health system preparedness; Health system resilience; Health system responsiveness; Personal protective equipment (PPE); System dynamics","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:7e9668b7-e295-4802-b659-328491e357aa","http://resolver.tudelft.nl/uuid:7e9668b7-e295-4802-b659-328491e357aa","Regulatory incentives for transmission system operators under flow-based market coupling","Kenis, Michiel (Katholieke Universiteit Leuven; Flemish Institute for Technological Research; EnergyVille); Bruninx, K. (TU Delft Energie and Industrie; Katholieke Universiteit Leuven); Delarue, Erik (Katholieke Universiteit Leuven; EnergyVille)","","2024","Flow-based market coupling is a critical element of the electricity market in Europe. Transmission System Operators determine the commercial transmission capacity that can be implicitly traded in a zonal day-ahead market. However, this entails a trade-off: higher commercial transmission capacities increase market efficiency, affecting the electricity market prices, but also increase redispatch costs, affecting the network tariff. The decision on the commercial transmission capacity should optimally balance day-ahead welfare and redispatch costs, but depends on the rules and regulated incentives enforced on the TSOs. A MinRAM criterion, i.e., imposing minima for the commercial transmission capacity, is a one-size-fits-all policy without variation in time and space that unlikely leads to optimal transmission capacity allocation and is hard to tune because regulators have incomplete information. Incentive regulation is an alternative policy instrument promoting welfare-maximizing commercial transmission capacities, robust against information asymmetry. We provide a set of mathematical conditions to properly design an incentive scheme that rewards price convergence and penalizes excessive redispatch costs. Therefore, this paper serves as a stepping stone towards tapping the full potential of cross-border trade in zonal markets for policymakers, regulators, TSOs and market participants.","Congestion management; Day-ahead markets; Flow-based market coupling; Incentive regulation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-13","","","Energie and Industrie","","",""
"uuid:a38ea3ca-fd25-49ed-9988-948c08cfb6fc","http://resolver.tudelft.nl/uuid:a38ea3ca-fd25-49ed-9988-948c08cfb6fc","The Scientific Graphic Organizer for Lab Work","Pols, C.F.J. (TU Delft ImPhys/Docenten)","","2024","","","en","journal article","","","","","","","","","","","ImPhys/Docenten","","",""
"uuid:adc79ce6-2035-4804-91a0-087573bf3f8b","http://resolver.tudelft.nl/uuid:adc79ce6-2035-4804-91a0-087573bf3f8b","Wafer-scale uniformity of Dolan-bridge and bridgeless Manhattan-style Josephson junctions for superconducting quantum processors","Muthusubramanian, N. (TU Delft QN/Kavli Nanolab Delft); Finkel, M. (TU Delft QCD/DiCarlo Lab); Duivestein, W.J. (TU Delft QN/Groeblacher Lab); Zachariadis, C. (TU Delft QN/Kavli Nanolab Delft); van der Meer, S.L.M. (TU Delft QCD/DiCarlo Lab); Veen, H.M. (TU Delft QCD/DiCarlo Lab); Beekman, M.C. (TU Delft BUS/TNO STAFF; TNO); Stavenga, T. (TU Delft QCD/DiCarlo Lab); Bruno, A. (TU Delft QN/Kavli Nanolab Delft); DiCarlo, L. (TU Delft QCD/DiCarlo Lab; TU Delft QN/DiCarlo Lab)","","2024","We investigate die-level and wafer-scale uniformity of Dolan-bridge and bridgeless Manhattan-style Josephson junctions, using multiple substrates with and without through-silicon vias (TSVs). Dolan junctions fabricated on planar substrates have the highest yield and lowest room-temperature conductance spread, equivalent to ∼ 100 M H z in transmon frequency. In TSV-integrated substrates, Dolan junctions suffer most in both yield and disorder, making Manhattan junctions preferable. Manhattan junctions show pronounced conductance decrease from wafer center to edge, which we qualitatively capture using a geometric model of spatially-dependent resist shadowing during junction electrode evaporation. Analysis of actual junction overlap areas using scanning electron micrographs supports the model, and further points to a remnant spatial dependence possibly due to contact resistance.","transmon; scalability; through-silicon vias; frequency targeting; Dolan-bridge junction; Manhattan-style junction","en","journal article","","","","","","","","","","","QN/Kavli Nanolab Delft","","",""
"uuid:211d9fc2-aab8-455b-85e8-b3328e2b930a","http://resolver.tudelft.nl/uuid:211d9fc2-aab8-455b-85e8-b3328e2b930a","Influence of Scour Protection on the Vertical Bearing Behaviour of Monopiles in Sand","Li, Qiang (Hangzhou City University; PowerChina Huadong Engineering Corporation Limited); Wang, Xinquan (Hangzhou City University); Gavin, Kenneth (TU Delft Geo-engineering); Jiang, Shengxiang (PowerChina Huadong Engineering Corporation Limited); Diao, Hongguo (Hangzhou City University); Wang, Kangyu (Zhejiang University of Technology)","","2024","Extensive studies have been performed on the effectiveness of scour protection against scour erosion progression. But there is little research to date evaluating the effect of scour protection on vertical resistance behaviour of monopile foundations. This paper investigates the influence of scour protection on the vertical loading behaviour of monopiles installed in sand using centrifuge tests and finite element analysis (FEA). Four scour protection widths (1D, 2D, 3D, 4D; where D is the pile diameter) and three scour protection thicknesses (1 m, 2 m, 3 m) were modelled on a pile with a slenderness ratio (L/D) of five. In the FEA, the scour protection mechanism was modelled using two strategies, namely the ‘stress method’ by applying stress and the ‘material method’ by applying virtual material on the seabed surface around the pile. Outcomes between these two strategies were compared, and the contact coefficient δ used in the ‘material method’ for describing the contact effectiveness of the overlaying scour protection material with the pile structure was introduced, providing a more scientific and accurate calculation reference for engineering applications. The results indicated that the vertical capacity of monopiles could be increased by 5% to 23% by adopting the scour protection measure, depending on the scour protection width and scour protection thickness.","piles and piling; scour protection; vertical resistance; finite element methods; centrifuge modelling","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:d30c564e-03ed-42eb-9049-e010cc339fa2","http://resolver.tudelft.nl/uuid:d30c564e-03ed-42eb-9049-e010cc339fa2","Insights into the effect of susceptor rotational speed in CVD reactor on the quality of 4H-SiC epitaxial layer on homogeneous substrates","Tang, Zhuorui (Fudan University); Gu, Lin (Fudan University); Jin, Lei (48th Research Institute of China Electronics Technology Group Corporation); Dai, Kefeng (Jihua Laboratory); Mao, Chaobin (Jihua Laboratory); Wu, Sanzhong (Jihua Laboratory); Zhang, Rongwei (Ningbo Xinsheng Medium Voltage Electrical Appliance Co., Ltd.); Yang, Jinsong (Ningbo Xinsheng Medium Voltage Electrical Appliance Co., Ltd.); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2024","In this work, 4H-SiC homoepitaxial layers were grown on 4°off-axis substrates at different susceptor rotation speeds by using a hot-wall horizontal CVD reactor. The effect of different susceptor rotation speed on the quality of 4H-SiC epitaxial layers in terms of thickness, thickness uniformity, crystallinity, surface morphology and morphological defects was investigated via Fourier transform infrared spectroscopy (FTIR), high-resolution X-ray diffraction (XRD), atomic force microscopy (AFM), confocal differential interference contrast microscopy (CDIC), ultra-violet photo-luminescence spectroscopy (UV-PL), scanning electron microscopy (SEM), and micro-Raman spectroscopy, respectively. A flow field simulation was performed to explain the impact of susceptor rotation speed on the film deposition. The FTIR results suggested that the susceptor rotation speed could be an important factor to adjust thickness uniformity and deposition rate. The XRD patterns showed that crystallinity was independent of the susceptor rotation speed. The surface morphology can be improved by changing the susceptor rotation speed. According to CDIC scans, the down-fall related defects were reduced through the increase in the susceptor rotation speed. The origin of down-fall related defects was interpreted by Raman spectroscopy and speculative models. To sum up, the susceptor rotation speed is a crucial factor in increasing growth rate and improving uniformity. Also, the faster susceptor rotation speed helps reduce the number of down-fall related defects in the hot-wall CVD reactor.","4H-SiC homoepitaxial layer; CVD reactor; susceptor rotation speed; quality; defects","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","Electronic Components, Technology and Materials","","",""
"uuid:87e5685a-8445-46d2-8629-79a076dcdcb1","http://resolver.tudelft.nl/uuid:87e5685a-8445-46d2-8629-79a076dcdcb1","Effect of temperature on lanthanide charge transition levels and vacuum referred binding energies","Dorenbos, P. (TU Delft RST/Luminescence Materials)","","2024","Location of lanthanide levels in the bandgap, vacuum referred binding energy (VRBE) in the lanthanide ground state and energy of lanthanide charge transition levels (CTLs) are just three different namings for the same concept. A concept of importance for the performance of lanthanide activated compounds. Energy differences of CTLs with the conduction band bottom and valence band top are important when it concerns e.g. lanthanide luminescence, charge carrier trapping, and valence stability. Effect of temperature on CTL energy or VRBE has so far never been addressed despite that luminescence application and thermoluminescence studies may span a temperature range from 10 K to 1000 K. In this work information on the bandgap (or energy of host exciton creation) around 10 K and at RT in compounds is gathered to demonstrate that bandgap decreases by 0.1 eV to 0.3 eV when temperature increases to RT. A similar decrease will be demonstrated for the energy of electron transfer from the VB to a trivalent lanthanide. The findings have consequences for VRBE-diagram construction, i.e. the experimental parameters for such construction should all apply to the same temperature. They also have consequences on how to relate luminescence thermal quenching energy barriers and TL derived electron and hole trap depths with a VRBE diagram. By proper evaluating the effects of temperature, accuracy of VRBE diagrams and consistency with luminescence and thermoluminescence data can be improved.","","en","journal article","","","","","","","","","","","RST/Luminescence Materials","","",""
"uuid:2e74f03d-65c9-4adb-8195-1d1de80603ca","http://resolver.tudelft.nl/uuid:2e74f03d-65c9-4adb-8195-1d1de80603ca","Numerical study on material removal of a convex pattern surface interacting with non-spherical particles","Yan, Y. (TU Delft Transport Engineering and Logistics; Jilin University); Pargalgauskas, S. (TU Delft Marine and Transport Technology); Helmons, R.L.J. (TU Delft Offshore and Dredging Engineering; Norwegian University of Science and Technology (NTNU)); Schott, D.L. (TU Delft Transport Engineering and Logistics)","","2024","A convex pattern surface is proposed and optimized to mitigate the sliding wear of bulk handling equipment caused by interaction with bulk solids. This work investigates the effectiveness of the convex pattern surface on wear reduction during interactions with non-spherical particles. Multiple representative particles, obtained through a sampling method, are reconstructed using a photogrammetry technique. Two contact parameters between particles are calibrated through shear box and drawdown tests to ensure flow behavior similar to the real material. The numerical results indicate that the convex pattern surface can effectively reduce wear compared to a plain sample when involving both spherical and non-spherical particles. For a plain sample, the wear volume remains independent of particle shapes and increases linearly with numerical revolutions. For the convex pattern surface, the wear volume demonstrates a quadratic relationship with the test revolutions as the deformation of convex elements weakens the effectiveness of the sample on wear reduction. The particle flow behavior analysis reveals that the convex pattern surface experiences the lowest wear volume when in contact with non-spherical particles. This can be attributed to the non-spherical particles sliding shorter distances and rotating with higher angular velocities on the convex pattern surface.","Convex pattern surface; DEM; Particle shape; Wear deformation; Wear reduction","en","journal article","","","","","","","","","","Marine and Transport Technology","Transport Engineering and Logistics","","",""
"uuid:fc625a4f-c5bc-4ba2-8a25-1663f031444a","http://resolver.tudelft.nl/uuid:fc625a4f-c5bc-4ba2-8a25-1663f031444a","Identification and Suppression of Multicomponent Noise in Audio Magnetotelluric Data Based on Convolutional Block Attention Module","Zhang, Liang (Guizhou University); Li, Guang (East China University of Technology); Chen, Huang (Chongqing University); Tang, Jingtian (Central South University); Yang, Guanci (Guizhou University); Yu, Mingbiao (Guizhou University); Hu, Yong (China University of Mining and Technology; China University of Petroleum (East China)); Xu, Jun (Guizhou University); Sun, J. (TU Delft Pattern Recognition and Bioinformatics)","","2024","Audio magnetotelluric (AMT) is commonly used in mineral resource exploration. However, the weak energy of AMT signals makes them susceptible to being overwhelmed by noise, leading to erroneous geophysical interpretations. In recent years, deep learning has been applied to AMT denoising and has shown better denoising performance compared to traditional methods. However, current deep learning denoising methods overlook the characteristics of AMT signals, resulting in reduced denoising accuracy. To enhance the denoising performance of deep learning by better matching the features of AMT signals, we propose a convolutional block attention module (CBAM)-based method for AMT denoising. This method focuses on the features of AMT signals and improves the process from three aspects: 1) in the establishment of the sample set, we adopt a multicomponent form based on the correlation of noise to enable the neural network to explore the potential connections among the components of AMT during the training process, thus constructing a stronger network mapping relationship; 2) in the construction of the neural network, we have introduced the CBAM structure into the residual blocks of the ResNet to enhance the network's feature learning capability by focusing on the characteristics of noise; and 3) in the design of the denoising procedure, we adopt a process of identification before denoising to protect the noise-free data segments from being compromised during the denoising process. Finally, through synthetic, field data experiments, and comparative tests, we demonstrate that our proposed method achieves higher denoising accuracy than some traditional methods and conventional deep learning methods.","Convolutional Block Attention Module (CBAM); ResNet; Audio Magnetotelluric (AMT); Denoising","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Pattern Recognition and Bioinformatics","","",""
"uuid:e1c7a606-e0d5-4a7e-b99b-023215b16166","http://resolver.tudelft.nl/uuid:e1c7a606-e0d5-4a7e-b99b-023215b16166","GLOBGM v1.0: a parallel implementation of a 30 arcsec PCR-GLOBWB-MODFLOW global-scale groundwater model","Verkaik, Jarno (Deltares; Universiteit Utrecht); Sutanudjaja, Edwin H. (Universiteit Utrecht); Oude Essink, Gualbert H.P. (Deltares; Universiteit Utrecht); Lin, H.X. (TU Delft Mathematical Physics; Universiteit Leiden); Bierkens, Marc F.P. (Universiteit Utrecht; Deltares)","","2024","We discuss the various performance aspects of parallelizing our transient global-scale groundwater model at 30′′ resolution (30arcsec; °1/41km at the Equator) on large distributed memory parallel clusters. This model, referred to as GLOBGM, is the successor of our 5′ (5arcmin; °1/410km at the Equator) PCR-GLOBWB 2 (PCRaster Global Water Balance model) groundwater model, based on MODFLOW having two model layers. The current version of GLOBGM (v1.0) used in this study also has two model layers, is uncalibrated, and uses available 30′′ PCR-GLOBWB data. Increasing the model resolution from 5′ to 30′′ creates challenges, including increased runtime, memory usage, and data storage that exceed the capacity of a single computer. We show that our parallelization tackles these problems with relatively low parallel hardware requirements to meet the needs of users or modelers who do not have exclusive access to hundreds or thousands of nodes within a supercomputer. For our simulation, we use unstructured grids and a prototype version of MODFLOW 6 that we have parallelized using the message-passing interface. We construct independent unstructured grids with a total of 278 million active cells to cancel all redundant sea and land cells, while satisfying all necessary boundary conditions, and distribute them over three continental-scale groundwater models (168 million - Afro-Eurasia; 77 million - the Americas; 16 million - Australia) and one remaining model for the smaller islands (17 million). Each of the four groundwater models is partitioned into multiple non-overlapping submodels that are tightly coupled within the MODFLOW linear solver, where each submodel is uniquely assigned to one processor core, and associated submodel data are written in parallel during the pre-processing, using data tiles. For balancing the parallel workload in advance, we apply the widely used METIS graph partitioner in two ways: it is straightforwardly applied to all (lateral) model grid cells, and it is applied in an area-based manner to HydroBASINS catchments that are assigned to submodels for pre-sorting to a future coupling with surface water. We consider an experiment for simulating the years 1958-2015 with daily time steps and monthly input, including a 20-year spin-up, on the Dutch national supercomputer Snellius. Given that the serial simulation would require °1/44.5 months of runtime, we set a hypothetical target of a maximum of 16h of simulation runtime. We show that 12 nodes (32 cores per node; 384 cores in total) are sufficient to achieve this target, resulting in a speedup of 138 for the largest Afro-Eurasia model when using 7 nodes (224 cores) in parallel. A limited evaluation of the model output using the United States Geological Survey (USGS) National Water Information System (NWIS) head observations for the contiguous United States was conducted. This showed that increasing the resolution from 5′ to 30′′ results in a significant improvement with GLOBGM for the steady-state simulation when compared to the 5′ PCR-GLOBWB groundwater model. However, results for the transient simulation are quite similar, and there is much room for improvement. Monthly and multi-year total terrestrial water storage anomalies derived from the GLOBGM and PCR-GLOBWB models, however, compared favorably with observations from the GRACE satellite. For the next versions of GLOBGM, further improvements require a more detailed (hydro)geological schematization and better information on the locations, depths, and pumping rates of abstraction wells.","","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:7d2394ed-3835-442e-ae30-02fe08172173","http://resolver.tudelft.nl/uuid:7d2394ed-3835-442e-ae30-02fe08172173","The Road Network Design Problem for the Deployment of Automated Vehicles (RNDP-AVs): A Nonlinear Programming Mathematical Model","Conceição, Lígia (Universidade do Porto); Correia, Gonçalo (TU Delft Transport and Planning); van Arem, B. (TU Delft Transport and Planning); Tavares, José Pedro (Universidade do Porto)","","2024","Once trusted, automated vehicles (AVs) will gradually appear in urban areas. Such a transition is an opportunity in transport planning to control undesired impacts and possibly mitigate congestion at a time when both conventional vehicles (CVs) and AVs coexist. This paper deals with the complex transport decision problem of designing part of the network that is exclusive for AVs through a nonlinear programming model. The objective function minimises the costs of travel times where vehicles circulate under user equilibrium. The model evaluates the benefits of having an AVs-dedicated infrastructure and the associated costs from the detouring of CVs. Three planning strategies are explored: incremental, long-term and hybrid planning. The first creates a subnetwork evolving incrementally over time. The second reversely designs a subnetwork from the optimal solution obtained at a ratio of 90% AVs. The third limits the incremental planning towards that optimal long-term solution. The model is applied to the city of Delft, in the Netherlands. Two scenarios are analysed, with and without AV-dedicated roads, at several AV penetration rates. We find that implementing dedicated roads for AVs reduces the overall costs and congestion up to 16%. However, CV detouring is inevitable at later network stages, increasing the total distance travelled (up to 8%) and congestion in the surroundings of AV subnetworks. Concerning the planning strategies, incremental planning is appropriate for starting in the initial stages and is the strategy that most tackles CV detouring. The hybrid or the long-term strategies are more suitable to be applied after a ratio of 50% AVs, and the hybrid planning is the strategy that most reduces delay.","automated vehicles; mathematical programming; optimisation; road network design problem","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:49b6f6d6-dfa8-4af5-8c72-36cc0990a8d6","http://resolver.tudelft.nl/uuid:49b6f6d6-dfa8-4af5-8c72-36cc0990a8d6","Investigation of petrophysical and hydrogeological parameters of the transboundary Nubian Aquifer system using geophysical methods","Mohammed, Musaab A. A. (University of Miskolc; University of Bahri); Mohamed, Ahmed (Assiut University); Szabó, Norbert P. (University of Miskolc); Alarifi, Saad S. (King Saud University); Abdelrady, Ahmed (TU Delft Water Resources); Alao, Joseph Omeiza (Air Force Institute of Technology)","","2024","The recent research aims to investigate the petrophysical and hydrogeological parameters of the Nubian aquifer system (NAS) in Northern Khartoum State, Sudan, using integrated geophysical methods, including surface electrical resistivity and geophysical well-logging. The Nubian aquifer is a transboundary regional aquifer that covers vast areas in Sudan, Egypt, Libya and Chad. The well-logs, including self-potential (SP), natural gamma ray (GR), and long normal resistivity (RS), are integrated with Vertical Electrical Sounding (VES) measurements to delineate the hydrostratigraphical units. As a result, two aquifers are detected. An upper aquifer comprises coarse sand with an average thickness of 50 m and a lower aquifer of sandstone with more than 200 m thickness. For a thorough evaluation of the aquifers, in the first stage, the petrophysical and hydrogeological parameters, including formation factor, total and effective porosity, shale volume, hydraulic conductivity, and transmissivity, are measured solely from geophysical well-logs. In the second step, the results of geophysical well logs are combined with VES and pumping test data to detect the spatial variation of the measured parameters over the study area. As a result, the hydraulic conductivity of the Nubian aquifers ranged from 1.9 to 7.8 m/day, while the transmissivity varied between 120 and 733 m2/day. These results indicated that the potentiality of the Nubian formation is high; however, in some regions, due to the sediment heterogeneity, the aquifers have intermediate to high potential. According to the obtained results, it can be concluded that the Nubian Aquifer in Khartoum state is ideal for groundwater development. This research discovered that geophysical approaches can be used to characterize moderately heterogeneous groundwater systems by comparing the Nubian aquifer with similar aquifer systems that have similar hydrogeological settings. This study emphasized the application of universal principles in extrapolating hydraulic parameters in hydrogeophysical surveys. This approach aims to reduce the costs and efforts associated with traditional hydrogeological approaches.","well logs; vertical electrical sounding; pumping test; hydraulic conductivity; transmissivity","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:d69ee37f-6416-4a5b-9244-77b3bef2f110","http://resolver.tudelft.nl/uuid:d69ee37f-6416-4a5b-9244-77b3bef2f110","Scour Effect on the Lateral Bearing Behaviour of Monopiles Considering Different Slenderness Ratios","Li, Qiang (Hangzhou City University; PowerChina Huadong Engineering Corporation Limited); Wang, Xinquan (Hangzhou City University); Gavin, Kenneth (TU Delft Geo-engineering); Jiang, Shengxiang (PowerChina Huadong Engineering Corporation Limited); Diao, Hongguo (Hangzhou City University); Wang, Mingyuan (PowerChina Huadong Engineering Corporation Limited); Wang, Kangyu (Zhejiang University of Technology)","","2024","Scour leads to the loss of soil around monopile foundations for offshore wind turbines, which affects their structural safety. In this paper, the effect of scour on the lateral behaviour of monopiles was extensively investigated using finite element analysis, and calibration and comparison were undertaken using centrifuge tests. Piles with three slenderness ratios, i.e., 3, 5 and 8, were studied by keeping the diameter constant and varying the embedment length. Three scour types (local narrow, local wide and global) and four scour depths (0.5D, 1D, 1.5D and 2D; D signifies the pile diameter) were considered in this investigation. The results indicate that the lateral resistance of the pile is the greatest in the case of local narrow scour, followed by that in the cases of local wide scour and global scour. When the scour depth is larger than 1D, the influence of the scour type on the pile lateral bearing behaviour is insignificant. The influence of the scour type and scour depth on the pile lateral bearing behaviour is broadly similar for piles with slenderness ratios of 3, 5 and 8. However, the piles featured with smaller embedment lengths show a larger decrease rate in their lateral capacity, which means the effect of scour should cause more concern on small slenderness ratio monopiles.","piles and piling; scour; finite element methods; centrifuge modelling; lateral bearing behaviour","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:d288d546-2869-4b3c-a54a-13d1c1ad3532","http://resolver.tudelft.nl/uuid:d288d546-2869-4b3c-a54a-13d1c1ad3532","Climate footprint of industry-sponsored clinical research: an analysis of a phase-1 randomised clinical study and discussion of opportunities to reduce its impact","LaRoche, J.K.L. (TU Delft Design for Sustainability; Janssen Research and Development); Alvarenga, Rodrigo (Environmental Resources Management, Ghent); Collins, Michael (Environmental Resources Management, Edinburgh); Costelloe, Thomas (Environmental Resources Management, Bletchley); Soete, Wouter De; Faludi, Jeremy (TU Delft Design for Sustainability); Rens, Kristel (6 Janssen Pharmaceutica NV, Beerse)","","2024","OBJECTIVE: This study aims to calculate the global warming potential, in carbon dioxide (CO2) equivalent emissions, from all in-scope activities involved in a phase-1 clinical study. DESIGN: Retrospective analysis. DATA SOURCE: Internal data held by Janssen Pharmaceuticals. STUDIES INCLUDED: Janssen-sponsored TMC114FD1HTX1002 study conducted between 2019 and 2021. MAIN OUTCOME: Measure CO2 equivalents (CO2e) for in-scope clinical trial activities calculated according to intergovernmental panel on climate change 2021 impact assessment methodology. RESULTS: The CO2e emissions generated by the trial were 17.65 tonnes. This is equivalent to the emissions generated by driving an average petrol-fueled family car 71 004 km or roughly 1.8 times around the circumference of the Earth. Commuting to the clinical site by the study participants generated the most emissions (5419 kg, 31% of overall emissions), followed by trial site utilities (2725 kg, 16% of overall emissions) and site staff travel (2560 kg, 15% of overall emissions). In total, the movement of people (participant travel, site staff travel and trial site staff travel) accounted for 8914 kg or 51% of overall trial emissions. CONCLUSIONS: Decentralised trial models which seek to bring clinical trial operations closer to the participant offer opportunities to reduce participant travel. The electrification of sponsor vehicle fleets and society's transition towards electric vehicles may result in further reductions. TRIAL REGISTRATION NUMBER: NCT04208061.","","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:41f09a94-213b-4c70-aade-9bd56a1e53c8","http://resolver.tudelft.nl/uuid:41f09a94-213b-4c70-aade-9bd56a1e53c8","A new power-law model for μ–Λ relationships in convective and stratiform rainfall","Gatidis, C. (TU Delft Atmospheric Remote Sensing); Schleiss, M.A. (TU Delft Atmospheric Remote Sensing); Unal, C.M.H. (TU Delft Atmospheric Remote Sensing)","","2024","In this study, we take a closer look at the important issue of μ–Λ relationships in raindrop size distributions (DSDs) by conducting a systematic analysis of 20 months of data collected by disdrometers in the Netherlands. A new power-law model for representing μ–Λ relationships based on the double normalization framework is proposed and used to derive separate μ–Λ relationships for stratiform and convective rain events. The sensitivity of the obtained relationships to measurement uncertainty is studied by applying two different quality control filters based on the mass-weighted mean drop diameter (Dm) and liquid water content (LWC). Our results show that there are significant differences in μ–Λ relationships between convective and stratiform rainfall types. However, the retrieved relationships appear to be quite robust to measurement noise and there is good agreement with other reference relations for similar climatological conditions.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:e36e2f50-e8d7-4ec6-bc0a-e73203fee4c4","http://resolver.tudelft.nl/uuid:e36e2f50-e8d7-4ec6-bc0a-e73203fee4c4","From acceptance to continuance: Understanding the influence of initial participation experience on residents' intentions to continue participation in neighborhood rehabilitation","Li, Y. (TU Delft Design & Construction Management); Zhuang, T. (Chongqing University); Qian, QK (TU Delft Design & Construction Management); Mlecnik, E. (TU Delft Real Estate Management); Visscher, H.J. (TU Delft Design & Construction Management)","","2024","In the context of increasing focus on social sustainability, neighborhood rehabilitation has emerged as a crucial component of global urban renewal initiatives. Distinct from most renewal paradigms that are usually one-offs, neighborhood rehabilitation is a long-term endeavor that requires ongoing resident participation to effectively address diverse needs, investment shortages, and governance challenges. Extant research predominantly focuses on residents' initial engagement, leaving the dynamics of continued participation and its influencing factors largely unexamined. Employing the Expectation-Confirmation Model (ECM), this study explores how residents' initial participation experiences influence their intentions to continue participation. Analyzing questionnaire responses from 367 experienced residents in Wuhan, China, the study finds that a mere 38.2 % of residents exhibit re-engage intention. Path analysis shows that initial participation experience influences residents' re-engage intention indirectly through participation satisfaction and perceived usefulness. Residents' re-engage intention is most influenced by level of influence residents hold in decision-making, followed by type of activities they engage in, and stage of their initial involvement. As an exploratory study into the realm of continued participation, this research uncovers several potential pathways and policy recommendations, aiming to ease residents' transition from initial acceptance to sustained engagement in future neighborhood development efforts.","neighborhood rehabilitation; urban renewal; resident participation; continued participation; expectation-confirmation model (ECM); China","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:a6281c78-24d9-414a-98fb-cae0feb03da2","http://resolver.tudelft.nl/uuid:a6281c78-24d9-414a-98fb-cae0feb03da2","Assessment of self-healing behavior of polypropylene fiber-reinforced cement mortar with crystalline admixture: the effects of crack widths, cracking ages, and external conditions","Liu, Baoju (Central South University China); Ye, Huiwen (Central South University China); Jiang, Junyi (Central South University China); Shi, Jinyan (Central South University China); Yalçınkaya, Çağlar (Dokuz Eylul University); Šavija, B. (TU Delft Materials and Environment)","","2024","Crystalline admixture (CA) is an effective self-healing agent for mortar. However, the effects of crack parameters (i.e. crack width and cracking age) and the service environment on the self-healing behavior of CA-containing mortar are not well understood. Herein, the self-healing behavior of mortar containing a self-developed CA was assessed by testing strength recovery, impermeability recovery, and crack closure in pre-cracked specimens. Three initial crack widths (0.2, 0.3, and 0.4 mm), five cracking ages (3, 7, 14, 28, and 56 days), and four external exposure conditions (humidity chamber, air exposure, water immersion, and wet-dry cycles) are investigated. Furthermore, the influence of different external conditions on the healing products at the region of crack and the pore structure of hardened paste containing CA are studied. The results show that adding 4.54% CA into mortar allows rapid healing of 300 μm-wide cracks. Although wider cracks (400 μm) are more difficult to heal, the sorptivity coefficients of the mortars with 400 μm-wide cracks after healing decrease. When the cracks are produced at an earlier age, the pre-cracked specimens have higher recovery ratios of strength and impermeability after healing, and the specimens pe-cracked at a later age still have acceptable compressive strengths after healing. The analysis shows that the strengths and impermeabilities of pre-cracked mortars containing CA exposed to the four external conditions are all recovered. The best self-healing performance is observed for the specimens exposed to water immersion and wet-dry cycles conditions. Somewhat less good self-healing was observed in the specimens exposed to humid chamber condition, while the worst self-healing performance was in the specimens exposed to air exposure condition. This study provides a theoretical basis for the application of novel CAs in cement-based materials.","crack; crystalline admixture; mortar; permeability; Self-healing behavior","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-16","","","Materials and Environment","","",""
"uuid:a2b98301-0ac0-470c-a1d7-23b0f07c5a97","http://resolver.tudelft.nl/uuid:a2b98301-0ac0-470c-a1d7-23b0f07c5a97","Developing a Pedagogical Framework for an Integrated and BIM-Based High-Performance Design Studio: Experimental Case Study","Shahverdi, Amir Farbod (Shahid Beheshti University); Mostafavi, F. (TU Delft Building Knowledge); Roodkoly, Sogand Haghighat (Shahid Beheshti University); Zomorodian, Zahra Sadat (Shahid Beheshti University); Homayouni, Hoda (Shahid Beheshti University)","","2024","As the current environmental crisis and depletion of our energy resources are pushing the Architecture, Engineering, and Construction (AEC) industry toward the design and construction of High-Performance (HP) buildings, new organizational and technological methods of practice, such as Integrated Design Process (IDP) and Building Information Modeling (BIM), have emerged to facilitate this transition. Consequently, Architecture schools are left with the duty of training practitioners with the required holistic vision and technical knowledge for designing HP buildings, technological abilities to work with new BIM tools, collaboration skills to work with cross-disciplinary team members, and theoretical knowledge to run the new processes. Scholars of architectural education are faced with a significant theoretical and practical knowledge gap on how to add all these new layers of knowledge and skills to what is an already saturated curriculum in architecture schools. To address this need, we developed a conceptual framework for teaching an integrated and BIM-based HP design studio for the MS program in Building Science. The experience was successful in creating an effective systematic method for integrating HP design elements in the students' projects, with all the teams achieving their project performance targets in six distinct HP categories of energy consumption, greenhouse gas emissions, health and wellbeing, water management, and resiliency, while meeting reasonable architectural qualities and economic criteria. The key elements of this pedagogical approach, including teamwork, a structured and iterative design process, decision-making mechanism with a high level of attention given to various performance metrics, the use of related BIM technologies, and the evaluation techniques, are introduced, discussed, and recommendations are proposed for future applications.","architectural design; construction; curricula; decision making; energy utilization; gas emissions; greenhouse gases; iterative methods; personnel training; studios; water management","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-11","","","Building Knowledge","","",""
"uuid:bfbac0fe-5094-4ec2-a9da-d80eb84d8dc7","http://resolver.tudelft.nl/uuid:bfbac0fe-5094-4ec2-a9da-d80eb84d8dc7","A comprehensive thermal analysis of icemaking process inside a domestic freezer: Theoretical, numerical and experimental analyses","Akbar Ahmadi, Ali (University of Nebraska Omaha); Hooman, K. (TU Delft Heat Transformation Technology); Rahbari, Alireza (Australian National University)","","2024","Automatic icemakers are integrated into refrigerators to ensure a consistent ice supply and improve energy efficiency. Despite these advantages, a thorough investigation of the automatic icemaking process in domestic refrigerator-freezers is lacking in the literature. This study aims at assessing the performance of automatic icemaking process in a domestic freezer through detailed theoretical, numerical and experimental analyses. A simplistic zero-dimensional transient energy balance model is developed to investigate the heat transfer during different stages of the water solidification process. The convective heat transfer coefficient calculated from the theoretical analysis is used to inform the numerical model. A three-dimensional transient model is proposed to predict the temperature and density variation inside the ice cube modelled as a pyramid. The free surface flow is modelled using volume of fluid method, while enthalpy-porosity method is employed for the water freezing process. The results show a non-uniform temperature distribution throughout the solidification process and that the temperature of the outer frozen layers keeps decreasing with the solidification time. Experiments are conducted to measure the temperature variation of the ice cube. It is shown that the icemaking process is accelerated by around 18 % when the ice-removal temperature is set at −8°C instead of −12 °C, which is a conventional set temperature for ice remover in current domestic freezers.","Domestic freezer; Enthalpy-porosity method; Icemaking; Solidification; Volume of fluid method","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-14","","","Heat Transformation Technology","","",""
"uuid:8e213072-5117-41f0-b9f0-2cc35a8ebc96","http://resolver.tudelft.nl/uuid:8e213072-5117-41f0-b9f0-2cc35a8ebc96","Exploring Multidimensional Modularity: Strategies to Reduce Complexity in Design Activities","Tan, T. (The University of Hong Kong); Mills, Grant (University College London (UCL)); Papadonikolaki, E. (TU Delft Integral Design & Management)","","2024","Modularity is an approach to simplify systems and reduce complexity. However, existing research suggests that a mono-dimensional modularity strategy, focusing solely on one dimension, such as product, process, or organization, might not fully achieve these goals in design activities. This research investigates how combining strategies from various dimensions of modularity can reduce the complexity of large-scale engineering design. The Huoshenshan Hospital, a 1,000-bed hospital designed and built in 10 days, provided an extreme case study of the first emergency hospital to address COVID-19. The research identified 10 different aspects, termed ‘proximities’, which relate to how people perceive the four dimensions of modularity, specifically across organization–process–product–supply-chain dimensions. Additionally, it identified three types of reinforcement relationships aimed at diminishing complexity in design activities: modular alignment (i.e., synchronized alignment and asynchronous alignment), modular complementarity (i.e., subtraction complement and addition complement), and modular incentive relationships. This research highlights that these three types of reinforcement relationships between different dimensions of modularity can reduce complexity, allowing subsystems to support the system in working as a whole.","modularity; engineering design; design activities; construction; case study","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-23","","","Integral Design & Management","","",""
"uuid:0c332370-5673-48e9-894a-66d70de5c225","http://resolver.tudelft.nl/uuid:0c332370-5673-48e9-894a-66d70de5c225","Bridging Field and Laboratory Permeabilities of Pervious Pavement Mixtures Using XRCT-Based Numerical Modeling","Jagadeesh, A. (TU Delft Pavement Engineering); Ong, G. P. (National University of Singapore); Su, Y. M. (National Kaohsiung University of Science and Technology)","","2024","Drainage capacity of pervious pavement mixtures is commonly measured using a falling head permeameter at hydraulic heads much higher than expected in the field. Recent advancements in computational fluid dynamics (CFD)- and X-ray computed tomography (XRCT)-based modeling eliminates the laboratory challenges of maintaining lower hydraulic heads. However, improper characterization in digital image processing (DIP) and finite-volume simulations resulted in significant errors in permeability measurements and fluid flow behavior. In addition, past studies have identified non-Darcy fluid flow characteristics in pervious pavement mixtures following the Izbash and Forchheimer laws. This paper attempts to bridge this research gap by comparing the Darcy and non-Darcy permeability parameters at different laboratory and field hydraulic heads using advanced XRCT-based modeling. It was found from the analyses that the use of laboratory hydraulic head could result in significant underestimation of permeability parameters compared with the field hydraulic heads for Darcy and Izbash equations (by up to 73%), and overestimation for Forchheimer equations (by up to 216%). Fluid flow behavior in pervious mixtures was found to be in transition flow regime (neither laminar nor turbulent) at both laboratory and field hydraulic gradients. Overall, this study can help in a better fundamental understanding of the current limitations of laboratory measurements and the need for XRCT-based numerical modeling to bridge field and laboratory permeabilities of pervious pavement mixtures.","Field hydraulic heads; Finite-volume simulations; Medical X-ray computed tomography (XRCT); Non-Darcy permeability; Pervious pavement","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-24","","","Pavement Engineering","","",""
"uuid:98dcc7af-1d83-417f-9909-7308bdad9b9e","http://resolver.tudelft.nl/uuid:98dcc7af-1d83-417f-9909-7308bdad9b9e","Receding Horizon Re-Ordering of Multi-Agent Execution Schedules","Berndt, Alexander (Overstory B.V.); Van Duijkeren, Niels (Robert Bosch GmbH); Palmieri, Luigi (Robert Bosch GmbH); Kleiner, Alexander (Robert Bosch GmbH); Keviczky, T. (TU Delft Team Tamas Keviczky)","","2024","The trajectory planning for a fleet of automated guided vehicles (AGVs) on a roadmap is commonly referred to as the multi-agent path finding (MAPF) problem, the solution to which dictates each AGV's spatial and temporal location until it reaches its goal without collision. When executing MAPF plans in dynamic workspaces, AGVs can be frequently delayed, e.g., due to encounters with humans or third-party vehicles. If the remainder of the AGVs keeps following their individual plans, synchrony of the fleet is lost and some AGVs may pass through roadmap intersections in a different order than originally planned. Although this could reduce the cumulative route completion time of the AGVs, generally, a change in the original ordering can cause conflicts, such as deadlocks. In practice, synchrony is therefore often enforced by using a MAPF execution policy employing, e.g., an action dependency graph (ADG) to maintain ordering. To safely re-order without introducing deadlocks, we present the concept of the switchable action dependency graph (SADG). Using the SADG, we formulate a comparatively low-dimensional mixed-integer linear program that repeatedly re-orders AGVs in a recursively feasible manner, thus maintaining deadlock-free guarantees, while dynamically minimizing the cumulative route completion time of all AGVs. Various simulations validate the efficiency of our approach when compared to the original ADG method as well as robust MAPF solution approaches.","Mixed integer programming; multi-agent path finding (MAPF); robust plan execution; scheduling and coordination","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-18","","","Team Tamas Keviczky","","",""
"uuid:53155951-f11c-4979-a442-812c0a76929e","http://resolver.tudelft.nl/uuid:53155951-f11c-4979-a442-812c0a76929e","The role of City Climate Networks in Promoting Citizen Participation in Municipalities: A Critical Multi-Case Analysis","Zapata Arango, Mateo (Student TU Delft); Hoppe, T. (TU Delft Organisation & Governance); Itten, A.V. (TU Delft Organisation & Governance); Blok, K. (TU Delft Energie and Industrie)","","2024","Background
At the COP21, cities were recognised as key actors in combatting climate change. In supporting cities, climate city networks such as transnational climate networks (TCNs) and national climate networks (NCNs) have emerged to enable cities in building capacities and formulating climate policy whilst also encouraging citizen engagement and participation in public decision-making. This paper addresses the question whether and how TCN or NCN membership enables municipalities to implement citizen participation in public decision-making. Six propositions are presented addressing: presumed influence of TCN membership on citizen participation, organization of citizen participation, initiator capacity, goal setting, involvement of stakeholders, participatory methods used, and planning processes. A multi-case study research design is used to verify these propositions, comprising of four medium-sized cities in the Netherlands and three in Belgium.
Results
Results of the analysis of four cities in The Netherlands show that municipalities having membership to climate city networks only to a low extent empower citizen participation via local climate agendas. Citizen participation emerges rather bottom-up via local initiatives or capacity building via EU framework programs—outside TCNs or NCNs—that better suit financial needs and provide more immediate benefits to municipalities. None of the six propositions were confirmed. A more positive image resulted from the Belgian cases that moderately confirmed four out of six propositions (i.e., organizing citizen participation, goal setting, selection of methods, and planning), and featured indirect empowerment via externally funded implementation projects following firm integration of participation in local climate policy through TCN influence.
Conclusions
In terms of citizen participation selected municipalities in the Netherlands having TCN and/or NCN membership only to a small extent differ from those not having membership. This is partly due to poor implementation of TCNs and NCNs—with Covenant of Mayors and ‘Klimaatverbond’ lacking support structure and capacity—having lost importance during the past years. However, there is reason to believe that context makes a difference as revealed by the cases from Belgium, which revealed more positive results.","Climate policy; Citizen participation; City climate network; Policy network; Implementation; Energy transition; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:64164874-7b5c-40fd-82ac-721b0d10f19c","http://resolver.tudelft.nl/uuid:64164874-7b5c-40fd-82ac-721b0d10f19c","Discovering the Significance of Housing Neighbourhoods by Assessing Their Attributes With a Digital Tool","Spoormans, L.G.K. (TU Delft Heritage & Architecture); de Jonge, W. (TU Delft Heritage & Architecture); Czischke, D.K. (TU Delft Real Estate Management); Pereira Roders, A. (TU Delft Heritage & Architecture)","","2024","Much of the building stock subjected to the upcoming European Renovation Wave is neither listed as heritage nor considered valuable architecture. This also applies to Dutch housing built between 1965 and 1985, more than 30% of the Dutch housing stock, for which there is no consensus on their cultural significance. Their successful renovation process requires broad support. What attributes do citizens consider significant in their neighbourhood? How do we include a multitude of stakeholders? And can digital methods help collect and process responses? This article reveals significant attributes of residential neighbourhoods from 1965 to 1985, assessed by various stakeholders with a digital tool based on case studies in Amsterdam and Almere. A mobile application allowed individuals to identify significant attributes at various scales while visiting the neighbourhood. By qualitative data analysis of survey and interview results, groups of tangible and intangible attributes were deduced. Results show that identifying attributes by current stakeholders broadens existing expert-led assessments on 1965–1985 neighbourhoods by including, for example, generic attributes not originally intended by the designers. Asking open-ended questions is considered essential to identify undiscovered attributes by alternative stakeholders, although dealing with large numbers of responses is recognised as a challenge to cluster and classify. Lastly, the mobile application appears to be a useful digital tool, but integrating scientific consistency and usability is recommended for further development. Engaging multiple stakeholders with such mobile applications allows for collecting opinions, anticipating conflicts, or shared interests between stakeholders and integration into renovation designs. It can empower citizens to preserve the neighbourhood attributes that are most significant to them.","cultural significance; heritage attributes; housing neighbourhoods; post-Second World War architecture; participation","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:0fde08de-f91c-4621-8391-8e9a0b44fb12","http://resolver.tudelft.nl/uuid:0fde08de-f91c-4621-8391-8e9a0b44fb12","Accuracy Assessment of Numerical Morphological Models Based on Reduced Saint-Venant Equations","Barneveld, H.J. (Wageningen University & Research; HKV); Mosselman, E. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares); Chavarrías, V. (Deltares); Hoitink, A.J.F. (Wageningen University & Research)","","2024","Sustainable river management often requires long-term morphological simulations. As the future is unknown, uncertainty needs to be accounted for, which may require probabilistic simulations covering a large parameter domain. Even for one-dimensional models, simulation times can be long. One of the acceleration strategies is simplification of models by neglecting terms in the governing hydrodynamic equations. Examples are the quasi-steady model and the diffusive wave model, both widely used by scientists and practitioners. Here, we establish under which conditions these simplified models are accurate. Based on results of linear stability analyses of the St. Venant-Exner equations, we assess migration celerities and damping of infinitesimal, but long riverbed perturbations. We did this for the full dynamic model, that is, no terms neglected, as well as for the simplified models. The accuracy of the simplified models was obtained from comparison between the characteristics of the riverbed perturbations for simplified models and the full dynamic model. We executed a spatial-mode and a temporal-mode linear analysis and compared the results with numerical modeling results for the full dynamic and simplified models, for very small and large bed waves. The numerical results match best with the temporal-mode linear analysis. We show that the quasi-steady model is highly accurate for Froude numbers up to 0.7, probably even for long river reaches with large flood wave damping. Although the diffusive wave model accurately predicts flood wave migration and damping, key morphological metrics deviate more than 5% (10%) from the full dynamic model when Froude numbers exceed 0.2 (0.3).","linear analysis; modeling; morphology; quasi-steady","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:b144ad47-692b-41c8-a9c0-e04096080ff9","http://resolver.tudelft.nl/uuid:b144ad47-692b-41c8-a9c0-e04096080ff9","Effect of Long-Term Sodium Hypochlorite Cleaning on Silicon Carbide Ultrafiltration Membranes Prepared via Low-Pressure Chemical Vapor Deposition","Jan, A. (TU Delft Sanitary Engineering); Chen, M. (TU Delft ChemE/Product and Process Engineering; University of Twente); Nijboer, Michiel (University of Twente); Luiten-Olieman, Mieke W.J. (University of Twente); Rietveld, L.C. (TU Delft Sanitary Engineering); Heijman, Sebastiaan (TU Delft Sanitary Engineering)","","2024","Sodium hypochlorite (NaClO) is widely used for the chemical cleaning of fouled ultrafiltration (UF) membranes. Various studies performed on polymeric membranes demonstrate that long-term (>100 h) exposure to NaClO deteriorates the physicochemical properties of the membranes, leading to reduced performance and service life. However, the effect of NaClO cleaning on ceramic membranes, particularly the number of cleaning cycles they can undergo to alleviate irreversible fouling, remains poorly understood. Silicon carbide (SiC) membranes have garnered widespread attention for water and wastewater treatment, but their chemical stability in NaClO has not been studied. Low-pressure chemical vapor deposition (LP-CVD) provides a simple and economical route to prepare/modify ceramic membranes. As such, LP-CVD facilitates the preparation of SiC membranes: (a) in a single step; and (b) at much lower temperatures (700–900 °C) in comparison with sol-gel methods (ca. 2000 °C). In this work, SiC ultrafiltration (UF) membranes were prepared via LP-CVD at two different deposition temperatures and pressures. Subsequently, their chemical stability in NaClO was investigated over 200 h of aging. Afterward, the properties and performance of as-prepared SiC UF membranes were evaluated before and after aging to determine the optimal deposition conditions. Our results indicate that the SiC UF membrane prepared via LP-CVD at 860 °C and 100 mTorr exhibited excellent resistance to NaClO aging, while the membrane prepared at 750 °C and 600 mTorr significantly deteriorated. These findings not only highlight a novel preparation route for SiC membranes in a single step via LP-CVD, but also provide new insights about the careful selection of LP-CVD conditions for SiC membranes to ensure their long-term performance and robustness under harsh chemical cleaning conditions.","silicon carbide; ultrafiltration; low-pressure chemical vapor deposition; sodiumhypochlorite; chemical aging","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:0fddf122-d78d-4365-8e86-2cab32ade2f7","http://resolver.tudelft.nl/uuid:0fddf122-d78d-4365-8e86-2cab32ade2f7","Towards a pattern language for green space design in high density urban developments","Zhou, S. (TU Delft Urban Design); Nijhuis, S. (TU Delft Landscape Architecture); Dijkstra, R.J. (TU Delft Urban Design)","","2024","In the inevitable high-density urbanization process, existing urban green space (UGS) design approaches are ineffective in creating more green areas and combining multidisciplinary design principles to provide balanced sets of ecosystem services (ESs). This paper proposes a systematic framework for UGS design in the context of high-density urban development, results in spatial patterns, a pattern language, that combines specific design principles with a wide range of complementary ESs suitable for high-density environments. Such design approach can create more possibilities for UGS provisioning, deal with the complexity in high-density contexts, and provides consistency at different scale for UGS designs.","Green space design; high-density urban environment; ecosystem services; pattern language","en","journal article","","","","","","","","","","","Urban Design","","",""
"uuid:293607b7-85ff-45d0-a3e3-31fe04c2c5ed","http://resolver.tudelft.nl/uuid:293607b7-85ff-45d0-a3e3-31fe04c2c5ed","How Hard Is Weak-Memory Testing?","Chakraborty, S.S. (TU Delft Programming Languages); Krishna, Shankara Narayanan (Indian Institute of Technology Bombay); Mathur, Umang (National University of Singapore); Pavlogiannis, Andreas (Aarhus University)","","2024","Weak-memory models are standard formal specifications of concurrency across hardware, programming languages, and distributed systems. A fundamental computational problem is consistency testing: is the observed execution of a concurrent program in alignment with the specification of the underlying system? The problem has been studied extensively across Sequential Consistency (SC) and weak memory, and proven to be NP-complete when some aspect of the input (e.g., number of threads/memory locations) is unbounded. This unboundedness has left a natural question open: are there efficient parameterized algorithms for testing? The main contribution of this paper is a deep hardness result for consistency testing under many popular weak-memory models: the problem remains NP-complete even in its bounded setting, where candidate executions contain a bounded number of threads, memory locations, and values. This hardness spreads across several Release-Acquire variants of C11, a popular variant of its Relaxed fragment, popular Causal Consistency models, and the POWER architecture. To our knowledge, this is the first result that fully exposes the hardness of weak-memory testing and proves that the problem admits no parameterization under standard input parameters. It also yields a computational separation of these models from SC, x86-TSO, PSO, and Relaxed, for which bounded consistency testing is either known (for SC), or shown here (for the rest), to be in polynomial time.","complexity; concurrency; consistency checking; weak memory models","en","journal article","","","","","","","","","","","Programming Languages","","",""
"uuid:553f74d3-2e5a-4ba6-8687-5655473d0120","http://resolver.tudelft.nl/uuid:553f74d3-2e5a-4ba6-8687-5655473d0120","Surface mass balance and climate of the Last Glacial Maximum Northern Hemisphere ice sheets: simulations with CESM2.1","Bradley, Sarah L. (University of Sheffield); Sellevold, R. (TU Delft Physical and Space Geodesy); Petrini, M. (Bjerknes Centre for Climate Research); Vizcaino, M. (TU Delft Physical and Space Geodesy); Georgiou, S. (TU Delft Physical and Space Geodesy); Zhu, Jiang (National Center for Atmospheric Research); Otto-Bliesner, Bette L. (National Center for Atmospheric Research); Lofverstrom, Marcus (University of Arizona)","","2024","The Last Glacial Maximum (LGM, from ∼26 to 20 ka BP) was the most recent period with large ice sheets in Eurasia and North America. At that time, global temperatures were 5–7 ∘C lower than today, and sea level ∼125 m lower. LGM simulations are useful to understand earth system dynamics, including climate–ice sheet interactions, and to evaluate and improve the models representing those dynamics. Here, we present two simulations of the Northern Hemisphere ice sheet climate and surface mass balance (SMB) with the Community Earth System Model v2.1 (CESM2.1) using the Community Atmosphere Model v5 (CAM5) with prescribed ice sheets for two time periods that bracket the LGM period: 26 and 21 ka BP. CESM2.1 includes an explicit simulation of snow/firn compaction, albedo, refreezing, and direct coupling of the ice sheet surface energy fluxes with the atmosphere. The simulated mean snow accumulation is lowest for the Greenland and Barents–Kara Sea ice sheets (GrIS, BKIS) and highest for British and Irish (BIIS) and Icelandic (IcIS) ice sheets. Melt rates are negligible for the dry BKIS and GrIS, and relatively large for the BIIS, North American ice sheet complex (NAISC; i.e. Laurentide, Cordilleran, and Innuitian), Scandinavian ice sheet (SIS), and IcIS, and are reduced by almost a third in the colder (lower temperature) 26 ka BP climate compared with 21 ka BP. The SMB is positive for the GrIS, BKIS, SIS, and IcIS during the LGM (26 and 21 ka BP) and negative for the NAISC and BIIS. Relatively wide ablation areas are simulated along the southern (terrestrial), Pacific and Atlantic margins of the NAISC, across the majority of the BIIS, and along the terrestrial southern margin of the SIS. The integrated SMB substantially increases for the NAISC and BIIS in the 26 ka BP climate, but it does not reverse the negative sign. Summer incoming surface solar radiation is largest over the high interior of the NAISC and GrIS, and minimum over the BIIS and southern margin of NAISC. Summer net radiation is maximum over the ablation areas and minimum where the albedo is highest, namely in the interior of the GrIS, northern NAISC, and all of the BKIS. Summer sensible and latent heat fluxes are highest over the ablation areas, positively contributing to melt energy. Refreezing is largest along the equilibrium line altitude for all ice sheets and prevents 40 %–50 % of meltwater entering the ocean. The large simulated melt for the NAISC suggests potential biases in the climate simulation, ice sheet reconstruction, and/or highly non-equilibrated climate and ice sheet at the LGM time.","","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:8d85050b-8534-49f2-9b43-c3176d55fb82","http://resolver.tudelft.nl/uuid:8d85050b-8534-49f2-9b43-c3176d55fb82","Bromate removal in an ozone - granular activated carbon filtration process for organic micropollutants removal from wastewater","van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet); Deng, Tianyi (Student TU Delft; Witteveen+Bos); Spit, Tiza (Witteveen+Bos); Luimstra, Veerle (Witteveen+Bos); de Kreuk, M.K. (TU Delft Water Management); van Halem, D. (TU Delft Sanitary Engineering)","","2024","Organic micropollutants (OMPs) enter the aquatic environment via municipal wastewater treatment plants (WWTPs). As conventional WWTPs have limited capacity for the removal of OMPs, additional processes are required, like ozone - granular activated carbon (GAC) filtration. A specific lay-out of this process is the O3-STEP® process, in which the removal of suspended solids, OMPs, phosphate and nitrate is combined. However, ozonation may result in formation of bromate, a compound with a strict water quality standard of 1 μg/L for surface waters in The Netherlands. This limits the applicability of ozonation in wastewater treatment. This study examined biological bromate removal associated with denitrification processes in the GAC filter of the O3-STEP® process. In this GAC filter methanol is dosed for nitrate removal by biological denitrification. In column experiments, bromate and nitrate were removed simultaneously under both anoxic and oxic conditions. Depletion of oxygen within the biofilm surrounding the GAC granules most probably is the reason for denitrification under oxic bulk conditions, although aerobic denitrification cannot be excluded. In batch experiments, the presence of nitrate did not affect bromate removal, whereas the presence of dissolved oxygen had a slight inhibitory effect on bromate removal and nitrate removal. Addition of methanol increased both nitrate and bromate removal, which is hypothesized to occur through an increased availability of electron donors in the water. The results show that a denitrifying GAC filter in the ozone - GAC filtration process mitigates the bromate formation, which broadens the applicability of this process for OMP removal from wastewater.","Bromate; Denitrification; Nitrate; Organic micropollutants; Ozone – granular activated carbon filtration","en","journal article","","","","","","","","","","Water Management","Sanitary Engineering","","",""
"uuid:fba66db0-cb97-4465-98b5-41b24d149ed5","http://resolver.tudelft.nl/uuid:fba66db0-cb97-4465-98b5-41b24d149ed5","Surface modification of multilayer graphene electrodes by local printing of platinum nanoparticles using spark ablation for neural interfacing","Bakhshaee Babaroud, N. (TU Delft Bio-Electronics); Rice, S.J. (TU Delft EKL Processing); Camarena, M. (Student TU Delft); Serdijn, W.A. (TU Delft Bio-Electronics; Erasmus MC); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Giagka, Vasiliki (TU Delft Bio-Electronics; Fraunhofer Institute for Reliability and Microintegration IZM)","","2024","In this paper, we present the surface modification of multilayer graphene electrodes with platinum (Pt) nanoparticles (NPs) using spark ablation. This method yields an individually selective local printing of NPs on an electrode surface at room temperature in a dry process. NP printing is performed as a post-process step to enhance the electrochemical characteristics of graphene electrodes. The NP-printed electrode shows significant improvements in impedance, charge storage capacity (CSC), and charge injection capacity (CIC), versus the equivalent electrodes without NPs. Specifically, electrodes with 40% NP surface density demonstrate 4.5 times lower impedance, 15 times higher CSC, and 4 times better CIC. Electrochemical stability, assessed via continuous cyclic voltammetry (CV) and voltage transient (VT) tests, indicated minimal deviations from the initial performance, while mechanical stability, assessed via ultrasonic vibration, is also improved after the NP printing. Importantly, NP surface densities up to 40% maintain the electrode optical transparency required for compatibility with optical imaging and optogenetics. These results demonstrate selective NP deposition and local modification of electrochemical properties in graphene electrodes for the first time, enabling the cohabitation of graphene electrodes with different electrochemical and optical characteristics on the same substrate for neural interfacing.","","en","journal article","","","","","","","","","","","Bio-Electronics","","",""
"uuid:2dacdbff-780a-4add-8b4a-972e21418ce3","http://resolver.tudelft.nl/uuid:2dacdbff-780a-4add-8b4a-972e21418ce3","Fear of Missing Out: Constrained Trial of Blockchain in Supply Chain","Kromes, R.G. (TU Delft Web Information Systems); Li, T. (TU Delft Cyber Security); Bouillion, Maxime (Windesheim University of Applied Sciences); Güler, Talha Enes (Koç University); van der Hulst, Victor (Windesheim University of Applied Sciences); Erkin, Z. (TU Delft Cyber Security)","","2024","Blockchain’s potential to revolutionize supply chain and logistics with transparency and equitable stakeholder engagement is significant. However, challenges like scalability, privacy, and interoperability persist. This study explores the scarcity of real-world blockchain implementations in supply chain and logistics since we have not witnessed many real-world deployments of blockchain-based solutions in the field. Puzzled by this, we integrate technology, user experience, and operational efficiency to illuminate the complex landscape of blockchain integration. We present blockchain-based solutions in three use cases, comparing them with alternative designs and analyzing them in terms of technical, economic, and operational aspects. Insights from a tailored questionnaire of 50 questions addressed to practitioners and experts offer crucial perspectives on blockchain adoption. One of the key findings from our work shows that half of the companies interviewed agree that they will miss the potential for competitive advantage if they do not invest in blockchain technology, and 61% of the companies surveyed claimed that their customers ask for more transparency in supply chain-related transactions. However, only one-third of the companies were aware of the main features of blockchain technology, which shows a lack of knowledge among the companies that may lead to a weaker blockchain adaption in supply chain use cases. Our readers should note that our study is specifically contextualized in a Netherlands-funded national project. We hope that researchers as well as stakeholders in supply chain and logistics can benefit from the insights of our work.","blockchain; DLT; supply chain; transparency; digital transformation; traceability","en","journal article","","","","","","","","","","","Web Information Systems","","",""
"uuid:3d772f70-28a8-4eba-834b-db2ecaa7a366","http://resolver.tudelft.nl/uuid:3d772f70-28a8-4eba-834b-db2ecaa7a366","The role of drag and gravity on dust concentration in a gravitationally unstable disc","Rowther, Sahl (University of Warwick; University of Leicester); Nealon, Rebecca (University of Warwick); Meru, Farzana (University of Warwick); Wurster, James (University of St Andrews); Aly, H.A. (TU Delft Planetary Exploration; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Alexander, Richard (University of Leicester); Rice, Ken (University of Edinburgh); Booth, Richard A (University of Leeds)","","2024","We carry out three-dimensional smoothed particle hydrodynamics simulations to study the role of gravitational and drag forces on the concentration of large dust grains (St > 1) in the spiral arms of gravitationally unstable protoplanetary discs, and the resulting implications for planet formation. We find that both drag and gravity play an important role in the evolution of large dust grains. If we include both, grains that would otherwise be partially decoupled will become well coupled and trace the spirals. For the dust grains most influenced by drag (with Stokes numbers near unity), the dust disc quickly becomes gravitationally unstable and rapidly forms clumps with masses between 0.15–6M⨁. A large fraction of clumps are below the threshold where runaway gas accretion can occur. However, if dust self-gravity is neglected, the dust is unable to form clumps, despite still becoming trapped in the gas spirals. When large dust grains are unable to feel either gas gravity or drag, the dust is unable to trace the gas spirals. Hence, full physics is needed to properly simulate dust in gravitationally unstable discs. Dust trapping of large grains in spiral arms of discs stable to gas fragmentation could explain planet formation in very young discs by a population of planetesimals formed due to the combined roles of drag and gravity in the earliest stages of a disc’s evolution. Furthermore, it highlights that gravitationally unstable discs are not just important for forming gas giants quickly, it can also rapidly form Earth mass bodies.","Hydrodynamics; protoplanetary disks; planets and satellites: formation","en","journal article","","","","","","","","","","","Planetary Exploration","","",""
"uuid:8ded61c8-bd00-49c9-ab6c-4dcb04206ae6","http://resolver.tudelft.nl/uuid:8ded61c8-bd00-49c9-ab6c-4dcb04206ae6","EV Smart Charging in Distribution Grids - Experimental evaluation using Hardware in the Loop Setup","Yu, Y. (TU Delft DC systems, Energy conversion & Storage); De Herdt, Lode; Shekhar, A. (TU Delft DC systems, Energy conversion & Storage); Chandra Mouli, G.R. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2024","The rising demand for electric vehicles (EVs) in the face of limited grid capacity encourages the development and implementation of smart charging (SC) algorithms. Experimental validation plays a pivotal role in advancing this field. This article formulates a hierarchical mixed integer programming EV SC algorithm designed for low voltage (LV) distribution grid applications. A flexible receding horizon scheme is introduced in response to system uncertainties. It also considers the practical constraints in protocols, such as IEC/ISO 15118 and IEC 61851-1. The proposed algorithm is verified and assessed in a power hardware-in-the-loop testbed that incorporates models of real LV distribution grids. Furthermore, the algorithm's capabilities are examined through eight scenarios, out of which four focus on the uncertainties of the input data and two address the engagement of extra grid capacity restrictions. The results demonstrate that the SC algorithm adequately lowers the EV charging cost while fulfilling the charging demand, and substantially reduces the peak power as well as the overloading duration, even when faced with input data uncertainty. The additional grid restrictions in place are proven to improve peak demand reduction and overloading mitigation further. Finally, the limitations and potentials of the developed algorithm are scrutinized.","Costs; Distribution Grid; Electric Vehicle; Hardware-In-the-Loop; Hardware-in-the-loop simulation; Optimization; Protocols; Real-time systems; Smart charging; Software algorithms","en","journal article","","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:c392018e-03aa-4706-bc85-3eaefd1f10e7","http://resolver.tudelft.nl/uuid:c392018e-03aa-4706-bc85-3eaefd1f10e7","Human Merging Behavior in a Coupled Driving Simulator: How Do We Resolve Conflicts?","Siebinga, O. (TU Delft Human-Robot Interaction); Zgonnikov, A. (TU Delft Human-Robot Interaction); Abbink, D.A. (TU Delft Human-Robot Interaction; TU Delft Human-Centred Artificial Intelligence)","","2024","Traffic interactions between merging and highway vehicles are a major topic of research, yielding many empirical studies and models of driver behaviour. Most of these studies on merging use naturalistic data. Although this provides insight into human gap acceptance and traffic flow effects, it obscures the operational inputs of interacting drivers. Besides that, researchers have no control over the vehicle kinematics (i.e., positions and velocities) at the start of the interactions. Therefore the relationship between initial kinematics and the outcome of the interaction is difficult to investigate. To address these gaps, we conducted an experiment in a coupled driving simulator with a simplified, top-down view, merging scenario with two vehicles. We found that kinematics can explain the outcome (i.e., which driver merges first) and the duration of the merging conflict. Furthermore, our results show that drivers use key decision moments combined with constant acceleration inputs (intermittent piecewise-constant control) during merging. This indicates that they do not continuously optimise their expected utility. Therefore, these results advocate the development of interaction models based on intermittent piecewise-constant control. We hope our work can contribute to this development and to the fundamental knowledge of interactive driver behaviour.","Data models; Games; Kinematics; Measurement; Merging; Vehicles; Visualization","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:537e7f7c-4208-41bd-8cd8-01174a7798ab","http://resolver.tudelft.nl/uuid:537e7f7c-4208-41bd-8cd8-01174a7798ab","Statistical Modeling of Polarimetric RCS of Road Surfaces for Scattering Simulation and Optimal Antenna Polarization Determination","Bouwmeester, W. (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2024","Incoherent backscattering of mm-waves from natural rough surfaces is considered. A novel method is proposed to determine the statistical properties of surface scattering from range profile measurements. The method is based on modeling the road surface as a grid of uncorrelated scattering elements, described by normalized scattering matrices. Using this model, expressions are derived to estimate the mean value and covariance matrix of surface scattering from measurement data. This procedure is then applied to measurement data of four road surface types, namely: 1) dry asphalt, 2) wet asphalt, 3) asphalt covered by basalt gravel, and 4) old asphalt. Using the derived statistical normalized radar cross-section models, two novel applications are proposed. First, a procedure for synthesizing/simulating surface clutter is proposed. This procedure is subsequently used to simulate received power from surfaces comprising patches of one or multiple road surface conditions. Excellent agreement between simulation and measurement results is demonstrated. Second, a method for determining the optimal polarization of the electromagnetic sensing waves used in a single-polarized radar system is proposed. This method is based on factorizing the antenna polarization vector into two bounded parameters, allowing for numerical evaluation of the minima and maxima for targets with a specified scattering matrix. This method is further extended to work with statistical descriptions of scattering matrices by means of Monte Carlo simulations.","automotive; polarimetry; radar; radar cross section (RSC); simulation; surface clutter","en","journal article","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:c7142c8f-f8ac-421e-a6f5-cbcc6abc72cf","http://resolver.tudelft.nl/uuid:c7142c8f-f8ac-421e-a6f5-cbcc6abc72cf","Haptic Shared Control for Dissipating Phantom Traffic Jams","Koerten, K.O. (TU Delft Human-Robot Interaction); Abbink, D.A. (TU Delft Human-Robot Interaction; TU Delft Human-Centred Artificial Intelligence); Zgonnikov, A. (TU Delft Human-Robot Interaction)","","2024","Traffic jams occurring on highways cause increased travel time as well as increased fuel consumption and collisions. So-called phantom traffic jams are traffic jams that do not have a clear cause, such as a merging on-ramp or an accident. Phantom traffic jams make up 50% of all traffic jams and result from instabilities in the traffic flow that are caused by human driving behavior. Automating the longitudinal vehicle motion of only 5% of all cars in the flow can dissipate phantom traffic jams. However, driving automation introduces safety issues when human drivers need to take over the control from the automation. We investigated whether phantom traffic jams can be dissolved using haptic shared control. This keeps humans in the loop and thus bypasses the problem of humans’ limited capacity to take over control, while benefiting from most advantages of automation. In an experiment with 24 participants in a driving simulator, we tested the effect of haptic shared control on the dynamics of traffic flow and compared it with manual control and full automation. We also investigated the effect of two control types on participants’ behavior during simulated silent automation failures. Results show that haptic shared control can help dissipating phantom traffic jams better than fully manual control but worse than full automation. We also found that haptic shared control reduces the occurrence of unsafe situations caused by silent automation failures compared to full automation. Our results suggest that haptic shared control can dissipate phantom traffic jams while preventing safety risks associated with full automation.","Active pedals; Automation; Automobiles; Behavioral sciences; driving simulator; Haptic interfaces; haptic shared control; longitudinal vehicle motion; phantom traffic jams; Phantoms; Roads; silent automation failure; Vehicles","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-10","","","Human-Robot Interaction","","",""
"uuid:fe8625a2-3fe6-4dd8-8b94-cc74b18aca59","http://resolver.tudelft.nl/uuid:fe8625a2-3fe6-4dd8-8b94-cc74b18aca59","Ambient air pollution and consumer spending: Evidence from Spain","Brandt, John (World Resources Institute); Goyal, N. (TU Delft Organisation & Governance); Moroney, Matthew (Raise Green, Inc.); Janaskie, Sophie (Stanford University); Hsu, Angel (University of North Carolina at Chapel Hill)","","2024","Research on the economic burden of air pollution has focused primarily on its macroeconomic impact. However, as some studies have found that air pollution can lead to avoidance behavior–for example, reducing the time spent outdoors–we hypothesize that it can also influence consumer spending activity. We combine high frequency data on ozone and fine particulate pollution with daily consumer spending in brick-and-mortar retail in 129 postal codes in Spain during 2014 to estimate the association between the two. Using a linear fixed effects model, we find that a 1-standard deviation increase in ozone concentration (20.97 μg/m3) is associated with 3.9 percent decrease in consumer spending (95% CI: -0.066, -0.012; p<0.01). The association of fine particulate matter with consumer spending is, however, not statistically significant (β: 0.005; 95% CI: -0.009, 0.018; p>0.10). Further, we do not observe a sufficiently strong bounce-back in consumer spending in the day–or even the week–following higher ozone concentration. Also, we find that the relationship between ozone concentration and consumer spending is heterogeneous, with those aged below 25 and those aged 45 or above exhibiting stronger negative association. This research informs policymakers about a plausibly unaccounted cost of ambient air pollution, even at concentrations lower than the WHO air quality guideline for short-term exposure.","","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:00c1faa5-790b-4540-b7e3-ea2eb456faf7","http://resolver.tudelft.nl/uuid:00c1faa5-790b-4540-b7e3-ea2eb456faf7","On the importance of data encoding in quantum Boltzmann methods","Schalkers, M.A. (TU Delft Numerical Analysis); Möller, M. (TU Delft Numerical Analysis)","","2024","In recent years, quantum Boltzmann methods have gained more and more interest as they might provide a viable path toward solving fluid dynamics problems on quantum computers once this emerging compute technology has matured and fault-tolerant many-qubit systems become available. The major challenge in developing a start-to-end quantum algorithm for the Boltzmann equation consists in encoding relevant data efficiently in quantum bits (qubits) and formulating the streaming, collision and reflection steps as one comprehensive unitary operation. The current literature on quantum Boltzmann methods mostly proposes data encodings and quantum primitives for individual phases of the pipeline, assuming that they can be combined to a full algorithm. In this paper, we disprove this assumption by showing that for encodings commonly discussed in the literature, either the collision or the streaming step cannot be unitary. Building on this landmark result, we propose a novel encoding in which the number of qubits used to encode the velocity depends on the number of time steps one wishes to simulate, with the upper bound depending on the total number of grid points. In light of the non-unitarity result established for existing encodings, our encoding method is to the best of our knowledge the only one currently known that can be used for a start-to-end quantum Boltzmann solver where both the collision and the streaming step are implemented as a unitary operation.","Computational fluid dynamics; Lattice Boltzmann; Quantum data encoding; Quantum fluid dynamics; Quantum lattice Boltzmann","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Numerical Analysis","","",""
"uuid:b41ebaa1-d116-4b56-91e3-0feba728ec51","http://resolver.tudelft.nl/uuid:b41ebaa1-d116-4b56-91e3-0feba728ec51","Four-dimensional computational ultrasound imaging of brain hemodynamics","Brown, Michael D. (Erasmus MC; University College London (UCL)); Generowicz, Bastian S. (Erasmus MC); Dijkhuizen, Stephanie (Erasmus MC); Koekkoek, Sebastiaan K.E. (Erasmus MC); Strydis, C. (TU Delft Computer Engineering; Erasmus MC); Bosch, Johannes G. (Erasmus MC); Arvanitis, Petros (Erasmus MC); Springeling, Geert (Erasmus MC); Leus, G.J.T. (TU Delft Signal Processing Systems); De Zeeuw, Chris I. (Erasmus MC; Royal Dutch Academy for Arts and Sciences, Amsterdam); Kruizinga, P. (TU Delft Signal Processing Systems; Erasmus MC)","","2024","Four-dimensional ultrasound imaging of complex biological systems such as the brain is technically challenging because of the spatiotemporal sampling requirements. We present computational ultrasound imaging (cUSi), an imaging method that uses complex ultrasound fields that can be generated with simple hardware and a physical wave prediction model to alleviate the sampling constraints. cUSi allows for high-resolution four-dimensional imaging of brain hemodynamics in awake and anesthetized mice.","","en","journal article","","","","","","","","","","","Computer Engineering","","",""
"uuid:af987156-1e5c-46ac-a9f0-6f26d284c570","http://resolver.tudelft.nl/uuid:af987156-1e5c-46ac-a9f0-6f26d284c570","Comprehensive validation of three-dimensional finite element modelling of wheel-rail high-frequency interaction via the V-Track test rig","Zhang, P. (TU Delft Railway Engineering); He, C. (TU Delft Railway Engineering); Shen, C. (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2024","Wheel-rail high-frequency interaction is closely related to the formation of railway short-wave defects. Finite element (FE) method has been widely used to simulate wheel-rail dynamic systems, but its validity in modelling high-frequency interaction has not been fully demonstrated in three dimensions (3D). This work aims at comprehensively validating the 3D FE modelling of wheel-rail high-frequency interaction using a downscale V-Track test rig. First, the FE model of the V-Track is developed that comprehensively includes the 3D track elasticity. The simulated track dynamic behaviours are validated against hammer tests, and the major vibration modes are analyzed employing modal analysis. Afterwards, the simulate wheel-rail dynamic responses are comprehensively compared with measurement results up to 10 kHz. Their characteristic frequencies are identified and correlated to the eigenmodes of the vehicle-track system. The results indicate that the proposed 3D FE model is capable of comprehensively and accurately simulating the 3D track dynamics and wheel-rail dynamic interaction of the V-Track up to 10 kHz. Rail vibrations dominate the wheel-rail dynamic contact within 10 kHz, while the wheel vibrations play an increasingly important role at higher frequencies and become decisive near the wheel eigenmode frequencies. The V-Track overall achieves dynamic similarity to the real vehicle-track system.","3D finite element modelling; comprehensive validation; high-frequency interaction; V-Track test rig; vibration modes; Wheel-rail dynamic system","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:f9fa681b-aabc-46d2-9e6e-3a8ef4e2e932","http://resolver.tudelft.nl/uuid:f9fa681b-aabc-46d2-9e6e-3a8ef4e2e932","Service Design as Formgiving: Breaking Free from the Marketing-Dominant Logic","Secomandi, Fernando (TU Delft Creative Processes)","","2024","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Creative Processes","","",""
"uuid:41c390b0-ee80-44b5-ba22-e3eb4e20ebb5","http://resolver.tudelft.nl/uuid:41c390b0-ee80-44b5-ba22-e3eb4e20ebb5","MoO3 nanowire growth on VO2/WO3 for thermochromic applications","Houimi, Amina (Necmettin Erbakan University; Bilkent University); Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; Necmettin Erbakan University; National Research Institute of Astronomy and Geophysics); Yılmaz, Mücahit (Necmettin Erbakan University); Eker, Yasin Ramazan (Necmettin Erbakan University)","","2024","This study explores the structural, electronic, and optical properties of sandwich-structured thin films composed of WO3, MoWO3, and MoO3 as window layers on VO2/WO3 via a physical vapor deposition method. Morphological analysis demonstrates the evolution of distinct nanowires, offering insights into the lattice strain of the VO2 layer toward high-performance thermochromatic devices. Temperature-dependent sheet resistivity is investigated, showcasing significant improvements in conductivity for samples with MoO3 as a window layer. The electrical and optical properties of the MoO3/VO2/WO3 device showed a phase transition temperature (Tc) of 36.8 °C, a transmittance luminous (Tlum) of 54.57%, and a solar modulation ability (ΔTsol) of 12.43. This comprehensive analysis contributes to understanding the growth of nanowires on multi-layered thin films, offering valuable insights into potential applications in bright windows.","","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:0465a210-8c78-487d-8964-26ac84781ec9","http://resolver.tudelft.nl/uuid:0465a210-8c78-487d-8964-26ac84781ec9","Experimental comparative study on thermal performance of latent heat storage tanks with pin, perforated, and rectangular fins at different orientations","Safari, Vahid (Carlos III University of Madrid); Kamkari, Babak (Ulster University); Hewitt, Neil (Ulster University); Hooman, K. (TU Delft Heat Transformation Technology)","","2024","The low thermal conductivity of phase change materials (PCMs) has limited their widespread use in practical applications. In the present study, different fin structures, namely, rectangular, perforated, and pin were examined to analyze the thermal performance of the melting process in rectangular latent heat storage tanks. Experiments were performed at both horizontal and vertical orientations to evaluate the effectiveness of different fin configurations. Visual observation of the phase change evolution at different time intervals was enabled through a transparent plexiglass shell. Instantaneous heat transfer rate and energy storage were measured using thermocouple readings and melting photographs. The results show that the maximum heat transfer coefficient between the heated wall and PCM is obtained by the pin-finned tank followed by perforated and rectangular-finned tanks. This thermal behavior is justified by the intensification of the upward convection flows through the voids provided by pin fins or perforated fins. Although the rectangular fin structure has the lowest convective heat transfer coefficient, its heat transfer rate is slightly higher than the other structures due to its larger heat transfer area. At a wall temperature of 70℃, the convective heat transfer coefficient and heat transfer rate obtained by the pin fin configuration are respectively 25% higher and 4% less than those of the rectangular fin. It reveals that the pin fin structure provides the most effective heat transfer area compared to its counterparts which have a significantly larger fin volume. In addition, it was found that regardless of the fin configuration, the melting rate in the horizontal tank was significantly higher than in the vertical tank due to the formation of more vortical flow structures within the molten PCM. The melting time in the unfinned horizontal tank was less than those of the vertical finned tanks implying that the tank orientation should be well-chosen to minimize the melting time along with adding fins of various configurations.","Heat transfer; Latent heat storage (LHS); Melting; Perforated fin; Phase change materials (PCM); Pin fin; Rectangular fin","en","journal article","","","","","","","","","","","Heat Transformation Technology","","",""
"uuid:d2e4a0d0-55d6-4d3a-a907-86aea7b9bf70","http://resolver.tudelft.nl/uuid:d2e4a0d0-55d6-4d3a-a907-86aea7b9bf70","Using System Dynamics to Support Strategic Digitalization Decisions","Kaya, H.D. (TU Delft Organisation & Governance; Middle East Technical University); Dikmen, Irem (University of Reading)","","2024","Although digitalization has become a prospect that is counted on for many problems in the construction industry, there have been limited attempts at exploring decision-making processes in construction firms concerning the integration of digital technologies and impacts beyond the projects. In this research, the system dynamics (SD) approach was proposed to investigate digitalization as a strategic decision considering the inherent relationships between project company and business levels. The SD model was conceptualized, formulated, and tested by conducting a demonstrative case study within a modular construction company. Conforming to the strategic priorities of the case company, business process engineering principles were adopted to model the existing practices and assess the impacts of implementing digital technologies such as building information modeling (BIM), enterprise resource planning (ERP), and radio frequency identification (RFID) at different maturity levels. The simulation tests revealed that the impacts of technologies are influenced by the internal dynamics of projects and company competencies as well as external uncertainties. The SD model has the potential to improve strategic decision-making by anticipating the causalities and feedback between the decisions and consequences of technology integration. The findings and model development steps proposed in this paper can be used by other companies that aim to make process improvements with digital technologies as well as researchers exploring the implications of digitalization in construction considering competencies and uncertainties.","Digitalization; Strategic decision-making; System dynamics modeling","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-18","","","Organisation & Governance","","",""
"uuid:0e95f2d6-14eb-425c-8826-a1665c214531","http://resolver.tudelft.nl/uuid:0e95f2d6-14eb-425c-8826-a1665c214531","Living labs for user empowerment and value delivery in social housing upgrading processes","Kowaltowski, D.C.C.K. (University of Campinas); Gomes da Silva, V. (University of Campinas); van Oel, C.J. (TU Delft Design & Construction Management); Granja, A.D. (University of Campinas); Muianga, E.A.D. (University of Campinas); Kabisch, S. (Helmholtz Centre for Environmental Research - UFZ); De Carvalho Moreira, D. (University of Campinas); Koolwijk, J.S.J. (TU Delft Design & Construction Management); Pößneck, J. (Helmholtz Centre for Environmental Research - UFZ)","","2024","Upgrading existing social housing (SH) requires user-centred participatory processes to promote values. Comparative case studies in Brazil, Germany, the Netherlands, and the UK are presented. Living Labs (LLs) were conducted for the delivery of user values and to promote an informed decision-making process. Tools and LL activities were tested to engage stakeholders in the upgrading process, support the co-creation of solutions and address social and societal challenges. The main research aims were to facilitate SH upgrading processes focusing on the delivery of value for users, achieving end-user empowerment, as well as assessing participatory decision-making through LLs. Research goals were achieved in each case study setting. The evaluation of specific cases informed a conceptual framework and guidelines to facilitate upgrading through LLs in varied SH landscapes.","user-centred design; participatory design; case studies; living labs; social housing upgrading","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:b917963e-f07c-420a-b163-47c4703d73ea","http://resolver.tudelft.nl/uuid:b917963e-f07c-420a-b163-47c4703d73ea","Changes in Health-Related Quality of Life following Surgery in Patients with High-Grade Extremity Soft-Tissue Sarcoma: A Prospective Longitudinal Study","Kruiswijk, Anouk (Leiden University Medical Center); Sande, MAJ van de (Leiden University Medical Center); Verhoef, Cornelis (Erasmus MC); Schrage, Yvonne M. (Netherlands Cancer Institute); Haas, Rick L (Netherlands Cancer Institute; Leiden University Medical Center); Bemelmans, Marc H. A. (Maastricht University Medical Center); van Ginkel, Robert J. (University Medical Center Groningen); Bonenkamp, Johannes J. (Radboud University Medical Center); Witkamp, Arjen J. (University Medical Center Utrecht); van den Akker-van Marle, M. Elske (Leiden University Medical Center); Marang-van de Mheen, P.J. (TU Delft Safety and Security Science); van Bodegom-Vos, Leti (Leiden University Medical Center)","","2024","Introduction: Changes in health-related quality of life (HRQoL) during the diagnostic and treatment trajectory of high-grade extremity soft-tissue sarcoma (eSTS) has rarely been investigated for adults (18–65 y) and the elderly (aged ≥65 y), despite a potential variation in challenges from diverse levels of physical, social, or work-related activities. This study assesses HRQoL from time of diagnosis to one year thereafter among adults and the elderly with eSTS. Methods: HRQoL of participants from the VALUE-PERSARC trial (n = 97) was assessed at diagnosis and 3, 6 and 12 months thereafter, utilizing the PROMIS Global Health (GH), PROMIS Physical Function (PF) and EQ-5D-5L. Results: Over time, similar patterns were observed in all HRQoL measures, i.e., lower HRQoL scores than the Dutch population at baseline (PROMIS-PF:46.8, PROMIS GH-Mental:47.3, GH-Physical:46.2, EQ-5D-5L:0.76, EQ-VAS:72.6), a decrease at 3 months, followed by an upward trend to reach similar scores as the general population at 12 months (PROMIS-PF:49.9, PROMIS GH-Physical:50.1, EQ-5D-5L:0.84, EQ-VAS:81.5), except for the PROMIS GH-Mental (47.5), where scores remained lower than the general population mean (T = 50). Except for the PROMIS-PF, no age-related differences were observed. Conclusions: On average, eSTS patients recover well physically from surgery, yet the mental component demonstrates no progression, irrespective of age. These results underscore the importance of comprehensive care addressing both physical and mental health.","soft-tissue sarcoma; extremity; Health-related quality of life; physical functioning; mental health","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:46a223bf-9b97-420b-86f3-bb96d2add9fd","http://resolver.tudelft.nl/uuid:46a223bf-9b97-420b-86f3-bb96d2add9fd","An interface-enriched generalized finite element method for the analysis and topology optimization of 2-D electromagnetic problems","van Bergen, Steven (Student TU Delft); Norte, R.A. (TU Delft QN/Groeblacher Lab; TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft); Aragon, A.M. (TU Delft Computational Design and Mechanics)","","2024","The computational analysis of nanophotonic devices is usually carried out via the standard finite element method (FEM). However, FEM requires meshes that are fitted to the devices’ boundaries, so making changes to the geometry (and thus the mesh) results in an inefficient process at best. Such an approach is therefore at odds when conducting design, which requires the analysis of multiple device geometries until reaching a satisfactory solution. Computational design tools such as topology optimization are often used, but the use of density-based representations of geometry inevitably leads to other issues—e.g., pixelized fuzzy boundaries with “gray material” (that does not correspond to dielectric nor vacuum) have an adverse effect on the devices’ interaction with electromagnetic waves. In this paper we propose an interface-enriched generalized finite element method (IGFEM) for the analysis of two-dimensional electromagnetic scattering and eigenvalue problems. IGFEM enables the use of finite element meshes that are completely decoupled from the problem's geometry. The analysis procedure is further coupled to a level set description of topology, resulting in a versatile enriched approach to topology optimization; this level set-based interface-enriched topology optimization procedure is devoid of the issues mentioned above regarding density-based methods, and yields crisp “black-and-white” designs that are devoid of jagged fuzzy edges. We first demonstrate that the analysis procedure achieves the same convergence rate as that of standard FEM using geometry-fitted meshes. We then compare the convergence properties of IGFEM with Nitsche's method on a problem containing an embedded straight interface. Finally, we conduct topology optimization for designing both a 2-D metalens and a 2-D reflector, maximizing their ability to focus light onto a target point.","Electromagnetics; Enriched finite element analysis; Interface-enriched generalized finite element method (IGFEM); Level set method; Topology optimization","en","journal article","","","","","","","","","","","QN/Groeblacher Lab","","",""
"uuid:3d0c1cf8-8e92-459a-8f6c-01f204cb1cdf","http://resolver.tudelft.nl/uuid:3d0c1cf8-8e92-459a-8f6c-01f204cb1cdf","Enzyme engineering for biocatalysis","Paul, C.E. (TU Delft BT/Biocatalysis); Hanefeld, U. (TU Delft BT/Biocatalysis); Hollmann, F. (TU Delft BT/Biocatalysis); Qu, Ge (Chinese Academy of Sciences); Yuan, Bo (Chinese Academy of Sciences); Sun, Zhoutong (Chinese Academy of Sciences)","","2024","Contemporary Biocatalysis heavily relies on enzyme engineering as natural enzymes frequently lack the requisite attributes for effective organic synthesis. The inherent limitations in stability, catalytic activity, and selectivity of wild-type enzymes often hinder their suitability for chemical synthesis. Over the past 25 years, there has been an unprecedented advancement in protein engineering tools, empowering enzymologists to customise enzymes to precisely meet the demands of organic synthesis. In this discussion, we delineate some of the most crucial techniques in enzyme engineering and their significance in facilitating chemical synthesis.","Biocatalysis; Directed evolution; Iterative saturation mutagenesis; Semi-rational design","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:be47f23e-7857-425b-bc1f-a93f0e1e0c75","http://resolver.tudelft.nl/uuid:be47f23e-7857-425b-bc1f-a93f0e1e0c75","Integrating geospatial, remote sensing, and machine learning for climate-induced forest fire susceptibility mapping in Similipal Tiger Reserve, India","Singha, Chiranjit (University of Visva-Bharati); Swain, Kishore Chandra (University of Visva-Bharati); Moghimi, Armin (Leibniz Universität); Foroughnia, Fatemeh (TU Delft Geo-engineering); Swain, Sanjay Kumar (University of Visva-Bharati)","","2024","Accurately assessing forest fire susceptibility (FFS) in the Similipal Tiger Reserve (STR) is essential for biodiversity conservation, climate change mitigation, and community safety. Most existing studies have primarily focused on climatic and topographical factors, while this research expands the scope by employing a synergistic approach that integrates geographical information systems (GIS), remote sensing (RS), and machine learning (ML) methodologies for identifying and assessing forest fire-prone areas in the STR and their vulnerability to climate change. To achieve this, the study employed a comprehensive dataset of forty-four influencing factors, including topographic, climate-hydrologic, forest health, vegetation indices, radar features, and anthropogenic interference, into ten ML models: neural net (nnet), AdaBag, Extreme Gradient Boosting (XGBTree), Gradient Boosting Machine (GBM), Random Forest (RF), and its hybrid variants with differential evolution algorithm (RF-DEA), Gravitational Based Search (RF-GBS), Grey Wolf Optimization (RF-GWO), Particle Swarm Optimization (RF-PSO), and genetic algorithm (RF-GA). The study revealed high FFS in both the northern and southern portions of the study area, with the nnet and RF-PSO models demonstrating susceptibility percentages of 12.44% and 12.89%, respectively. Conversely, very low FFS zones consistently displayed susceptibility scores of approximately 23.41% and 18.57% for the nnet and RF-PSO models. The robust mapping methodology was validated by impressive AUROC (>0.88) and kappa coefficient (>0.62) scores across all ML validation metrics. Future climate models (ssp245 and ssp585, 2022–2100) indicated high FFS zones along the northern and southern edges of the STR, with the central zone categorized from low to very low susceptibility. Boruta analysis identified actual evapotranspiration (AET) and relative humidity as key factors influencing forest fire ignition. SHAP evaluation reinforced the influence of these factors on FFS, while also highlighting the significant role of distance to road, distance to settlement, dNBR, slope, and humidity in prediction accuracy. These results emphasize the critical importance of the proposed approach for forest fire mapping and provide invaluable insights for firefighting teams, forest management, planning, and qualification strategies to address future fire sustainability.","Boruta-SHAP; Forest fire; Machine learning; Risk map; Susceptibility map","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:6621e1be-439d-45dd-84bb-89aac5fb5775","http://resolver.tudelft.nl/uuid:6621e1be-439d-45dd-84bb-89aac5fb5775","Noninvasive Advanced Cardiovascular Magnetic Resonance-Derived Fontan Hemodynamics Are Associated With Reduced Kidney Function But Not Albuminuria","Van den Eynde, Jef (Leiden University Medical Center); Westenberg, Jos J.M. (Leiden University Medical Center); Hazekamp, Mark G. (Leiden University Medical Center); Lamb, Hildo J. (Leiden University Medical Center); Jongbloed, Monique R.M. (Leiden University Medical Center); Wentzel, Jolanda J. (Erasmus MC); Kenjeres, S. (TU Delft ChemE/Transport Phenomena); Dekkers, Ilona A. (Leiden University Medical Center); Rijnberg, F.M. (TU Delft ChemE/Transport Phenomena; Leiden University Medical Center)","","2024","BACKGROUND: Kidney disease is the most important predictor of death in patients with a Fontan circulation, yet its clinical and hemodynamic correlates have not been well established. METHODS AND RESULTS: A total of 53 ambulatory patients with a Fontan circulation (median age, 16.2 years, 52.8% male patients) underwent advanced cardiovascular magnetic resonance assessment, including 4-dimensional flow imaging and computational fluid dynamics. Estimated glomerular filtration rate (eGFR) <90 mL/min per 1.73 m2 was observed in 20.8% and albumin-to-creatinine ratio >3 mg/mmol in 39.6%. The average eGFR decline rate was -1.83 mL/min per 1.73 m2 per year (95% CI, -2.67 to -0.99; P<0.001). Lower eGFR was associated with older age, larger body surface area at examination, longer time since Fontan procedure, and lower systemic ventricular ejection fraction. Higher albumin-to-creatinine ratio was associated with absence of fenestration at the Fontan operation, and older age and lower systemic ventricular ejection fraction at the assessment. Lower cross-sectional area of the Fontan conduit indexed to flow (r=0.32, P=0.038), higher inferior vena cava-conduit velocity mismatch factor (r=-0.35, P=0.022), higher kinetic energy indexed to flow in the total cavopulmonary connection (r=-0.59, P=0.005), and higher total cavopulmonary connection resistance (r=-0.42, P=0.005 at rest; r=-0.43, P=0.004 during exercise) were all associated with lower eGFR but not with albuminuria. CONCLUSIONS: Kidney dysfunction and albuminuria are common among clinically well adolescents and young adults with a Fontan circulation. Advanced cardiovascular magnetic resonance-derived metrics indicative of declining Fontan hemodynamics are associated with eGFR and might serve as targets to improve kidney health. Albuminuria might be driven by other factors that need further investigation.","albuminuria; chronic kidney disease; computational fluid dynamics; Fontan; hemodynamics; kidney function; magnetic resonance imaging","en","journal article","","","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:827b99c0-a7cf-4280-ba3b-0a1f6a7dc1ff","http://resolver.tudelft.nl/uuid:827b99c0-a7cf-4280-ba3b-0a1f6a7dc1ff","Improving Traffic Efficiency With Lane Guidance Based on Desired Speeds","Mahajan, N. (TU Delft Transport and Planning); Hegyi, A. (TU Delft Transport and Planning); Hoogendoorn, S.P. (TU Delft Transport and Planning); van Arem, B. (TU Delft Transport and Planning)","","2024","Drivers initiate a discretionary lane change when they perceive an anticipated improvement in their own driving condition from moving to another lane. However, such a lane change can slow down other vehicles on the target lane, and even worse initiate a disturbance. In this work, we argue that the blocking effect triggered by individual lane changes results from the heterogeneity in the desired speeds of vehicles, and thus using desired speed information of vehicles when regulating lane-changing decisions can improve traffic efficiency. In doing so, our work also exemplifies the usefulness of incorporating user preferences into control decisions. The proposed lane guidance system uses an optimization-based approach to update the target range of desired speeds on each lane in real time, and accordingly recommends individual lane changes. The control system coordinates the lane-changing decisions at the link level, for which the road stretch is subdivided into multiple sections that are controlled independently. We evaluate the performance of the lane guidance system in micro-simulation, for different network demands and desired speed distributions. The results highlight that the proposed approach utilizing the desired speed preferences of drivers results in positive efficiency gains for most traffic compositions in free flow. Moreover, the highest gains are expected in medium to high demand, and when the traffic composition includes a higher proportion of vehicles desiring higher speeds. The gains also increase when the desired speeds of vehicles that want to drive fast and those that want to drive slower are more separated.","cooperative lane-changing; desired speeds; Lane guidance; Merging; Optimization; Real-time systems; Roads; Traffic control; traffic efficiency; user preferences in traffic control; Vehicle dynamics; Vehicles","en","journal article","","","","","","","","","","Transport and Planning","Transport and Planning","","",""
"uuid:77feeed6-bb9a-4a2f-be1a-8b6846b0dd20","http://resolver.tudelft.nl/uuid:77feeed6-bb9a-4a2f-be1a-8b6846b0dd20","Functional analysis of web-based GIS tools for environmental justice assessment of transportation projects","Spriggs, A.M.S. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; University of Missouri); Rotman, Robin (University of Missouri); Trauth, Kathleen (University of Missouri)","","2024","Environmental justice – the concept that environmental amenities and burdens should be shared equitably among all races and socioeconomic classes – has gained diplomatic traction internationally, and political traction domestically in the United States, in recent years. In this paper, we focus on developing federal policy relevant to transportation project planning and operation in the U.S.; however, these developments reflect international issues of inequity associated with climate change and environmental burdens. First, we provide an overview of federal policy initiatives related to environmental justice, including President Biden's Justice40 initiative. Then, we examine the U.S. National Environmental Policy Act (NEPA) as a potential avenue for mandating environmental justice assessment in the transportation industry, through the lenses of both procedural and distributive justice. Finally, we review several publicly available online Geographical Information System (GIS) tools that were recently developed for purposes of expanding public and governmental understanding of environmental justice challenges, and provide decision support for users to incorporate these tools into the environmental impact assessment process for transportation projects.","Environmental justice; Geographic information systems; Global Environmental Governance; Infrastructure; National Environmental Policy Act (NEPA); Transportation","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:45d8e231-e86e-4e4d-acb3-dc2eec212241","http://resolver.tudelft.nl/uuid:45d8e231-e86e-4e4d-acb3-dc2eec212241","Monitoring the impact of COVID-19 on the travel behavior of train travelers in the Netherlands","Ton, D. (TU Delft Transport and Planning; NS Stations); De Bruyn, Menno (N.V. Nederlandse Spoorwegen); Van Hagen, Mark (N.V. Nederlandse Spoorwegen); Duives, D.C. (TU Delft Transport and Planning); van Oort, N. (TU Delft Transport and Planning)","","2024","Mobility patterns and transport systems have been heavily impacted due to the COVID-19 pandemic. Public transport is impacted heavily, as governments worldwide advised against using it. This paper presents the data collection effort initiated by NS (Dutch Railways) and Delft University of Technology to capture changes in travel behavior, attitudes and intentions related to the COVID-19 pandemic among Dutch train travelers. The survey set-up, data collection process, data validation and potential of the dataset are discussed. The data collection effort proves to be a valuable longitudinal data set that is ground for many research opportunities and policy insights.","COVID-19; longitudinal data collection; survey design; train travelers; travel behavior","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:f7174a5b-00c4-4479-9620-6dd838d62490","http://resolver.tudelft.nl/uuid:f7174a5b-00c4-4479-9620-6dd838d62490","Neural Autoencoder-Based Structure-Preserving Model Order Reduction and Control Design for High-Dimensional Physical Systems","Lepri, M. (University of Pisa; NEC Laboratories Europe); Bacciu, Davide (University of Pisa); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR))","","2024","This letter concerns control-oriented and structure-preserving learning of low-dimensional approximations of high-dimensional physical systems, with a focus on mechanical systems. We investigate the integration of neural autoencoders in model order reduction, while at the same time preserving Hamiltonian or Lagrangian structures. We focus on extensively evaluating the considered methodology by performing simulation and control experiments on large mass-spring-damper networks, with hundreds of states. The empirical findings reveal that compressed latent dynamics with less than 5 degrees of freedom can accurately reconstruct the original systems' transient and steady-state behavior with a relative total error of around 4%, while simultaneously accurately reconstructing the total energy. Leveraging this system compression technique, we introduce a model-based controller that exploits the mathematical structure of the compressed model to regulate the configuration of heavily underactuated mechanical systems.","autoencoders; Hamiltonian dynamics; model order reduction","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:62205fc4-ab38-49b8-ae91-047740e60497","http://resolver.tudelft.nl/uuid:62205fc4-ab38-49b8-ae91-047740e60497","Scalarizing Multi-Objective Robot Planning Problems Using Weighted Maximization","Wilde, N. (TU Delft Learning & Autonomous Control); Smith, Stephen L. (University of Waterloo); Alonso-Mora, J. (TU Delft Learning & Autonomous Control)","","2024","When designing a motion planner for autonomous robots there are usually multiple objectives to be considered. However, a cost function that yields the desired trade-off between objectives is not easily obtainable. A common technique across many applications is to use a weighted sum of relevant objective functions and then carefully adapt the weights. However, this approach may not find all relevant trade-offs even in simple planning problems. Thus, we study an alternative method based on a weighted maximum of objectives. Such a cost function is more expressive than the weighted sum, and we show how it can be deployed in both continuous-and discrete-space motion planning problems. We propose a novel path planning algorithm for the proposed cost function and establish its correctness, and present heuristic adaptations that yield a practical runtime. In extensive simulation experiments, we demonstrate that the proposed cost function and algorithm are able to find a wider range of trade-offs between objectives (i.e., Pareto-optimal solutions) for various planning problems, showcasing its advantages in practice.","motion and path planning; multi-objective optimization; Optimization and optimal control; task and motion planning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-23","","","Learning & Autonomous Control","","",""
"uuid:25da3d79-5699-4535-a88c-a9270c8903d1","http://resolver.tudelft.nl/uuid:25da3d79-5699-4535-a88c-a9270c8903d1","Understanding physical distancing compliance behaviour using proximity and survey data: A case study in the Netherlands during the COVID-19 pandemic","van Schaik, L. (TU Delft Transport and Planning); Duives, D.C. (TU Delft Transport and Planning); Hoogendoorn-Lanser, S. (TU Delft Corporate Innovations); Hoekstra, Jan Willem (NME Foundation; ROC Mondriaan); Daamen, W. (TU Delft Transport and Planning); Gavriilidou, A. (TU Delft Transport and Planning); Krishnakumari, P.K. (TU Delft Transport and Planning); Rinaldi, M. (TU Delft Transport and Planning); Hoogendoorn, S.P. (TU Delft Transport and Planning)","","2024","Physical distancing has been an important asset in limiting the SARS-CoV-2 virus spread during the COVID-19 pandemic. This study aims to assess compliance with physical distancing and to evaluate the combination of observed and self-reported data used. This research shows that it is difficult to operationalize new rules, that context affects compliance, that there needs to be a need for compliance, and that rules require upkeep. From a methodological point of view, this study found that the combined methods provide a comprehensive picture of compliance behaviour, that it is challenging but essential to mitigate response fatigue in long-term monitoring studies, and that it would be interesting in future research to learn how actual behaviour is influenced by personal narratives.","compliance behaviour; COVID-19 pandemic; longitudinal survey; physical distancing; proximity sensing; SARS-CoV-2","en","journal article","","","","","","","","","","Transport and Planning","Transport and Planning","","",""
"uuid:2cfba3cc-e348-4539-a99b-e691c9a3cf4b","http://resolver.tudelft.nl/uuid:2cfba3cc-e348-4539-a99b-e691c9a3cf4b","Data-driven identification of the spectral operator in AKNS Lax pairs using conserved quantities","de Koster, P.B.J. (TU Delft Team Sander Wahls); Wahls, S. (Karlsruhe Institut für Technologie)","","2024","Lax-integrable partial differential equations (PDEs) can by definition be described through a compatibility condition between two linear operators. These operators are said to form a Lax pair for the PDE, which itself is usually nonlinear. Lax pairs are a very useful tool, but unfortunately finding them is a difficult problem in practice. In this paper, we propose a method that determines the spectral operator of an AKNS-type Lax pair such that the corresponding PDE fits given measurement data as well as possible. The spectral operator then enables practitioners to solve or analyze the underlying PDE using the induced nonlinear Fourier transform. The underlying PDE only has to be approximately Lax-integrable; the method will find the spectral operator that explains the data best. Together with the dispersion relation, the spectral operator of AKNS type completely determines an integrable PDE that approximates the true underlying PDE. We identify the most suitable spectral operator by matching PDE-dependent quantities that should be conserved during evolution. The method is automatic and only requires recordings of solutions at two different values of the evolution variable, which do not have to be close.","AKNS; Forward scattering transform; Identification; Nonlinear Fourier transform","en","journal article","","","","","","","","","","","Team Sander Wahls","","",""
"uuid:421e00ec-e147-41b0-9c3b-2a14cf41c4d6","http://resolver.tudelft.nl/uuid:421e00ec-e147-41b0-9c3b-2a14cf41c4d6","Linear Time-Varying Parameter Estimation: Maximum A Posteriori Approach via Semidefinite Programming","Vakili, S. (TU Delft Team Manuel Mazo Jr); Khosravi, M. (TU Delft Team Khosravi); Mohajerin Esfahani, P. (TU Delft Team Peyman Mohajerin Esfahani); Mazo, M. (TU Delft Team Manuel Mazo Jr)","","2024","We study the problem of identifying a linear time-varying output map from measurements and linear time-varying system states, which are perturbed with Gaussian observation noise and process uncertainty, respectively. Employing a stochastic model as prior knowledge for the parameters of the unknown output map, we reconstruct their estimates from input/output pairs via a Bayesian approach to optimize the posterior probability density of the output map parameters. The resulting problem is a non-convex optimization, for which we propose a tractable linear matrix inequalities approximation to warm-start a first-order subsequent method. The efficacy of our algorithm is shown experimentally against classical Expectation Maximization and Dual Kalman Smoother approaches.","Estimation; identification; linear matrix inequalities; optimization; semidefinite programming","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-25","","","Team Manuel Mazo Jr","","",""
"uuid:b9eaaf41-2b8c-4a68-b8a6-ce7bcc9976d9","http://resolver.tudelft.nl/uuid:b9eaaf41-2b8c-4a68-b8a6-ce7bcc9976d9","Tracking submarine cables in the wild","Livadariu, Ioana (Simula Metropolitan Center for Digital Engineering); Elmokashfi, Ahmed (Simula Metropolitan Center for Digital Engineering); Smaragdakis, G. (TU Delft Cyber Security)","","2024","During the last ten years, thousands of kilometers of submarine cables have been rolled out to connect regions around the globe and improve intercontinental connectivity. However, while it is relatively easy to get information about the frequent roll-outs of these cables, it is challenging to translate these developments into network information to facilitate networking research. For example, announcements for new submarine cables typically mention landing points and not router IP addresses. With this network information, it is easier to assess the impact of a new submarine cable on end-to-end delays in the connecting regions. In this paper, we investigate the necessary and sufficient conditions to translate public announcements for submarine cables to network information that enables networking research on this topic. We also develop and evaluate a methodology to automatically extract IP-level information for deployed submarine cables and assess their impact on end-to-end performance.","","en","journal article","","","","","","","","","","","Cyber Security","","",""
"uuid:bc26ff25-57d4-409f-b6d3-660b1712043d","http://resolver.tudelft.nl/uuid:bc26ff25-57d4-409f-b6d3-660b1712043d","Crocheting Bour’s Minimal Surfaces","Kekkonen, H.N. (TU Delft Delft Institute of Applied Mathematics; TU Delft Statistics)","","2024","Mathematics and crochet might not appear the most likely pairing for most people. However, crocheting is an inherently mathematical process. You can create various shapes using stitches with different heights and increasing or decreasing the number of stitches in certain places. Crochet also makes it possible to create many shapes that are very difficult to make with any other technique. One can crochet shapes such as the Klein bottle and Seifert surfaces of knots in freestyle, without following exact instructions, since these surfaces do not have a strict shape. A Klein bottle can be short and wide or tall and narrow. But there are many surfaces, for example spheres and disks, that have a specific shape. To crochet such models, you need crochet instructions, and to create such instructions, you need a good understanding of the underlying mathematical model.
The idea of knitting or crocheting mathematical or scientific models is not new, though it has not been used very widely. The Scottish chemist Alexander Crum Brown knitted several interlinked surfaces to visualize the ideas presented in the late nineteenth century in his paper “On a Case of Interlacing Surfaces” [2]. Miles Reid wrote a paper on knitting mathematical surfaces in the 1970s [13] that inspired several new patterns, including a Möbius scarf and a Klein bottle. The crocheted hyperbolic surfaces were introduced by Daina Taimina in 1997 [5], and her idea led to a bloom of so-called hyperbolic crochet. A few years after the paper on hyperbolic crochet appeared, Hinke Osinga and Bernd Krauskopf described how to crochet an approximation of the Lorenz manifold [10]. See also [14] for further examples of mathematical crochet.
Both the hyperbolic plane and the Lorenz manifold require precise crochet instructions. The hyperbolic plane has constant negative Gaussian curvature, and so it looks the same at every point. This allows for a rather simple pattern that can be worked in rounds in which after a few setup rounds, every nth stitch is doubled. The Lorenz manifold is a less-regular surface, and it requires a much more complex pattern of stitches. The model is also worked in rounds, but unlike the hyperbolic surface, it requires detailed instructions on when to add or remove stitches. It takes full advantage of the versatility of crocheting, requiring three different types of stitches, which allows different parts of a round to have different heights. In this paper we consider Bour’s minimal surfaces
, which are “crochet symmetric,” allowing for simple crochet instructions (excluding possible intersections) and requiring only one type of stitch, with the added or removed stitches evenly spaced across a round.","","en","journal article","","","","","","","","","","","Statistics","","",""
"uuid:5426d88b-bc77-4e31-9d63-69871c66e506","http://resolver.tudelft.nl/uuid:5426d88b-bc77-4e31-9d63-69871c66e506","Design thinking in medical education to tackle real world healthcare problems: The MasterMinds Challenge","van Velzen, Monique (Leiden University Medical Center); Boru, A. (TU Delft Delft Centre for Entrepreneurship); Sarton, Elise (Leiden University Medical Center); de Beaufort, Arnout Jan (Leiden University Medical Center)","","2024","Educational challenge: Medical education must equip future professionals with the necessary skills to navigate the complex healthcare landscape. Clinical knowledge is essential, and critical and creative thinking skills are vital to meet the challenges of the system. Design thinking offers a structured approach that integrates creativity and innovation, yet its application in medical education is absent. Solution and implementation: The compulsory MasterMinds Challenge course at Leiden University Medical Center utilizes design thinking principles to address real world healthcare challenges. Final-year medical students participated in a two-day program. The course encompassed empathizing with stakeholders, problem definition, ideation, prototyping, and refining solutions. Presentation skills were emphasized, culminating in a symposium where teams showcase their outcomes. Implementation of the MasterMinds Challenge course was successful with 33 sessions delivered to 1217 medical students. Challenges covered various healthcare topics, yielding creative yet practical outcomes. Students appreciate the real world healthcare challenge, team-based approach, and the applicability of design thinking principles. Challenge owners expressed satisfaction with students’ commitment, creativity, and empathizing abilities. Lessons learned and next steps: To further enhance the MasterMinds Challenge course, a more longitudinal format is being designed, enabling greater autonomy and emphasizing the refining and implementation phases. The course can be extended to medical postgraduate professionals and interdisciplinary collaborations, fostering innovative ideas beyond current practices. By developing problem-solving skills, the MasterMinds Challenge course contributes to a future-proof medical education program and prepares students to meet the evolving needs of healthcare.","collaboration; Design thinking; healthcare challenges; medical education","en","journal article","","","","","","","","","","Delft Centre for Entrepreneurship","","","",""
"uuid:e5d2ac53-770d-4186-89f6-f051c03e513d","http://resolver.tudelft.nl/uuid:e5d2ac53-770d-4186-89f6-f051c03e513d","Method for bandgap interpolation of perovskite's spectral complex refractive index","Blom, Y. (TU Delft Photovoltaic Materials and Devices); Vogt, M.R. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2024","Lead halide perovskites are a promising class of materials for solar cell applications. The perovskite bandgap depends on the material composition and is highly tunable. Opto-electrical device modelling is commonly used to find the optimum perovskite bandgap that maximizes device efficiency or energy yield, either in single junction or multi-junction configuration. The first step in this calculation is the optical modelling of the spectral absorptance. This requires as input the perovskite's complex refractive index N as a function of wavelength λ. The complex refractive index consists of real part n(λ) and imaginary part k(λ). For the most commonly used perovskites, n and k curves are available from spectroscopic ellipsometry measurements, but usually only for a few discrete bandgap energies. For solar cell optimization, these curves are required for a continuous range of bandgap energies. We introduce new methods for generating the n and k curves for an arbitrary bandgap, based on interpolating measured complex refractive index data. First, different dispersion models (Cody-Lorentz, Ullrich-Lorentz and Forouhi-Bloomer) are used to fit the measured data. Then, a linear regression is applied to the fit parameters with respect to the bandgap energy. From the interpolated parameters, the refractive index curve of perovskite with any desired bandgap energy is finally reconstructed. To validate our method, we compare our results with methods from literature and then use it to simulate the absorptance of a single junction perovskite and a perovskite/silicon tandem cell. This shows that our method based on the Forouhi-Bloomer model is more accurate than existing methods in predicting the complex refractive index of perovskite for arbitrary bandgaps.","","en","journal article","","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:db28aa9c-6a1b-4b1b-94e9-9e4257febb86","http://resolver.tudelft.nl/uuid:db28aa9c-6a1b-4b1b-94e9-9e4257febb86","Are men from Mars, women from Venus? Investigating the determinants behind the intention to use fully automated taxis","Zhu, Yonghan (Southwest University of Political Science and Law); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Pu, Chengyan (Zhejiang University)","","2024","Acceptance by customers is key to the success of shared autonomous vehicles (SAVs). However, only a small group of early technology-savvy customers currently use such vehicles, while the general population does not. Based on the Unified Theory of Acceptance and Use of Technology, Theory of Perceived Risk, and perceived threat of unemployment combined with knowledge of automated vehicles, this research develops an integrated model to investigate the determinants behind the intention to use fully automated taxis. Furthermore, it tested the differences between gender. Through the analysis of 539 samples, the findings showed that performance expectancy, effort expectancy, social influence, and knowledge of automated vehicles positively influence acceptance intention, while perceived safety risk and the perceived threat of unemployment were negatively related to behavioral intention. Moreover, effort expectancy, social influence, and perceived safety risk showed greater influence on females, while knowledge of automated vehicles exerted stronger effects on males.","Fully automated taxi; Gender differences; SAV acceptance; TPR; UTAUT","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-30","","Engineering, Systems and Services","","","",""
"uuid:de34d9db-a0ee-465d-b942-df631a37838c","http://resolver.tudelft.nl/uuid:de34d9db-a0ee-465d-b942-df631a37838c","How design professionals learn within collaborative research projects","Zielhuis, M.R.P. (TU Delft Design Conceptualization and Communication; Hogeschool Utrecht); Sleeswijk Visser, F. (TU Delft Design Conceptualization and Communication); Andriessen, Daan (Hogeschool Utrecht); Stappers, P.J. (TU Delft Design Conceptualization and Communication)","","2024","Collaborative research projects are great opportunities for the involved design professionals to learn. Many design professionals join in such collaborations to contribute with their existing professional expertise, but also to further develop and extend that expertise. However, learning by individuals is usually not the main aim, and we lack insight in the learning opportunities through collaboration in such projects. We propose that we need to understand this learning process better in order to make this happen more often and more effectively. This paper presents how four design professionals who each participated in different collaborative research projects look back on their learning processes. We interviewed each participant and drew a process map with them. The results show that these projects offer multifaceted learning opportunities and outcomes of important value for the professionals.The paper shows that their learning a) is not always easily recognised by the professionals themselves, b) benefits from their active engagement as learners, and c) requires supportive conditions in project arrangements. The paper provides guidelines for lead researchers, design professionals, as well as funding agencies to recognise and value this learning, to support explicit reflection and articulation, and to facilitate supportive learning conditions.","actionable knowledge; collaboration; Design practice; design research; roles","en","journal article","","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:e6ecad30-4638-4430-a669-bfad8a13ae6b","http://resolver.tudelft.nl/uuid:e6ecad30-4638-4430-a669-bfad8a13ae6b","Integrated phononic waveguides in diamond","Ding, Sophie Weiyi (Harvard University); Pingault, B.J. (TU Delft QID/Taminiau Lab; TU Delft Communication QuTech; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; Harvard University); Shao, Linbo (Harvard University; Virginia Tech); Sinclair, Neil (Harvard University); Machielse, Bartholomeus (Harvard University; AWS Center for Quantum Computing); Chia, Cleaven (Harvard University); Maity, Smarak (Harvard University); Lončar, Marko (Harvard University)","","2024","Efficient generation, guiding, and detection of phonons, or mechanical vibrations, are of interest in various fields, including radio-frequency communication, sensing, and quantum information. Diamond is a useful platform for phononics because of the presence of strain-sensitive spin qubits, and its high Young's modulus, which allows for low-loss gigahertz devices. We demonstrate a diamond phononic waveguide platform for generating, guiding, and detecting gigahertz-frequency surface acoustic wave (SAW) phonons. We generate SAWs using interdigital transducers integrated on AlN/diamond and observe SAW transmission at 4-5 GHz through both ridge and suspended waveguides, with wavelength-scale cross sections (approximately 1 m2) to maximize spin-phonon interaction. This work is a crucial step for developing acoustic components for quantum phononic circuits with strain-sensitive color centers in diamond.","","en","journal article","","","","","","","","","","","QID/Taminiau Lab","","",""
"uuid:58f5f372-b9f6-4c80-8bdc-1188c05a9894","http://resolver.tudelft.nl/uuid:58f5f372-b9f6-4c80-8bdc-1188c05a9894","TAIS: Transparent Amplifying Intelligent Surface for Indoor-To-Outdoor mmWave Communications","Liu, Bin (Katholieke Universiteit Leuven); Wang, Q. (TU Delft Embedded Systems); Pollin, Sofie (Katholieke Universiteit Leuven)","","2024","This paper presents a novel transparent amplifying intelligent surface (TAIS) architecture for uplink enhancement in indoor-To-outdoor mmWave communications. The TAIS is an amplifier-based transmissive intelligent surface that can refract and amplify the incident signal, instead of only refracting it with adjustable phase shift by most passive reconfigurable intelligent surfaces (RIS). With advanced indium tin oxide film and printing technology, TAIS can be fabricated on the windows without any visual effects. This paper primarily focuses on exploiting the TAIS-based architecture to boost the uplink spectral efficiency (SE) in indoor-To-outdoor mmWave communications. By jointly optimizing the TAIS's phase shift matrix and transmit power of the user equipment, the uplink SE can be maximized by exploiting the nonlinearity in the TAIS's amplification process. The key enabler is that we drive the optimal phase shift matrix that maximizes the SE and deduces its closed-form representation. The SE maximization is then proved to be transferred to the transmit power optimization problem. Another important enabler is that we design a low-complexity algorithm to solve the optimization problem using the difference of convex programming. Moreover, the asymptotic spectral efficiency under nonlinear amplification and power scaling law with infinitely large elements under both the sparse and rich scattering channel models are analyzed. Simulation results show that our proposed TAIS can increase the SE by up to 24.7% as compared to its alternative methods.","indoor-To-outdoor communication; mmWave MIMO; nonlinear amplification; Reconfigurable intelligent surface (RIS); spectral efficiency; transparent amplifying intelligent surface (TAIS)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-03","","","Embedded Systems","","",""
"uuid:3ed81b29-9a60-460b-b1a4-3560b46958cd","http://resolver.tudelft.nl/uuid:3ed81b29-9a60-460b-b1a4-3560b46958cd","Caracas, ciudad de secuelas: Prácticas de cuidado después de la emigración y el colapso","Gzyl, Stefan (TU Delft Theory, Territories & Transitions)","","2024","This article examines the caretaking practices of vacant domestic spaces amid a migratory crisis and generalized collapse. Caracas is conceptualized as a ‘city of aftermath’, where the material residues of modernity are reconfigured in response to the logic of crisis and the needs of migrants, re-signifying spaces and extending their life beyond the conditions of their production. The text is centered on the figure of the caretaker. Based on interviews, site visits, and photography, the article examines the daily routines of Carlos, who looks after more than twenty apartments in Caracas. His work is entwined with migrants’ trajectories and local needs, generating new economies and support networks around the maintenance and adaptation of vacant spaces. In this way, caretaking practices offer clues for a reading of the city that transcends progress/decline oppositions and their respective imaginaries: the new and the ruin.","crisis; maintenance; mantenimiento; middle class; repair clase media; reparación; ruin; ruina","es","journal article","","","","","","","","","","","Theory, Territories & Transitions","","",""
"uuid:93730881-1066-46c3-95a7-afed7b0c27cd","http://resolver.tudelft.nl/uuid:93730881-1066-46c3-95a7-afed7b0c27cd","Quantifying the impact of stiffness distributions on the dynamic behaviour of railway transition zones","Jain, A. (TU Delft Dynamics of Structures); Marykovskiy, Yuriy (ETH Zürich); Metrikine, A. (TU Delft Engineering Structures); van Dalen, K.N. (TU Delft Dynamics of Structures)","","2024","Railway transition zones (RTZs) are regions where abrupt track stiffness changes occur that may lead to dynamic amplifications and subsequent track deterioration. The design challenges for these zones arise due to variations in material properties in both the depth (trackbed layers composed of different materials) and longitudinal directions of the track, as well as temporal variations in mechanical properties of materials due to several external factors over the operational period. This research aims to investigate the effects of these variations in material properties (i.e., of the resulting stiffness distributions in vertical and longitudinal directions) on the behaviour of RTZs, assess from this perspective the performance of a novel transition structure called the SHIELD, and establish a methodology for designing a robust solution to mitigate the dynamic amplifications in these zones. Results indicate that stiffness variations in both vertical and longitudinal directions significantly influence the dynamic behaviour of the RTZs. The study also suggests a permissible range of stiffness ratios to control the amplification of strain energy in the most critical components of RTZs, both in the initial state as well as during the operational phase (where material properties may vary over time). Moreover, the proposed methodology offers a valuable tool for the design and evaluation of RTZs and is applicable to various transition types and a broad spectrum of material properties.","Railway transition zones; Dynamic amplifications; Material properties; Design limits; Stiffness ratios; Polynomial chaos expansion","en","journal article","","","","","","","","","","Engineering Structures","Dynamics of Structures","","",""
"uuid:bde43024-d07e-46cc-843e-1feeea0744d6","http://resolver.tudelft.nl/uuid:bde43024-d07e-46cc-843e-1feeea0744d6","TIMEWISE: Temporal Dynamics for Urban Resilience: Theoretical insights and empirical reflections from Amsterdam and Mumbai","Krishnan, S. (TU Delft System Engineering); Aydin, N.Y. (TU Delft System Engineering); Comes, M. (TU Delft Transport and Logistics)","","2024","Increasing frequency of climate-related disruptions requires transformational responses over the lifecycles of interconnected urban systems with short- and long-term change dynamics. However, the aftermath of disruptions is often characterised by short-sighted decision-making, neglecting long-term urban shifts. In this study, we present a first attempt to develop the theoretical foundation for temporal dynamics for increasingly disrupted yet ”connecting and moving” cities that can be used in planning for urban resilience. Using the lens of climate urbanism, we conceptualise the interplay of temporal dynamics to empirically examine how planning practice perceives and addresses temporality in two regions - Amsterdam, the Netherlands, and Mumbai, India. Our findings reinforce that disruptions do not inform long-term planning. Endogenous and exogenous dynamics of change are not viewed together nor used to embed short-term planning goals within long-term resilience visions. To address the lack of systematic planning approaches that can leverage temporal dynamics, we propose two options for temporally flexible urban planning processes.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","System Engineering","","",""
"uuid:9661b26e-8f7d-414f-a743-9b46e0718d6b","http://resolver.tudelft.nl/uuid:9661b26e-8f7d-414f-a743-9b46e0718d6b","Cosimulating Integrated Energy Systems with Heterogeneous Digital Twins: Matching a Connected World","Palensky, P. (TU Delft Electrical Sustainable Energy); Mancarella, Pierluigi (University of Melbourne; The University of Manchester); Hardy, Trevor (Pacific Northwest National Laboratory); Cvetkovic, M. (TU Delft Intelligent Electrical Power Grids)","","2024","Energy system integration promises in-creased resiliency and the unlocking of synergies, while also contributing to our goal of decarbonization. It is enabled by both old and new technologies, glued together with data and digital services. Hydrolyzers, heat pumps, distributed renewable generation, smart buildings, and the digital grid edge are all currently the subject of integration with the power system and the energy sector at large. To plan and operate such a multidisciplinary and multisectoral system properly, insight, tools, and expertise are all needed. This is exactly where the state of the art fails to deliver: tools for integrated energy systems (IESs) are still in their infancy, and many times, even academia treats these sectors separately, producing experts in each of them but not across.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-12","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:31e0d818-5f84-4b98-a9dd-21a72162fc62","http://resolver.tudelft.nl/uuid:31e0d818-5f84-4b98-a9dd-21a72162fc62","Discussion of “Coastal Defense Megaprojects in an Era of Sea-Level Rise: Politically Feasible Strategies or Army Corps Fantasies?”","Jonkman, Sebastiaan N. (TU Delft Hydraulic Structures and Flood Risk; Texas A&M University at Galveston); Merrell, William J. (Texas A&M University at Galveston)","","2024","The authors provide a valuable review of coastal defense megaprojects with storm surge barriers in the United States over the past decades. This review comes at an important moment: an increasing number of coastal regions are considering such solutions. Examples from the original paper are Houston, Miami, New York, Boston, and Norfolk in the US, Götenborg (Sweden), Shanghai, and Singapore (Tan 2022). A new barrier is under construction in Nieuwpoort (Belgium) (AMDK 2023). In addition, countries with existing barriers (the Netherlands, UK) are making plans to adapt, upgrade or replace surge barriers.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-09","","","Hydraulic Structures and Flood Risk","","",""
"uuid:e731427f-24d4-4e2d-a24a-4dc46ebf7972","http://resolver.tudelft.nl/uuid:e731427f-24d4-4e2d-a24a-4dc46ebf7972","Construction and application of an algebraic dual basis and the Fine-Scale Greens’ Function for computing projections and reconstructing unresolved scales","Shrestha, S. (TU Delft Aerodynamics; Universidad Politécnica de Madrid); Dekker, J. (TU Delft Numerical Analysis); Gerritsma, M.I. (TU Delft Aerodynamics); Hulshoff, S.J. (TU Delft Aerodynamics); Akkerman, I. (TU Delft Ship Hydromechanics and Structures)","","2024","In this paper, we build on the work of Hughes and Sangalli (2007) dealing with the explicit computation of the Fine-Scale Greens’ function. The original approach chooses a set of functionals associated with a projector to compute the Fine-Scale Greens’ function. The construction of these functionals, however, does not generalise to arbitrary projections, higher dimensions, or Spectral Element methods. We propose to generalise the construction of the required functionals by using dual functions. These dual functions can be directly derived from the chosen projector and are explicitly computable. We show how to find the dual functions for both the L2 and the H01 projections. We then go on to demonstrate that the Fine-Scale Greens’ functions constructed with the dual basis functions consistently reproduce the unresolved scales removed by the projector. The methodology is tested using one-dimensional Poisson and advection–diffusion problems, as well as a two-dimensional Poisson problem. We present the computed components of the Fine-Scale Greens’ function, and the Fine-Scale Greens’ function itself. These results show that the method works for arbitrary projections, in arbitrary dimensions. Moreover, the methodology can be applied to any Finite/Spectral Element or Isogeometric framework.","(Fine-Scale) Greens’ function; Advection–diffusion equation; Duality; Poisson equation; Projection; Variational multiscale","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:c9882917-ff45-49a4-a020-d6bb963b6f99","http://resolver.tudelft.nl/uuid:c9882917-ff45-49a4-a020-d6bb963b6f99","Advancing Shallow Tunnel Construction in Soft Ground: The Pipe-Umbrella Box Jacking Method","Jiang, Xi (The Hong Kong Polytechnic University); Zhang, X. (TU Delft Geo-engineering); Zhang, Xiao (Shanghai Urban Construction Municipal Engineering (Group) Co. Ltd); Long, Luyuan (Student TU Delft); Bai, Yun (Tongji University); Huang, Baoshan (University of Tennessee)","","2024","The development of modern cities has often led to increased traffic congestion and limited usable space. One effective solution to these problems is to construct roadway tunnels, which can expand urban space and alleviate traffic congestion. However, building large underpass tunnels in urban areas, especially in soft ground, presents technical challenges owing to its extensive environmental disturbance. The pipe-umbrella box jacking method offers a promising solution to these challenges as this method does not require breaking the ground from the surface or disrupting surface traffic. This study reviews the key techniques of the pipe-umbrella box jacking method for constructing large rectangular tunnels with small burial depths in soft ground. The study focuses on three main technical issues: pipe umbrella installation, soil face excavation, and box jacking control. Furthermore, two tunnel projects in Shanghai, China, are presented as case studies to showcase the construction challenges and countermeasures during box jacking tunneling with pipe-umbrella. Practical construction experience has revealed that the installation of the pipe umbrella before box jacking serves as an effective method of ground pre-support. However, its performance can be influenced by factors such as pipe installation deviation and inter-pipe connection design. Both open-face steel grid extrusion (SGE) shields and closed-face earth pressure balanced (EPB) shields can be used for soil excavation during box jacking, with different work modes resulting in distinct ground deformation patterns. Additionally, lubrication grouting has been found to effectively reduce friction resistance and affect vertical ground deformation. The study also examines the lessons learned from case studies and proposes optimization measures. This study can serve as a valuable reference for the tunneling industry, providing insights into the pipe-umbrella box jacking method and its application in constructing large rectangular tunnels in soft ground.","Box Jacking Tunnel; EPB Shield; Pipe Umbrella; SGE Shield; Soft Ground","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:e7fa2cc8-37e6-4750-8782-f7a068e40837","http://resolver.tudelft.nl/uuid:e7fa2cc8-37e6-4750-8782-f7a068e40837","Learning-by-exporting in South Africa: The influence of global value chain (GVC) participation and technological capability*","Mazzi, Caio Torres (Universiteit Maastricht); Ndubuisi, G.O. (TU Delft Economics of Technology and Innovation); Avenyo, Elvis (University of Johannesburg)","","2024","Using the South African Revenue Service and National Treasury firm-level panel data for 2009–2017, this paper investigates how trade related to the global value chain (GVC) affects the performance of manufacturing firms in South Africa. The paper uses extant classifications of internationally traded products to identify different categories of GVC-related products and compares the productivity premium of international traders for these different categories. Also, the paper investigates possible differences in learning-by-exporting effects across the identified categories of GVC-related products by estimating the effect of exporting before and after entry into foreign markets. The results confirm that GVC-related trade is associated with a higher productivity premium compared with traditional trade. However, within the categories of exporters, only the firms that trade in GVC-related products and simultaneously engage in research and development in the post-entry periods appear to learn from exporting. Our results underscore the gains of GVC integration in terms of the associated productivity premia and highlight the need for GVC-integrated firms to invest in building technological capacity.","exporter premia; GVCs; parts and components; South Africa","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-29","","","Economics of Technology and Innovation","","",""
"uuid:47a8c298-b76f-4db8-8d1c-030afe91cf1c","http://resolver.tudelft.nl/uuid:47a8c298-b76f-4db8-8d1c-030afe91cf1c","Electrochemical arsenite oxidation for drinking water treatment: Mechanisms, by-product formation and energy consumption","Kraaijeveld, E. (TU Delft Sanitary Engineering); Rijsdijk, S. (TU Delft Sanitary Engineering); van der Poel, S. (TU Delft Sanitary Engineering; Dunea); van der Hoek, J.P. (TU Delft Sanitary Engineering); Rabaey, K. (Universiteit Gent); van Halem, D. (TU Delft Sanitary Engineering)","","2024","The mechanisms and by-product formation of electrochemical oxidation (EO) for As(III) oxidation in drinking water treatment using groundwater was investigated. Experiments were carried out using a flowthrough system, with an RuO 2/IrO 2 MMO Ti anode electrode, fed with synthetic and natural groundwater containing As(III) concentrations in a range of around 75 and 2 µg/L, respectively. Oxidation was dependent on charge dosage (CD) [C/L] and current density [A/m 2], with the latter showing plateau behaviour for increasing intensity. As(III) concentrations of <0.3 µg/L were obtained, indicating oxidation of 99.9 % of influent As(III). Achieving this required a higher charge dosage for the natural groundwater (>40 C/L) compared to the oxidation in the synthetic water matrix (20 C/L), indicating reaction with natural organic matter or other compounds. As(III) oxidation in groundwater required an energy consumption of 0.09 and 0.21 kWh/m 3, for current densities of 20 and 60 A/m 2, respectively. At EO settings relevant for As(III) oxidation, in the 30–100 C/L CD range, the formation of anodic by-products, as trihalomethanes (THMs) (0.11–0.75 µg/L) and bromate (<0.2 µg/L) was investigated. Interestingly, concentrations of the formed by-products did not exceed strictest regulatory standards of 1 µg/L, applicable to Dutch tap water. This study showed the promising perspective of EO as electrochemical advanced oxidation process (eAOP) in drinking water treatment as alternative for the conventional use of strong oxidizing chemicals.","Arsenic; Groundwater; THMs; Bromate","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-19","","","Sanitary Engineering","","",""
"uuid:0dadd35f-2705-4fa8-a00e-837cc47d18e3","http://resolver.tudelft.nl/uuid:0dadd35f-2705-4fa8-a00e-837cc47d18e3","An airbrush 3D printer: Additive manufacturing of relaxor ferroelectric actuators","IJssel de Schepper, S.R. (TU Delft Micro and Nano Engineering); Hunt, A. (TU Delft Micro and Nano Engineering)","","2024","The additive manufacturing of electroactive polymer (EAP) devices poses significant challenges due to their distinct structure and dissimilar properties of their constituent materials. It requires deposition of multiple functional materials with different properties, achieving μm-scale resolution in layer thickness, and executing incremental deposition and curing steps while preserving the previously deposited functional material layers. This study introduces an airbrush 3D printer concept and employs it for fabricating EAP transducers. An airbrush 3D printer was constructed by adapting a standard extrusion printer platform and integrating it with a two fluid atomizer (i.e. an airbrush) as the deposition tool. A process was developed for printing of the bending P(VDF-TrFE-CTFE) actuators with carbon black electrodes, and actuators with a single and dual EAP layers were fabricated. The airbrush printer attained in-plane resolution of 0.5mm, thickness resolutions of 0.63 μm and allowed atomizing up to 7% P(VDF-TrFE-CTFE) solutions. The 18 mm × 4 mm EAP actuators achieved 340μm (440 Vpp) and 3.7 mm (400 Vpp, 104 Hz) tip deflections respectively in quasi-static and resonant operation. Airbrush printing therefore proved to be a robust method for printing precursor materials with a wide range of properties, and is anticipated to be a versatile approach for printing other passive and stimuli-responsive materials and devices.","Additive manufacturing; Airbrush 3D printer; Electroactive polymer; Smart material actuator; Spray printing","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:fdd2f04f-7f85-47f5-aa41-33890001c155","http://resolver.tudelft.nl/uuid:fdd2f04f-7f85-47f5-aa41-33890001c155","Homological Quantum Rotor Codes: Logical Qubits from Torsion","Vuillot, C. (Lorraine University); Ciani, A. (Forschungszentrum Jülich GmbH); Terhal, B.M. (TU Delft Discrete Mathematics and Optimization; QuTech)","","2024","We formally define homological quantum rotor codes which use multiple quantum rotors to encode logical information. These codes generalize homological or CSS quantum codes for qubits or qudits, as well as linear oscillator codes which encode logical oscillators. Unlike for qubits or oscillators, homological quantum rotor codes allow one to encode both logical rotors and logical qudits in the same block of code, depending on the homology of the underlying chain complex. In particular, a code based on the chain complex obtained from tessellating the real projective plane or a Möbius strip encodes a qubit. We discuss the distance scaling for such codes which can be more subtle than in the qubit case due to the concept of logical operator spreading by continuous stabilizer phase-shifts. We give constructions of homological quantum rotor codes based on 2D and 3D manifolds as well as products of chain complexes. Superconducting devices being composed of islands with integer Cooper pair charges could form a natural hardware platform for realizing these codes: we show that the 0-π qubit as well as Kitaev’s current-mirror qubit—also known as the Möbius strip qubit—are indeed small examples of such codes and discuss possible extensions.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-20","","","Discrete Mathematics and Optimization","","",""
"uuid:8ee85a7c-552a-481d-b217-84760274b072","http://resolver.tudelft.nl/uuid:8ee85a7c-552a-481d-b217-84760274b072","Mitigating suspended-sediment environmental pressure in subsea engineering through colliding turbidity currents","Alhaddad, S.M.S. (TU Delft Offshore and Dredging Engineering); Elerian, M.F.A.I. (TU Delft Offshore and Dredging Engineering)","","2024","Turbidity currents have extensively been explored in quiescent environments. However, during several underwater activities (e.g., dredging and deep sea mining), generated turbidity currents could travel in opposite directions and interact with each other, which could largely influence their hydrodynamics and sediment transport capacity. Therefore, we carried out a set of dual-lock-exchange experiments to study the interaction of colliding turbidity currents. Our experimental results show that the interaction of identical currents results in the reflection of both currents with almost no mixing, forcing them to travel in the opposite direction of the pre-collision one. In contrast, when a turbidity current interacts with a lighter, less-energetic current, clear mixing is observed. Furthermore, it is revealed that the collision of turbidity currents reduces the suspended sediment transported by them, which is favorable from an environmental point of view, and slightly increases the vertical dispersion of particles. In the case of two identical counterflowing currents, a 35% reduction in mass flux, accompanied by a 6% increase in turbidity current thickness, was observed in our experiments.","Turbidity currents; Dual-lock-exchange experiments; Dredging; Deep Sea Mining; Polymetallic Nodules; Environmental Impact; Breaching","en","journal article","","","","","","","","","","","Offshore and Dredging Engineering","","",""
"uuid:59ceaebc-28f7-4eb4-84c0-09e2b67ac277","http://resolver.tudelft.nl/uuid:59ceaebc-28f7-4eb4-84c0-09e2b67ac277","Buffer scheduling for improving on-time performance and connectivity with a multi-objective simulation–optimization model: A proof of concept for the airline industry","van Schilt, Isabelle M. (TU Delft Policy Analysis); van Kalker, Jonna (KLM Royal Dutch Airlines); Lefter, I. (TU Delft System Engineering); Kwakkel, J.H. (TU Delft Policy Analysis); Verbraeck, A. (TU Delft Policy Analysis)","","2024","Schedule design in the transportation and logistics sector is a widely studied problem. Transport service providers, such as the train industry and aviation, aim for schedules to be on-time according to the planning (i.e., on-time performance or OTP) in order to increase the service level by ensuring that passengers actually make their connections and to reduce costs. Transportation services also aim for schedules that serve a high variety of destinations and frequency of connections (i.e., connectivity). OTP and connectivity are both highly dependent on buffer time: more lucrative connections can often be offered by reducing the buffer time in the schedule, while more delay can be absorbed by more buffer time. Given strict constraints on the minimum turnaround time of aircraft and minimum (and maximum acceptable) transfer times of passengers, assigning buffer time in an already tightly planned schedule to optimize OTP and connectivity simultaneously is a big challenge. This research presents a novel multi-objective formulation of a daily flight schedule where buffer scheduling is used to ensure the optimal balance between OTP of the schedule and the passenger connections as connectivity, given the tight restrictions. This problem formulation is solved using a simulation–optimization framework. Specifically, we use the Multi-Objective Evolutionary Algorithm (MOEA) BORG. As a proof of concept, a daily European flight schedule of a large international airline is optimized on both OTP and connectivity. The results demonstrate that the presented multi-objective formulation and associated solving through simulation–optimization can result in candidate schedules with both better on-time performance and a higher connectivity.","Airline scheduling; Buffer allocation; Connectivity; Multi-objective optimization; On-time performance","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:40d7eeec-5eeb-4413-b9b5-85e58ef96512","http://resolver.tudelft.nl/uuid:40d7eeec-5eeb-4413-b9b5-85e58ef96512","Process design and downstream optimization of the direct synthesis route for cleaner production of dimethyl ether from biogas","Fedeli, M. (Politecnico di Milano; Université de Toulouse); Negri, F. (Politecnico di Milano; Itelyum Regeneration Spa, Lodi); Bornazzini, A. (TU Delft ChemE/Product and Process Engineering; Politecnico di Milano); Montastruc, L. (Université de Toulouse); Manenti, F. (Politecnico di Milano); Kiss, A.A. (TU Delft ChemE/Product and Process Engineering)","","2024","This study investigates an innovative method to produce dimethyl ether (DME) by direct synthesis from syngas derived from biogas. The proposed process was rigorously simulated in Aspen Plus, highlighting the main sections: (i) biogas tri-reforming, (ii) dimethyl-ether synthesis, and (iii) DME purification. The tri-reforming section has a CO2 and CH4 conversion of 27.3% and 96.2%, respectively A novel catalyst suitable for CO2-rich feed was chosen for the DME production to allow 60% conversion of CO2. Product separation is achieved via several absorption and distillation columns, ensuring that the operating conditions are kept mild to avoid expensive refrigeration. An optimization analysis was performed to identify the most suitable layout of the downstream process. This was identified through the evaluation of performance indicators such as utility usage and operating expenses. A wide range of purification strategies have been evaluated, and two scenarios are proposed based on the results. Configuration A produces 5.34 ktpy DME and 1.26 ktpy methanol, while Configuration B produces exclusively 6.21 ktpy DME. The process configurations were analysed by means of key techno-economic indicators and sustainability metrics. Both processes have an energy intensity of 14.5 kWh/kg. The reforming unit has a negligible footprint as it is thermally sustained from biogas combustion, but the reboilers are the main contributors for plant CO2 emissions. Configuration B has the best economic value with 11,634 k€ of NPV after 25 years and a payback time of 4 years.","DME direct synthesis; Green processing; Process optimization; Process simulation; Waste-to-Fuel","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:32be3762-b749-4fc6-ab3b-6fb5700ef8b9","http://resolver.tudelft.nl/uuid:32be3762-b749-4fc6-ab3b-6fb5700ef8b9","Predicting elbow load based on individual pelvis and trunk (inter)segmental rotations in fastball pitching","Gomaz, L. (TU Delft Statistics); van Trigt, B. (TU Delft Biomechatronics & Human-Machine Control); van der Meulen, F.H. (Vrije Universiteit Amsterdam); Veeger, H.E.J. (TU Delft Biomechatronics & Human-Machine Control)","","2024","The baseball pitch is a repetitive, full-body throwing motion that exposes the elbow to significant loads, leading to a high incidence of elbow injuries. Elbow injuries in pitching are often attributed to high external valgus torques as these are generally considered to be a good proxy for the load on the Ulnar Collateral Ligament. The aim of the study is to contribute to elbow load monitoring by developing a prediction model based on the pelvis and trunk peak angular velocities and their separation time. Eleven male youth elite baseball pitchers (age 17 ± 2.2 years) threw 25 fastballs at full effort off a mound. Two-level varying-intercept, varying-slope Bayesian models were used to predict external valgus torque based on (inter)segmental rotation in fastball pitching with pitcher’s weight and height added to strengthen the individualisation of the prediction. The results revealed the high predictive performance of the models including a set of kinematic parameters trunk peak angular velocity and the separation time between the pelvis and trunk peak angular velocities. Such an approach allows individualised prediction of the external valgus torque for each pitcher, which has a great practical advantage compared to group-based predictions in terms of injury assessment and injury prevention.","baseball; External valgus torque; injury prevention; kinetic chain; UCL injuries","en","journal article","","","","","","","","","","","Statistics","","",""
"uuid:9ff83a17-2900-4d39-a538-6675e1fa8bfa","http://resolver.tudelft.nl/uuid:9ff83a17-2900-4d39-a538-6675e1fa8bfa","Analyzing coastal erosion and sedimentation using Sentinel-1 SAR change detection: An application on the Volta Delta, Ghana","di Biase, V. (Universiteit Utrecht); Hanssen, R.F. (TU Delft Mathematical Geodesy and Positioning)","","2024","Ghana's coastline has been facing erosion and sedimentation phenomena for several decades, resulting in a serious threat to life and property considering that major urban settlements are located on the coast. In this region, there has been a lack of emphasis on comprehensive, large-scale investigations into coastal changes: prior research has predominantly centered on site-specific assessments. These studies have revealed alarming erosion rates, with reports indicating that nearly ten meters are lost annually. The use of high-resolution remotely sensed data can be a consistent support in regions where physical or economic obstacles interfere with collecting in situ information. In particular, the use of continuous all-weather SAR data may facilitate the evaluation of erosion and sedimentation phenomena in coastal areas. In this paper, we apply SAR data over a time period between 2017 and 2021. Sentinel-1 data are pre-processed using the Google Earth Engine platform, and a dedicated algorithm is then applied to identify and quantify erosion and sedimentation processes. Optical images are used as a reference for detecting the location of two areas where consistent sedimentation and erosion phenomena occurred in the considered four years. The results demonstrate that SAR backscattering variations over time offer a reliable method for monitoring coastal changes. This approach enables the identification of the type of phenomena occurring - sedimentation or erosion -, and allows for the quantification of their intensity and dimensions over time. The method can be worldwide applied once the appropriate thresholds are evaluated and help in predictive studies and environmental planning.","Change detection; Coastal environment; Coastal erosion; SAR; Sentinel-1","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:587d267d-7d7c-4121-937e-d1e43acb49dc","http://resolver.tudelft.nl/uuid:587d267d-7d7c-4121-937e-d1e43acb49dc","Centrifuge fractionation during purification of cellulose nanocrystals after acid hydrolysis and consequences on their chiral self-assembly","Svagan, Anna J. (KTH Royal Institute of Technology); Vilaplana, Francisco (KTH Royal Institute of Technology); Pettersson, Torbjörn (KTH Royal Institute of Technology); Ravi Anusuyadevi, P. (TU Delft Team Arjan Mol; KTH Royal Institute of Technology); Henriksson, Gunnar (KTH Royal Institute of Technology); Hedenqvist, Mikael (KTH Royal Institute of Technology)","","2024","The inherent colloidal dispersity (due to length, aspect ratio, surface charge heterogeneity) of CNCs, when produced using the typical traditional sulfuric acid hydrolysis route, presents a great challenge when interpreting colloidal properties and linking the CNC film nanostructure to the helicoidal self-assembly mechanism during drying. Indeed, further improvement of this CNC preparation route is required to yield films with better control over the CNC pitch and optical properties. Here we present a modified CNC-preparation protocol, by fractionating and harvesting CNCs with different average surface charges, rod lengths, aspect ratios, already during the centrifugation steps after hydrolysis. This enables faster CNC fractionation, because it is performed in a high ionic strength aqueous medium. By comparing dry films from the three CNC fractions, discrepancies in the CNC self-assembly and structural colors were clearly observed. Conclusively, we demonstrate a fast protocol to harvest different populations of CNCs, that enable tailored refinement of structural colors in CNC films.","Cellulose nanocrystals; Fractionation; Structural colors; Sulfuric acid hydrolysis","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:576b129f-b770-42b4-81d1-860928d411c2","http://resolver.tudelft.nl/uuid:576b129f-b770-42b4-81d1-860928d411c2","Albumin Protein Impact on Early-Stage In Vitro Biodegradation of Magnesium Alloy (WE43)","Imani, Amin (University of British Columbia; Monash University); Rahimi, E. (TU Delft Materials Science and Engineering); Lekka, Maria (CIDETEC); Andreatta, Francesco (University of Udine); Magnan, Michele (University of Udine); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia); Mol, J.M.C. (TU Delft Team Arjan Mol); Raman, R. K. Singh (Monash University); Fedrizzi, Lorenzo (University of Udine); Asselin, Edouard (University of British Columbia)","","2024","Mg and its alloys are promising biodegradable materials for orthopedic implants and cardiovascular stents. The first interactions of protein molecules with Mg alloy surfaces have a substantial impact on their biocompatibility and biodegradation. We investigate the early-stage electrochemical, chemical, morphological, and electrical surface potential changes of alloy WE43 in either 154 mM NaCl or Hanks’ simulated physiological solutions in the absence or presence of bovine serum albumin (BSA) protein. WE43 had the lowest electrochemical current noise (ECN) fluctuations, the highest noise resistance (Zn = 1774 Ω·cm2), and the highest total impedance (|Z| = 332 Ω·cm2) when immersed for 30 min in Hanks’ solution. The highest ECN, lowest Zn (1430 Ω·cm2), and |Z| (49 Ω·cm2) were observed in the NaCl solution. In the solutions containing BSA, a unique dual-mode biodegradation was observed. Adding BSA to a NaCl solution increased |Z| from 49 to 97 Ω·cm2 and decreased the ECN signal of the alloy, i.e., the BSA inhibited corrosion. On the other hand, the presence of BSA in Hanks’ solution increased the rate of biodegradation by decreasing both Zn and |Z| while increasing ECN. Finally, using scanning Kelvin probe force microscopy (SKPFM), we observed an adsorbed nanolayer of BSA with aggregated and fibrillar morphology only in Hanks’ solution, where the electrical surface potential was 52 mV lower than that of the Mg oxide layer.","magnesium alloy; protein adsorption; corrosion; biodegradation; surface potential","en","journal article","","","","","","","","","","Materials Science and Engineering","Team Yaiza Gonzalez Garcia","","",""
"uuid:6ea5060c-1f83-471c-9473-68a32fcf26ee","http://resolver.tudelft.nl/uuid:6ea5060c-1f83-471c-9473-68a32fcf26ee","Fiber-Optic Pedicle Probes to Advance Spine Surgery through Diffuse Reflectance Spectroscopy","Losch, M.S. (TU Delft Medical Instruments & Bio-Inspired Technology); Heintz, J.D. (Student TU Delft); Edström, Erik (Karolinska Institutet; Capio Spine Center); Terander, Adrian Elmi (Karolinska Institutet; Capio Spine Center); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Hendriks, B.H.W. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2024","Diffuse Reflectance Spectroscopy (DRS) can provide tissue feedback for pedicle screw placement in spine surgery, yet the integration of fiber optics into the tip of the pedicle probe, a device used to pierce through bone, is challenging, since the optical probing depth and signal-to-noise ratio (SNR) are affected negatively compared to those of a blunt DRS probe. Through Monte Carlo simulations and optical phantom experiments, we show how differences in the shape of the instrument tip influence the acquired spectrum. Our findings demonstrate that a single bevel with an angle of 30∘ offers a solution to anticipate cortical breaches during pedicle screw placement. Compared to a blunt probe, the optical probing depth and SNR of a cone tip are reduced by 50%. The single bevel tip excels with 75% of the optical probing depth and a SNR remaining at approximately ⅔, facilitating the construction of a surgical instrument with integrated DRS.","Diffuse Reflectance Spectroscopy; spine surgery; breach detection; fiber optics; probe design","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:ebd6177f-bfff-4b3e-b34b-d494e5586a5b","http://resolver.tudelft.nl/uuid:ebd6177f-bfff-4b3e-b34b-d494e5586a5b","Critical factors for effective resident participation in neighborhood rehabilitation in Wuhan, China: From the perspectives of diverse stakeholders","Li, Y. (TU Delft Design & Construction Management); Tao, Y. (University of Cambridge); Qian, QK (TU Delft Design & Construction Management); Mlecnik, E. (TU Delft Real Estate Management); Visscher, H.J. (TU Delft Design & Construction Management)","","2024","Resident participation is essential for neighborhood rehabilitation. It requires the active involvement of residents and efficient management by organizers. To improve the effectiveness of resident participation, it is necessary to understand the critical success factors (CSFs) underlying it. However, previous research has examined the critical factors from a single-stakeholder perspective, overlooking potential differences in perceptions among stakeholders with diverse roles and rehabilitation experiences. Based on 30 interviews and 255 questionnaires from six stakeholder groups in Wuhan, China, this study explores how the perception of critical factors for effective resident participation varies among local government, community-based organization, designer, contractor, consulting party, and resident. Thirty-seven factors were identified and compared among the stakeholders. Financial Incentive (for participation organizers) was identified as the most critical factor for effective resident participation, followed by Information Disclosure and Transparency, and Trust. Results from the analysis of variance (ANOVA) show that the six groups differed significantly in the importance of most factors (25/37), especially in Participant Education and Prejudice against the Working Group. Interview results indicate that the COVID-19 pandemic and rehabilitation experience changed stakeholders’ perceived importance of some factors. Specifically, in future RP initiatives, extra emphasis could be placed on Trait and Capacity (of the working group) and Participation-assistance Technologies. Stakeholders regarded these two factors as more critical as their rehabilitation experience accumulated. By understanding stakeholders' conflicting and changing perceptions of effective resident participation, suggestions were proposed to each stakeholder group to fulfill their distinct participation objectives and improve the overall effectiveness of participation practices.","resident participation; neighborhood rehabilitation; Critical success factor (CSF); stakeholder perception; COVID-19 pandemic; China","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:c38086ce-2eac-4f0e-8668-f41c6b1c8920","http://resolver.tudelft.nl/uuid:c38086ce-2eac-4f0e-8668-f41c6b1c8920","How can airports prepare for future public health disruptions? Experiences and lessons learned during the COVID-19 pandemic from a systemic perspective based on expert interviews","Nieuwborg, A.B.D. (TU Delft Responsible Marketing and Consumer Behavior); Melles, M. (TU Delft Applied Ergonomics and Design); Hiemstra-van Mastrigt, S. (TU Delft Responsible Marketing and Consumer Behavior); Santema, S.C. (TU Delft Responsible Marketing and Consumer Behavior)","","2024","The COVID-19 pandemic proved to be an existential public health and economic crisis for the airport system. An interview study was conducted using Amsterdam Airport Schiphol as a use case to prepare for future public health disruptions. The study aimed to uncover key experiences and lessons learned by an airport system during the COVID-19 pandemic by interviewing 16 experts from airport operators, airlines, public health agencies, security services, and the government. After thematic analysis, four themes emerged. The first theme addressed the limited readiness of the airport system; the COVID-19 pandemic seemed unimaginable regardless of prior experiences with infectious diseases or weak signals. The second theme depicts an airport system running behind the facts, one that had difficulties implementing operational interventions and had to deal with extensive reorganisations. The third theme illustrated the complex relational dynamics within the airport system, such as the hesitancy of public health stakeholders towards aviation stakeholders and the government utilising a top-down approach. Finally, theme four provides lessons learned for the future whereby actively fostering a systemic approach, sensemaking capabilities, and informal relations are recommended. Current constructions like Crisis Management Teams and the Airport Operations Centre support these learnings. Further reflection and operationalisation of the study's findings are critical to proactively supporting the airport system's transition from a potential pandemic liability to a strategic asset in mitigating public health disruptions.","Air transportation; Airport strategy; Crisis management; Uncertainty","en","journal article","","","","","","","","","","","Responsible Marketing and Consumer Behavior","","",""
"uuid:dbb987f9-4b41-487a-b7e3-1286db7d2d4e","http://resolver.tudelft.nl/uuid:dbb987f9-4b41-487a-b7e3-1286db7d2d4e","Spatial Design Thinking in Coastal Defence Systems: Overtopping Dikes in Southend-On-Sea","Iuorio, Luca (TU Delft Environmental Technology and Design); Wüthrich, D. (TU Delft Hydraulic Structures and Flood Risk); Teng, Djimin (Student TU Delft); Hooimeijer, F.L. (TU Delft Environmental Technology and Design)","","2024","Coastal dikes have been built for millennia to protect inhabited lands from exceptional high tides and storm events. Currently, many European countries are developing specific programs to integrate the construction of new dikes (or the raising of existing ones) into the built environment to face sea level rising. Technical difficulties in succeeding in this operation are questioning the paradigm of protection for the long term, pointing out the need for alternative strategies of adaptation that are not yet fully explored. This paper elaborates on innovative models to deal with coastal flooding, presenting the results of an interdisciplinary research and design process for the case-study of Southend-on-Sea (UK). Detailed numerical simulations are used to develop a spatial strategy to accommodate water during extreme events, introducing different prototypes of dike designs that include seawalls, enhanced roughness through rock and stepped revetments, as well as vegetation. The overall goal is to push forward the traditional approach of planning water protection infrastructure within the solely field of civil engineering. It elaborates on the integration of the disciplines of spatial design and engineering and presents novel advances in terms of spatial design for the revetment of overtopping dikes.","engineering; spatial design; interdisciplinary; coastal dikes; roughness","en","journal article","","","","","","","","","","","Environmental Technology and Design","","",""
"uuid:0691fd48-7699-4bdc-8819-1c803486bc28","http://resolver.tudelft.nl/uuid:0691fd48-7699-4bdc-8819-1c803486bc28","A model analysis of centimeter-long electron transport in cable bacteria","van der Veen, J.R. (TU Delft BT/Environmental Biotechnology; Kavli institute of nanoscience Delft); Valianti, S. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Blanter, Y.M. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft); Meysman, F.J.R. (TU Delft BT/Environmental Biotechnology; Universiteit Antwerpen)","","2024","The recent discovery of cable bacteria has greatly expanded the known length scale of biological electron transport, as these multi-cellular bacteria are capable of mediating electrical currents across centimeter-scale distances. To enable such long-range conduction, cable bacteria embed a network of regularly spaced, parallel protein fibers in their cell envelope. These fibers exhibit extraordinary electrical properties for a biological material, including an electrical conductivity that can exceed 100 S cm −1. Traditionally, long-range electron transport through proteins is described as a multi-step hopping process, in which the individual hopping steps are described by Marcus electron transport theory. Here, we investigate to what extent such a classical hopping model can explain the conductance data recorded for individual cable bacterium filaments. To this end, the conductive fiber network in cable bacteria is modelled as a set of parallel one-dimensional hopping chains. Comparison of model simulated and experimental current(I)/voltage(V) curves, reveals that the charge transport is field-driven rather than concentration-driven, and there is no significant injection barrier between electrodes and filaments. However, the observed high conductivity levels (>100 S cm −1) can only be reproduced, if we include much longer hopping distances (a > 10 nm) and lower reorganisation energies (λ < 0.2 eV) than conventionally used in electron relay models of protein structures. Overall, our model analysis suggests that the conduction mechanism in cable bacteria is markedly distinct from other known forms of long-range biological electron transport, such as in multi-heme cytochromes.","","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:563ecf9f-4ef5-435f-9ca1-d211e8871b51","http://resolver.tudelft.nl/uuid:563ecf9f-4ef5-435f-9ca1-d211e8871b51","Mode-{I, III} multiaxial fatigue of welded joints in steel maritime structures: Effective notch stress based resistance incorporating strength and mechanism contributions","Bufalari, G. (TU Delft Ship Hydromechanics and Structures); den Besten, J.H. (TU Delft Ship and Offshore Structures); Kaminski, M.L. (TU Delft Ship and Offshore Structures)","","2024","The response of maritime structures can be multiaxial, involving predominant mode-I and non-negligible mode-III components. Adopting a stress distribution formulation based effective notch stress as fatigue strength parameter for mixed mode-{I, III} multiaxial fatigue assessment purposes, a mode-I equivalent von Mises type of failure criterion has been established at the critical fracture plane. Counting includes a cycle-by-cycle non-proportionality measure and damage accumulation is based on a linear model. Distinguished mode specific and material characteristic strength and mechanism contributions in terms of respectively the resistance curve intercept and mean stress induced response ratio coefficient, resistance curve slope and material characteristic length, have been incorporated. Evaluating the mid-cycle fatigue resistance, the outperformance is impressive. The analysed multiaxial mode-{I, III} data fits the uniaxial mode-I reference data scatter band and a single resistance curve can be used for fatigue assessment.","Effective notch stress; Fatigue; Multiaxiality; Strength and mechanism; Welded joints","en","journal article","","","","","","","","","","","Ship Hydromechanics and Structures","","",""
"uuid:2c309d76-800f-47c3-a702-3aca6f99284c","http://resolver.tudelft.nl/uuid:2c309d76-800f-47c3-a702-3aca6f99284c","Textile Membrane for Façade Retrofitting: Exploring Fabric Potentialities for the Development of Innovative Strategies","Procaccini, Giulia (Polytechnic of Milan); Prieto, Alejandro (Diego Portales University); Knaack, U. (TU Delft Architectural Technology); Monticelli, Carol (Polytechnic of Milan); Konstantinou, T. (TU Delft Architectural Technology)","","2024","The European building stock demands urgent renovation due to the age of the buildings, their expected lifetime, and their excessive energy consumption, which accounts for more than a third of the EU’s total emissions. However, the complexities involved, such as time, costs, and structural modifications, often discourage clients, tenants, and occupants from undergoing a building renovation process. Textile membranes, despite their long history in various architectural applications, have only been employed in façades in the last decades. Their intrinsic properties, such as lightness and flexibility, together with rapid assembly and low maintenance make these materials particularly suitable for façade retrofitting. Therefore, they are worth exploring as a way to promote the development of lightweight and easy-to-assemble façade products that could help overcome the current limitations of building retrofitting efforts. This paper aims to establish relationships between textile membranes and potential building retrofit applications. To this end, this study builds on the categorization of traditional façade retrofit strategies and proposes a new classification for textile façade retrofit products. The methodology includes a comprehensive literature review of textile properties and characteristics, along with a thorough assessment through case studies, of membrane use in façade applications. A sequential investigation leads to the main outcome of identifying three clear pathways for the development of new textile-based façade products for building retrofit.","Façade retrofit; textile façade; membrane; innovative strategies; resilient constructions; Sustainability; lightweight structures","en","journal article","","","","","","","","","","","Architectural Technology","","",""
"uuid:0012651c-dd2d-4d09-b692-9ee41cf078e3","http://resolver.tudelft.nl/uuid:0012651c-dd2d-4d09-b692-9ee41cf078e3","Nonideal compressible fluid dynamics of dense vapors and supercritical fluids.","Guardone, Alberto (Politecnico di Milano); Colonna, Piero (TU Delft Flight Performance and Propulsion); Pini, M. (TU Delft Flight Performance and Propulsion); Spinelli, Andrea (Politecnico di Milano)","","2024","The gas dynamics of single-phase nonreacting fluids whose thermodynamic states are close to vapor-liquid saturation, close to the vapor-liquid critical point, or in supercritical conditions differs quantitatively and qualitatively from the textbook gas dynamics of dilute, ideal gases. Due to nonideal fluid thermodynamic properties, unconventional gas dynamic effects are possible, including nonclassical rarefaction shock waves and the nonmonotonic variation of the Mach number along steady isentropic expansions. This review provides a comprehensive theoretical framework of the fundamentals of nonideal compressible fluid dynamics (NICFD). The relation between nonideal gas dynamics and the complexity of the fluid molecules is clarified. The theoretical, numerical, and experimental tools currently employed to investigate NICFD flows and related applications are reviewed, followed by an overview of industrial processes involving NICFD, ranging from organic Rankine and supercritical CO2 cycle power systems to supercritical processes. The future challenges facing researchers in the field are briefly outlined.","nonideal compressible fluid dynamics; nonideal thermodynamics; fundamental derivative of gas dynamics; supercritical carbon dioxide flows and power systems; organic Rankine cycle power systems; supercritical injection","en","journal article","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:a08a993e-a57c-4bc0-8702-2148a6f1b70e","http://resolver.tudelft.nl/uuid:a08a993e-a57c-4bc0-8702-2148a6f1b70e","Fast particle-mesh code for Milgromian dynamics","Visser, P.M. (TU Delft Mathematical Physics); Eijt, S.W.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); de Nijs, J.V. (Student TU Delft)","","2024","Context. Modified Newtonian dynamics (MOND) is a promising alternative to dark matter. To further test the theory, there is a need for fluid- and particle-dynamics simulations. The force in MOND is not a direct particle-particle interaction, but derives from a potential for which a nonlinear partial differential equation (PDE) needs to be solved. Normally, this makes the problem of simulating dynamical evolution computationally expensive. Aims. We intend to develop a fast particle-mesh (PM) code for MOND (the AQUAL formalism). Methods. We transformed the nonlinear equation for MOND into a system of linear PDEs plus one algebraic equation. An iterative scheme with the fast Fourier transform (FFT) produces successively better numerical approximations. Results. The algorithm was tested for dynamical systems in MOND where analytical solutions are known: the two-body problem, a body with a circular ring, and a spherical distribution of particles in thermal equilibrium in the self-consistent potential. Conclusions. The PM code can accurately calculate the forces at subpixel scale and reproduces the analytical solutions. Four iterations are required for the potential, but when the spatial steps are small compared to the kernel width, one iteration is suffices. The use of a smoothing kernel for the accelerations is inevitable in order to eliminate the self-gravity of the point particles. Our PDE solver is 15 to 42 times as slow as a standard Poisson solver. However, the smoothing and particle propagation takes up most of the time above one particle per 10 3 pixels. The FFTs, the smoothing, and the propagation part in the code can all be parallelized.","gravitation; numerical; planets and satellites: general – planets and satellites: formation; Galaxies: kinematics and dynamics; Galaxies: formation; Cosmology; dark matter theory","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:512ca0f2-7b8a-45fa-9b8b-266508e77126","http://resolver.tudelft.nl/uuid:512ca0f2-7b8a-45fa-9b8b-266508e77126","How to conduct more systematic reviews of agent-based models and foster theory development: Taking stock and looking ahead","Achter, Sebastian (Hamburg University of Technology); Borit, Melania (UiT the Arctic University of Norway); Cottineau, C. (TU Delft Urban Studies); Meyer, Matthias (Hamburg University of Technology); Polhill, J. Gareth (The James Hutton Institute); Radchuk, Viktoriia (Leibniz Institute for Zoo and Wildlife Research)","","2024","Agent-based models (ABMs) are increasingly utilized in ecology and related fields, yet concerns persist regarding the lack of consideration for lessons learned from previous models. This study explores the potential of systematically conducted ABM reviews to contribute to cumulative science and theory development by synthesizing individual ABM findings more effectively. We are conducting a meta-review of ABM reviews to assess current practices, compare them to systematic literature review (SLR) literature recommendations, and evaluate their engagement with theory and theory development. Our analysis of the ecology and social science sample reveals that many reviews are not conducted systematically and lack transparency. The analysis step of SLRs holds significant potential to advance theory development. Reviews primarily focus on model design, while other avenues of theory development receive less attention. Our findings suggest ways to improve current practices and may guide future ABM reviews via benchmarks for methodological decisions and dimensions for advancing theory development.","Systematic literature review; Literature review; Agent-based modelling; Model design; Theory development; Cumulative science","en","journal article","","","","","","","","","","","Urban Studies","","",""
"uuid:02eaf4bb-db40-473a-91f7-02fe3dd24a08","http://resolver.tudelft.nl/uuid:02eaf4bb-db40-473a-91f7-02fe3dd24a08","Stability Analysis for Incremental Adaptive Dynamic Programming with Approximation Errors","Li, Y. (TU Delft Control & Simulation); van Kampen, E. (TU Delft Control & Simulation)","","2024","This paper provides a convergence and stability analysis of the incremental value iteration algorithm under the influence of various errors. Incremental control is firstly used to linearize the continuous-time nonlinear system, recursive least squares (RLS) identification is then introduced to identify the incremental model online. Based on the incremental model, the value iteration algorithm is used to design an optimal adaptive controller, with an analytical optimal control law. Moreover, the convergence of the developed incremental value iteration algorithm is proved. The stability of the controller is analyzed using Lyapunov stability theory. Finally, a flight control simulation verifies the robustness of the controller to various initial conditions, as well as adaptation to actuator faults.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-06","","","Control & Simulation","","",""
"uuid:89ffbd88-1fd7-4c23-b3c3-25febdd69702","http://resolver.tudelft.nl/uuid:89ffbd88-1fd7-4c23-b3c3-25febdd69702","In-Situ early anomaly detection and remaining useful lifetime prediction for high-power white LEDs with distance and entropy-based long short-term memory recurrent neural networks","Wen, Minzhen (Fudan University); Ibrahim, Mesfin Seid (Wollo University); Meda, Abdulmelik Husen (The Hong Kong Polytechnic University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan Zhangjiang Institute)","","2024","High-power white light-emitting diodes (LEDs) have demonstrated superior efficiency and reliability compared to traditional white light sources. However, ensuring maximum performance for a prolonged lifetime use presents a significant challenge for manufacturers and end users, especially in safety–critical applications. Thus, identifying functional anomalies and predicting the remaining useful lifetime (RUL) is of enormous importance in the operational longevity of the device. To address such challenges, this study proposes a combination of distance-based Mahalanobis distance (MD), entropy generation rate (EGR), and deep learning models for improved anomaly detection and RUL prediction accuracy. Unlike conventional health indicators based on luminous flux data that are challenging to monitor relevant optical performance, the MD and EGR methods are employed to extract in-situ monitored thermal and electrical data as new health indicators. Long short-term memory recurrent neural networks (LSTM-RNN) and convolutional neural networks (CNN) are established to detect anomalies and predict the RUL. The accelerated degradation tests of 3 W high-power white LED have been conducted, and the online and offline collected experimental data are deployed for model development and performance evaluation. The performance of the proposed methods is compared against the Illuminating Engineering Society of North America (IESNA) TM-21 method. The results indicate that LSTM-RNN, when combined with either MD or EGR, can detect anomalies with significantly fewer data (70 %) than is typically required. Furthermore, a significant improvement in prediction accuracy in RUL prediction based on MD and EGR-constructed time series health indicators and employed with the LSTM-RNN model demonstrates the effectiveness of the proposed methods.","Anomaly detection; Deep Learning Algorithms; Entropy generation rate (EGR); Light-emitting diodes (LEDs); Mahalanobis distance (MD); Remaining Useful Lifetime (RUL) Prediction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-06","","","Electronic Components, Technology and Materials","","",""
"uuid:d0202570-790a-4a6b-99df-e73bb0edcebc","http://resolver.tudelft.nl/uuid:d0202570-790a-4a6b-99df-e73bb0edcebc","Effect of stacking fault energy on the thickness and density of annealing twins in recrystallized FCC medium and high-entropy alloys","Schneider, Mike (Ruhr-Universität Bochum; PSL Research University); Couzinié, Jean Philippe (Université Paris-Est Créteil); Shalabi, Amin (Ruhr-Universität Bochum); Ibrahimkhel, Farhad (Ruhr-Universität Bochum); Ferrari, A. (TU Delft Team Marcel Sluiter); Körmann, F.H.W. (TU Delft Team Marcel Sluiter; Max-Planck-Institut für Eisenforschung; Bundesanstalt für Materialforschung und -prüfung (BAM)); Laplanche, Guillaume (Ruhr-Universität Bochum)","","2024","This work aims to predict the microstructure of recrystallized medium and high-entropy alloys (MEAs and HEAs) with a face-centered cubic structure, in particular the density of annealing twins and their thickness. Eight MEAs and five HEAs from the Cr-Mn-Fe-Co-Ni system are considered, which have been cast, homogenized, cold-worked and recrystallized to obtain different grain sizes. This work thus provides a database that could be used for data mining to take twin boundary engineering for alloy development to the next level. Since the stacking fault energy is known to strongly affect recrystallized microstructures, the latter was determined at 293 K using the weak beam dark-field technique and compared with ab initio simulations, which additionally allowed to calculate its temperature dependence. Finally, we show that all these data can be rationalized based on theories and empirical relationships that were proposed for pure metals and binary Cu-based alloys.","CoCrFeMnNi HEAs and MEAs; Electron backscatter diffraction; Grain boundary engineering; Recrystallization; Transmission electron microscopy (TEM)","en","journal article","","","","","","","","","","","Team Marcel Sluiter","","",""
"uuid:0e02777d-c09c-43ed-b634-6f8d02f8b9b5","http://resolver.tudelft.nl/uuid:0e02777d-c09c-43ed-b634-6f8d02f8b9b5","Optical STEM detection for scanning electron microscopy","Kievits, A.J. (TU Delft ImPhys/Hoogenboom group); Duinkerken, B. H.Peter (University Medical Center Groningen); Fermie, Job (Delmic BV); Lane, R. (TU Delft ImPhys/Hoogenboom group); Giepmans, Ben N.G. (University Medical Center Groningen); Hoogenboom, J.P. (TU Delft ImPhys/Hoogenboom group)","","2024","Recent advances in electron microscopy techniques have led to a significant scale up in volumetric imaging of biological tissue. The throughput of electron microscopes, however, remains a limiting factor for the volume that can be imaged in high resolution within reasonable time. Faster detection methods will improve throughput. Here, we have characterized and benchmarked a novel detection technique for scanning electron microscopy: optical scanning transmission electron microscopy (OSTEM). A qualitative and quantitative comparison was performed between OSTEM, secondary and backscattered electron detection and annular dark field detection in scanning transmission electron microscopy. Our analysis shows that OSTEM produces images similar to backscattered electron detection in terms of contrast, resolution and signal-to-noise ratio. OSTEM can complement large scale imaging with (scanning) transmission electron microscopy and has the potential to speed up imaging in single-beam scanning electron microscope.","Electron detection; Instrumentation development; Scanning electron microscopy; Scanning transmission electron microscopy; Volume electron microscopy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-30","","","ImPhys/Hoogenboom group","","",""
"uuid:226bbaa0-e785-42ce-8e44-501c0d7b1330","http://resolver.tudelft.nl/uuid:226bbaa0-e785-42ce-8e44-501c0d7b1330","Hot air recirculation enlarges efficient operating window of reversible solid oxide cell systems: A thermodynamic study of energy storage using ammonia","Amladi, Amogh (Rijksuniversiteit Groningen; Student TU Delft); Venkataraman, V. (TU Delft Energy Technology; AVL List GmbH); Woudstra, T. (TU Delft Process and Energy; Rijksuniversiteit Groningen); Aravind, P.V. (TU Delft Energy Technology; Rijksuniversiteit Groningen)","","2024","Energy storage is vital for the energy transition, enabling reliable power grids based on intermittent renewables. Reversible solid oxide cell (rSOC) technology is promising for seasonal energy storage. The novel finding from this work is that optimised air recirculation for rSOC in endothermic electrolyser mode leads to efficiency being nearly independent of current density. Thereby the operating region of highest efficiency is expanded from the thermoneutral point to the entire endothermic region, leading to highly efficient part-load operation. Air recirculation increases fuel cell mode efficiency too, particularly at higher loads. This widens the efficient operating window in both modes. These findings emerge from a thermodynamic study of an rSOC-based energy storage system with ammonia as fuel. A process design is developed and optimised for efficiency, supported with detailed exergy analysis. First, ammonia synthesis subsystem integrated with the rSOC system in electrolyser mode is optimised. Second, rSOC outlet air recirculation is optimised for high system efficiency. Finally, rSOC operating points are optimised for highest round-trip efficiency. We find the least exergy destruction for the ammonia synthesis subsystem at 170 bar synthesis pressure and 30 °C condensation temperature (without needing refrigeration). The overall system achieves round-trip efficiencies up to 60.3%.","Air recirculation; Ammonia; Energy storage; Exergy; Fuel cell; Reversible solid oxide cell","en","journal article","","","","","","","","","","Process and Energy","Energy Technology","","",""
"uuid:b4236ba2-0fea-4213-be35-0545f219fbaa","http://resolver.tudelft.nl/uuid:b4236ba2-0fea-4213-be35-0545f219fbaa","Damping of 3D-printed polymer microbeam resonators","de Winter, Jikke (Student TU Delft); Manzaneque Garcia, T. (TU Delft Electronic Instrumentation); Ghatkesar, M.K. (TU Delft Micro and Nano Engineering)","","2024","The emerging high-resolution 3D printing technique called two-photon polymerization (2PP) enables to print devices bottom-up rapidly, contrary to the top-down lithography-based fabrication methods. In this work, various polymer microbeams are 3D printed and their resonant characteristics are analyzed to understand the origin of damping. The 2PP printed polymer resonators have shown less damping than other polymer devices reported earlier, with tensile-stressed clamped-clamped beams reaching a record quality factor of 1819. The resonant energy loss was dominant by bulk friction damping. These results pave the path towards using 3D printed microresonators as mass sensors with improved design and fabrication flexibility.","2PP printing; 3D printing; additive manufacturing; damping; microresonator; polymer microbeam; quality factor","en","journal article","","","","","","","","","","","Electronic Instrumentation","","",""
"uuid:2c9e2c71-b497-461c-9df6-5375c158983e","http://resolver.tudelft.nl/uuid:2c9e2c71-b497-461c-9df6-5375c158983e","Semi-empirical calibration of remote microphone probes using Bayesian inference","Moriaux, Olivier (von Karman Institute for Fluid Dynamics); Zamponi, R. (TU Delft Wind Energy; von Karman Institute for Fluid Dynamics); Schram, Christophe (von Karman Institute for Fluid Dynamics)","","2024","The empirical calibration of remote microphone probes (RMP), used to acquire wall-pressure fluctuations, can introduce spurious resonance into the sensor transfer function due to the difference in the pressure field inside the calibrator geometry over multiple calibration steps. Such spurious resonance subsequently propagates into the unsteady-pressure data at which the calibration is applied, hindering the accuracy of the measurements. Current post-processing methods for tackling these issues are often manual and strongly dependent on the operator's expertise. In this study, we propose an original semi-empirical calibration method to remove spurious resonance in a less operator-reliant manner. The approach is based on fitting an existing analytical fluid-dynamical model for the propagation of pressure waves in the probe to the empirical calibration data using Bayesian inference. The proposed method is successfully applied to three datasets, from a simple probe recessed behind a pinhole to a more complex branching RMP. For all the configurations, spurious resonance is eliminated from the transfer function with a strongly reduced impact of the operator intervention while retaining the resonant features that are characteristic of the RMP. The affected frequency bands are then replaced using the underlying physical model. In this way, the detrimental impact of spurious resonance is removed from the measured wall-pressure spectra. Furthermore, the RMP parameters retrieved by the fit can also be used as inputs to corrective models, specifically to account for averaging effects due to the probe sensing area or for the impact of grazing flow or temperature variations on the transfer function.","Bayesian inference; Microphone calibration; Remote microphone probe; Wall-pressure fluctuations","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:fb2f1a1a-7550-4d59-ba3b-6ef61ccab032","http://resolver.tudelft.nl/uuid:fb2f1a1a-7550-4d59-ba3b-6ef61ccab032","Identifying patterns and recommendations of and for sustainable open data initiatives: A benchmarking-driven analysis of open government data initiatives among European countries","Lnenicka, Martin (University of Pardubice); Nikiforova, Anastasija (University of Tartu); Luterek, Mariusz (University of Warsaw); Milic, Petar (University of Pristina); Rudmark, Daniel (University of Gothenburg; Swedish National Road and Transport Research Institute); Neumaier, Sebastian (St. Pölten University of Applied Sciences); Santoro, C. (TU Delft Information and Communication Technology; Katholieke Universiteit Leuven); Casiano Flores, Cesar (University of Twente); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Rodríguez Bolívar, Manuel Pedro (Universidad de Granada)","","2024","Open government and open (government) data are seen as tools to create new opportunities, eliminate or at least reduce information inequalities and improve public services. More than a decade of these efforts has provided much experience, practices, and perspectives to learn how to better deal with them. This paper focuses on benchmarking of open data initiatives over the years and attempts to identify patterns observed among European countries that could lead to disparities in the development, growth, and sustainability of open data ecosystems. To do this, we studied benchmarks and indices published over the last years (57 editions of 8 artifacts) and conducted a comparative case study of eight European countries, identifying patterns among them considering different potentially relevant contexts such as e-government, open government data, open data indices and rankings, and others relevant for the country under consideration. Using a Delphi method, we reached a consensus within a panel of experts and validated a final list of 94 patterns, including their frequency of occurrence among studied countries and their effects on the respective countries. Finally, we took a closer look at the developments in identified contexts over the years and defined 21 recommendations for more resilient and sustainable open government data initiatives and ecosystems and future steps in this area.","Benchmarking; Cluster analysis; Delphi method; E-government; Open data; Open data initiative; Pattern","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-08","","Engineering, Systems and Services","Information and Communication Technology","","",""
"uuid:caf992b4-8518-42af-bfae-9d3e59158698","http://resolver.tudelft.nl/uuid:caf992b4-8518-42af-bfae-9d3e59158698","On mixtures as working fluids of air-cooled ORC bottoming power plants of gas turbines","Krempus, D. (TU Delft Flight Performance and Propulsion); Bahamonde, Sebastian; van der Stelt, T.P. (TU Delft Flight Performance and Propulsion; Asimptote bv); Klink, Wolfgang (Corporate Technology); Colonna, Piero (TU Delft Flight Performance and Propulsion); de Servi, C.M. (TU Delft Flight Performance and Propulsion; Flemish Institute for Technological Research)","","2024","The use of mixtures as working fluids of organic Rankine cycle (ORC) waste heat recovery (WHR) power plants has been proposed in the past to improve the matching between the temperature profile of the hot and the cold streams of condensers and evaporators, thus to possibly increase the energy conversion efficiency of the system. The goal of this study is to assess the benefits in terms of efficiency, environmental (GWP) and operational safety (flammability) that can be obtained by selecting optimal binary mixtures as working fluids of air-cooled ORC bottoming power plants of medium-capacity industrial gas turbines. Furthermore, two thermodynamic cycle configurations are analyzed, namely the simple recuperated cycle and the so-called split-cycle configurations. The benchmark case is a combined cycle power plant formed by an industrial gas turbine and an air-cooled recuperated ORC power unit with cyclopentane as the working fluid. The results of this study indicate that binary mixtures provide the designer with a wider choice of optimal working fluids, however, in the case of the recuperated-cycle configuration, no improvement in terms of combined cycle efficiency over the benchmark case can be achieved. The split-cycle configuration leads to an increase of combined cycle efficiency of the order of 1.5%, both in case of pure and blended working fluids. Furthermore, for this cycle configuration the use of Novec 649 as working fluid is advantageous because it is environmentally and operationally safe, and it does not involve any penalty in terms of combined cycle efficiency if compared to the benchmark case. Additionally, the use of this fluid would lead to a more compact turbine, as the corresponding thermodynamic cycle would determine a turbine volume flow ratio that is half of the value of the benchmark case and a specific enthalpy difference over the expansion that is one fifth.","Binary mixtures; Organic Rankine cycle; Waste heat recovery","en","journal article","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:c75c9694-0dcf-4dc3-becb-f20e00e4d248","http://resolver.tudelft.nl/uuid:c75c9694-0dcf-4dc3-becb-f20e00e4d248","A shared PV system for transportation and residential loads to reduce curtailment and the need for storage systems","Diab, I. (TU Delft DC systems, Energy conversion & Storage); Damianakis, Nikolaos (TU Delft DC systems, Energy conversion & Storage); Chandra Mouli, G.R. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2024","This paper proposes a shared multi-stakeholder PV system for traction substations and nearby residential loads to reduce the need for storage, AC grid exchange, and curtailment. The residential stakeholders offer both the base electrical load and the solar panels installation space needed by the traction stakeholder, who brings the peak load and investments to the former. Two case studies were conducted for one year in the city of Arnhem, The cy=Netherlands, using comprehensive and verified simulation models: A high-traffic and a low-traffic substation. The results showed a positive, synergetic benefit in reducing the PV system's excess energy and size requirement for any type of traction substations connected to any number of households. In one detailed example, the multi-stakeholder system suggested in this paper is shown to reduce curtailment by up to 80% in moments of zero-traction load. Generally, the direct load coverage of a PV system is increased by as much as 7 absolute percentage points to the single-stakeholder system when looking at energy-neutral system sizes. This multi-stakeholders system offers then an increase in the techno-economic feasibility of PV system integration in urban loads.","DC systems; Electric mobility; Public transport; Smart grids; Solar PV; Trolleybus","en","journal article","","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:08b57932-53a6-4565-a2aa-bbb6df0d615f","http://resolver.tudelft.nl/uuid:08b57932-53a6-4565-a2aa-bbb6df0d615f","Uncertainty analysis and interval prediction of LEDs lifetimes","Rocchetta, Roberto (Eindhoven University of Technology); Zhan, Zhouzhao (Eindhoven University of Technology); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Di Bucchianico, Alessandro (Eindhoven University of Technology)","","2024","Lifetime analyses are crucial for ensuring the durability of new Light-emitting Diodes (LEDs) and uncertainty quantification (UQ) is necessary to quantify a lack of usable failure and degradation data. This work presents a new framework for predicting the lifetime of LEDs in terms of lumen maintenance, effectively quantifying the natural variability of lifetimes (aleatory) as well as the reducible uncertainty resulting from data scarcity (epistemic). Non-parametric survival models are employed for UQ of low-magnitude failures, while a new parametric interval prediction model (IPM) is introduced to characterize the uncertainty in high-magnitude lumen depreciation events and long-term extrapolated lifetimes. The width of interval-valued predictions reflects the inherent variability in degradation paths whilst the epistemic uncertainty, arising from data scarcity, is quantified by a statistical bound on the probability of the prediction errors for future degradation trajectories. A modified exponential flux decay model combined with the Arrhenius equation equips the IPM with physical information on the physics of LED luminous flux degradation. The framework is tested and validated on a novel database of LED degradation trajectories and in comparison to well-established probabilistic predictors. The results of this study support the validity of the proposed approach and the usefulness of the additional UQ capabilities.","Accelerated Degradation Data; Interval Prediction; Lifetime; Light-emitting Diodes; Lumen maintenance; Uncertainty Quantification","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-06","","","Electronic Components, Technology and Materials","","",""
"uuid:1f60409e-4439-45be-ace1-1a9bc05a1812","http://resolver.tudelft.nl/uuid:1f60409e-4439-45be-ace1-1a9bc05a1812","Scale-free percolation mixing time","Cipriani, A. (TU Delft Applied Probability); Salvi, Michele (University of Rome Tor Vergata)","","2024","Assign to each vertex of the one-dimensional torus i.i.d. weights with a heavy-tail of index τ−1>0. Connect then each couple of vertices with probability roughly proportional to the product of their weights and that decays polynomially with exponent α>0 in their distance. The resulting graph is called scale-free percolation. The goal of this work is to study the mixing time of the simple random walk on this structure. We depict a rich phase diagram in α and τ. In particular we prove that the presence of hubs can speed up the mixing of the chain. We use different techniques for each phase, the most interesting of which is a bootstrap procedure to reduce the model from a phase where the degrees have bounded averages to a setting with unbounded averages.","Degree distribution; Mixing time; Random graph; Scale-free percolation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-29","","","Applied Probability","","",""
"uuid:06377eef-1dda-41aa-9bbe-d17013577cc1","http://resolver.tudelft.nl/uuid:06377eef-1dda-41aa-9bbe-d17013577cc1","Integrated design optimization method for novel vapour-compression-cycle-based environmental control systems","Ascione, F. (TU Delft Flight Performance and Propulsion); Colonna, Piero (TU Delft Flight Performance and Propulsion); de Servi, C.M. (TU Delft Flight Performance and Propulsion; Flemish Institute for Technological Research)","","2024","The aircraft Environmental Control System (ECS) is the primary consumer of non-propulsive power at cruise conditions, hence, its performance optimization is crucial for the reduction of specific fuel consumption. A novel integrated system design optimization method is presented: thermodynamic cycle, component sizing and working fluid are taken into account simultaneously. This method was applied to the ECS of large rotorcraft based on a Vapour Compression Cycle system electrically driven by a high-speed centrifugal compressor. Steady-state and lumped parameter system component models have been developed using the Modelica acausal modelling language. The optimization design framework consists of an in-house code, featuring a Python-Modelica interface. The study case refers to a critical operating condition: the helicopter is on the ground during a hot and humid day. The working fluid is R-134a. The multi-objective optimization targets the maximization of the system efficiency and the minimization of system weight. The results show that more efficient systems can be designed only with heavier components. The design feasibility of high-speed centrifugal compressors is demonstrated. The advantage of an integrated system design optimization framework for complex energy systems is proved, allowing for the analysis of the impact of both component design and working fluid on system performance.","Environmental control system; Helicopter/aircraft auxiliary systems; High-speed centrifugal compressor; Integrated design optimization; More electric aircraft/rotorcraft; Vapour compression cycle","en","journal article","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:752ccb09-e90a-4ffe-a9ac-f94905118023","http://resolver.tudelft.nl/uuid:752ccb09-e90a-4ffe-a9ac-f94905118023","Machine learning in process systems engineering: Challenges and opportunities","Daoutidis, Prodromos (University of Minnesota Twin Cities); Lee, Jay H. (University of Southern California); Rangarajan, Srinivas (Lehigh University); Chiang, Leo (The Dow Chemical Company); Gopaluni, Bhushan (University of British Columbia); Schweidtmann, A.M. (TU Delft ChemE/Product and Process Engineering); Harjunkoski, Iiro (Aalto University); Mercangöz, Mehmet (Imperial College London); Mesbah, Ali (University of California)","","2024","This “white paper” is a concise perspective of the potential of machine learning in the process systems engineering (PSE) domain, based on a session during FIPSE 5, held in Crete, Greece, June 27–29, 2022. The session included two invited talks and three short contributed presentations followed by extensive discussions. This paper does not intend to provide a comprehensive review on the subject or a detailed exposition of the discussions; instead its aim is to distill the main points of the discussions and talks, and in doing so, highlight open problems and directions for future research. The general conclusion from the session was that machine learning can have a transformational impact on the PSE domain enabling new discoveries and innovations, but research is needed to develop domain-specific techniques for problems in molecular/material design, data analytics, optimization, and control.","Control; Machine learning; Modeling; Molecule discovery; Optimization; Process monitoring","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-22","","","ChemE/Product and Process Engineering","","",""
"uuid:882cb88b-5341-45df-ab4f-a8a19fc399f2","http://resolver.tudelft.nl/uuid:882cb88b-5341-45df-ab4f-a8a19fc399f2","Data-driven product-process optimization of N-isopropylacrylamide microgel flow-synthesis","Kaven, Luise F. (Rheinisch-Westfälische Technische Hochschule); Schweidtmann, A.M. (TU Delft ChemE/Product and Process Engineering); Keil, Jan (Rheinisch-Westfälische Technische Hochschule); Israel, Jana (Rheinisch-Westfälische Technische Hochschule); Wolter, Nadja (DWI-Leibniz Institute for Interactive Materials; Rheinisch-Westfälische Technische Hochschule); Mitsos, Alexander (Rheinisch-Westfälische Technische Hochschule)","","2024","Microgels are cross-linked, colloidal polymer networks with great potential for stimuli-response release in drug-delivery applications, as their small size allows them to pass human cell boundaries. For applications with specified requirements regarding size, producing tailored microgels in a continuous flow reactor is advantageous because the microgel properties can be controlled tightly. However, no fully-specified mechanistic models are available for continuous microgel synthesis, as the physical properties of the included components are only studied partly. To address this gap and accelerate tailor-made microgel development, we propose a data-driven optimization in a hardware-in-the-loop approach to efficiently synthesize microgels with defined sizes. We optimize the synthesis regarding conflicting objectives (maximum production efficiency, minimum energy consumption, and the desired microgel radius) by applying Bayesian optimization via the solver “Thompson sampling efficient multi-objective optimization” (TS-EMO). We validate the optimization using the deterministic global solver “McCormick-based Algorithm for mixed-integer Nonlinear Global Optimization” (MAiNGO) and verify three computed Pareto optimal solutions via experiments. The proposed framework can be applied to other desired microgel properties and reactor setups and has the potential of efficient development by minimizing number of experiments and modeling effort needed.","Bayesian optimization; Flow-chemistry; Microgel synthesis; Product-process optimization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","ChemE/Product and Process Engineering","","",""
"uuid:8509576d-038d-475b-a89d-f913de6ec826","http://resolver.tudelft.nl/uuid:8509576d-038d-475b-a89d-f913de6ec826","Investigating the influence of pigmentation on the electrolyte transport properties of organic coatings using ORP-EIS","Madelat, Negin (Vrije Universiteit Brussel); Wouters, Benny (Vrije Universiteit Brussel); Visser, Peter (Akzo Nobel); Jiryaeisharahi, Zahra (Vrije Universiteit Brussel); Marcoen, Kristof (Vrije Universiteit Brussel); Abrahami, S.T. (TU Delft Team Shoshan Abrahami); Hubin, Annick (Vrije Universiteit Brussel); Terryn, H.A. (Vrije Universiteit Brussel); Hauffman, Tom (Vrije Universiteit Brussel)","","2024","In this work, the correlation between electrolyte transport properties and the variation of pigment volume concentration (PVC) in a series of organic coatings is explored. Using an odd random phase electrochemical impedance spectroscopy (ORP-EIS) approach, the diffusion of ions independent from water take-up is analysed. A higher PVC resulted in a more homogeneous coating morphology, which could be associated with a faster diffusion of ions following a Fickian regime and enhanced water uptake. In the case of lower pigment loading, the obtained heterogenous morphology of the coating introduced new challenges to the physical interpretation of the proposed electrochemical equivalent circuit.","GDOES; Ion diffusion; Organic coating; ORP-EIS; Water uptake","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-22","","","Team Shoshan Abrahami","","",""
"uuid:2d672146-33ea-4b66-b9a4-c75ae9090267","http://resolver.tudelft.nl/uuid:2d672146-33ea-4b66-b9a4-c75ae9090267","On the use of filament-based free wake panel methods for preliminary design of propeller-wing configurations","Pinto Ribeiro, A. (TU Delft Wind Energy); Ferreira, Carlos (TU Delft Wind Energy); Casalino, D. (TU Delft Wind Energy)","","2024","With distributed propulsion and electric vertical take-off and landing aircraft on the rise, fast and accurate methods to simulate propeller slipstreams and their interaction with aircraft components are needed. In this work, we compare results obtained with a filament-based free wake panel method to experimental and previously validated numerical data. In particular, we study a propeller-wing configuration at zero angle of attack and the aerodynamics of the blade-resolved slipstream interaction with the wing. We use a prescribed wake on the wing and a free wake on the propeller, which greatly accelerate the computations. Results indicate that, while forces are overpredicted due to the inviscid nature of the panel method, the free wake is able to capture the slipstream deformation and shearing with remarkable success. We find that a filament-based free wake panel method can be a useful tool for propeller-wing interaction in preliminary aircraft design.","Aerodynamics; eVTOL; Slipstream deformation; UAV; Wake interactions","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:0effe8fb-f5c3-4aeb-b4cf-baf7bfad2ec2","http://resolver.tudelft.nl/uuid:0effe8fb-f5c3-4aeb-b4cf-baf7bfad2ec2","A proposal for similitude in characterizing fatigue delamination behavior with fibre bridging of carbon-fibre reinforced polymer composites","Yao, Liaojun (Harbin Institute of Technology; Aircraft Strength Research Institute); Chuai, Mingyue (Harbin Institute of Technology); Lyu, Zhangming (Harbin Institute of Technology); Chen, Xiangming (Aircraft Strength Research Institute); Guo, Licheng (Harbin Institute of Technology); Alderliesten, R.C. (TU Delft Structural Integrity & Composites)","","2024","Methods based on fracture mechanics have been widely used in fatigue delamination growth (FDG) characterization of composite laminates. These methods are based on the similitude hypothesis. It is therefore important to have appropriate parameters to well represent the similitude, which is useful for fatigue delamination test standard development aimed by Technical Committee 4 of the European Structural Integrity Society (ESIS TC4) and the ISO/TC61/SC13. In the present study, discussions on similitude parameters for fibre-bridged fatigue delamination interpretation have been conducted via fatigue data with fibre bridging at different R-ratios. The results clearly demonstrate that the strain energy release rate (SERR) indeed applied around the crack front, rather than the total applied SERR, should be employed to represent the similitude for FDG interpretation with large-scale fibre bridging. Particularly, the use of Δ√Gtip can well determine fibre-bridged delamination behavior of a given R-ratio, but it is not valid for FDG at different R-ratios in accordance with the similitude principles. A new similitude parameter, in terms of both Δ√Gtip and the maximum SERR Gmax_tip, was therefore proposed to appropriately represent FDG behavior with fibre bridging at different R-ratios. This study can not only provide database, but also give important insights for the development of mode I fatigue delamination test standard of composite laminates.","Composite laminates; Fatigue delamination; Fibre bridging; Similitude; Stress ratio","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","Structural Integrity & Composites","","",""
"uuid:640c0f3e-60c4-4736-ae08-352bef953637","http://resolver.tudelft.nl/uuid:640c0f3e-60c4-4736-ae08-352bef953637","On the post-impact fatigue behavior and theoretical life prediction of CF/PEEK-titanium hybrid laminates using an energy dissipation approach","Ji, C. (TU Delft Structural Integrity & Composites; Harbin Institute of Technology); Hu, Jiqiang (Harbin Institute of Technology); Alderliesten, R.C. (TU Delft Structural Integrity & Composites); Yang, Jinchuan (Harbin Institute of Technology); Zhou, Zhengong (Harbin Institute of Technology); Sun, Yuguo (Harbin Institute of Technology); Wang, Bing (Harbin Institute of Technology)","","2024","This paper aims to illustrate the effect of the impact damage on fatigue behavior of CF/PEEK-titanium hybrid laminates. To achieve this end, a fatigue life model was proposed to predict the S–N curves of the laminates at various initial impact energy levels and stress ratios based on the energy dissipation approach. The energy dissipation behavior of the laminates during fatigue loading under different experimental conditions was analyzed through a large amount of post-impact fatigue tests, and the correlation between the initial impact damage and the total fatigue dissipation energy was determined. The full-field axial strain distribution of the titanium layer on the impacted side of the laminate was characterized in terms of initial impact energy level and maximum stress using digital image correlation, and then the post-impact fatigue failure mechanism of CF/PEEK-Ti hybrid laminates was summarized. Finally, the validity of the proposed model was verified by fatigue tests under other conditions of stress ratio and impact energy level. It is worth mentioning that the proposed model is also applicable to other types of FMLs, and can accurately predict the residual fatigue life of laminates after impact with only one set of S–N curve data.","Energy dissipation approach; Fiber metal laminates; Life prediction; Post-impact fatigue behavior","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","Structural Integrity & Composites","","",""
"uuid:b58a1cfb-28b0-4faa-8906-43325f202bdf","http://resolver.tudelft.nl/uuid:b58a1cfb-28b0-4faa-8906-43325f202bdf","Molecular dynamics simulations on mechanical behaviors of sintered nanocopper in power electronics packaging","Luo, Runding (Fudan University); Hu, D. (TU Delft Electronic Components, Technology and Materials); Qian, Cheng (Fudan University); Liu, Xu (Fudan University); Fan, Xuejun (Lamar University College of Engineering); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials; Fudan University); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University)","","2024","Nano-metal materials have received considerable attention because of their promising performance in wide bandgap semiconductor packaging. In this study, molecular dynamics (MD) simulation was performed to simulate the nano-Cu sintering mechanism and the subsequent mechanical behaviors. Hybrid sintering, comprising nanosphere (NS) and nanoflake (NF), was performed at temperatures from 500 to 650 K. Furthermore, shear and tensile simulations were conducted with constant strain rates on the sintered structure at multiple temperatures. Subsequently, the extracted mechanical properties were correlated with the sintering behavior. The results revealed that the mechanical properties of the nano-Cu sintered structure could be improved by tuning material composition and increasing the sintering temperature. We established a relationship between the sintered microstructure and mechanical response. The shear modulus and shear strength of the sintered structure with NF particles increased to 41.20 and 3.51 GPa respectively. Furthermore, the elastic modulus increased to 55.60, and the tensile strength increased to 4.88 GPa. This result provides insights into the preparation phase of nano-Cu paste for sintering technology.","Molecular dynamics (MD) simulation; Nano-Cu sintering; Nanoflake; Shear simulation; Tensile simulation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","Electronic Components, Technology and Materials","","",""
"uuid:50d362da-1302-45a9-9bde-ce8ae5e71677","http://resolver.tudelft.nl/uuid:50d362da-1302-45a9-9bde-ce8ae5e71677","Enhancing real-time nonintrusive occupancy estimation in buildings via knowledge fusion network","Lu, C.J. (TU Delft Environmental & Climate Design; Guangdong University of Technology)","","2024","Real-time nonintrusive occupancy estimation can maximize the use of existing sensors to infer occupant information in buildings with the advantages of fewer privacy concerns and fewer extra device costs. Recently, many deep learning architectures have proven effective in estimating occupancy directly from raw sensor data. However, some handcrafted features manually extracted from statistical and temporal domains might convey additional information for occupancy estimation. In this study, a novel knowledge fusion network for nonintrusive occupancy estimation is proposed to integrate knowledge from two streams, i.e. automatic knowledge stream from a deep learning architecture and handcrafted knowledge stream from manual feature engineering. Moreover, four different fusion modules are investigated to optimize the design of the fusion network. To verify the effectiveness of the proposed network, experiments are conducted in a dataset from the ASHRAE Global Occupant Behavior Database, which is collected from an office space with records of indoor environment parameters, occupant-building interactions, and contextual information. The results demonstrate the superiority of the proposed fusion network, which outperforms five representative algorithms. Furthermore, the ablation study underscores the benefits of knowledge fusion and occupant-building interaction information, showing that the proposed fusion network can enhance the occupancy estimation accuracy by 3.47 % to 9.24 %.","Deep learning; Network fusion; Nonintrusive sensing; Occupancy estimation; Smart buildings","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:0af8a03d-a9ab-4a6c-96e7-33a8e982bd1f","http://resolver.tudelft.nl/uuid:0af8a03d-a9ab-4a6c-96e7-33a8e982bd1f","A metamaterial-based interface for the structural resonance shielding of impact-driven offshore monopiles","Azevedo Vasconcelos, A.C. (TU Delft Transport Engineering and Logistics); Valiya Valappil, S. (TU Delft Computational Design and Mechanics); Schott, D.L. (TU Delft Transport Engineering and Logistics); Jovanova, J. (TU Delft Transport Engineering and Logistics); Aragon, A.M. (TU Delft Computational Design and Mechanics)","","2024","Underwater noise resulting from the monopile driving process can cause severe damage to marine wildlife, such as hearing injury, behavioral disturbance, or even death. Although current noise-attenuation techniques used in this process have shown a significant noise reduction at high frequency ranges, mitigating low-frequency noise is still extremely challenging. To address the problem, here we propose an elastic metamaterial-based structure composed of single-phase resonant structures. The proposed structure, which we call a meta-interface, is introduced between the monopile and the hammer and is used to remove energy from the input signal associated with high noise levels. To that end, we first identify the frequency ranges associated with high sound pressure levels, which were shown to be related to the monopile's eigenmodes. Then we design the meta-interface's periodic unit cells so that the elastic/acoustic waves at identified frequency ranges are attenuated. A meta-interface is then realized by replicating the unit cell along the monopile wall (matching the thickness) to form a ring-shaped layer, and then by stacking up these concentric layers. A frequency analysis of the pile driving system with the meta-interface shows that the new noise levels attain a significant attenuation in frequency ranges lower than 1000Hz. This demonstrates a novel solution for the low-frequency underwater noise issue during the hammering of offshore monopiles.","Acoustic/elastic metamaterials; Noise attenuation; Resonance shielding; Single-phase unit cell","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:21aaa189-e80d-42d9-b332-28ba3e4236af","http://resolver.tudelft.nl/uuid:21aaa189-e80d-42d9-b332-28ba3e4236af","Microstructural stability of secondary phases in an ODS ferritic steel after thermal aging at 873 K","Oñoro, M. (Carlos III University of Madrid); de Castro, V. (Carlos III University of Madrid); Leguey, T. (Carlos III University of Madrid); Pöpperlová, J. (Rheinisch-Westfälische Technische Hochschule); Huizenga, R.M. (TU Delft Team Amarante Bottger); Auger, M. A. (Carlos III University of Madrid)","","2024","An oxide dispersion strengthened (ODS) steel with nominal composition Fe–14Cr–2W–0.4Ti–0.3Y2O3 (wt%) has been manufactured by mechanical alloying of pre-alloyed powders with nanosized Y2O3, compacted by hot isostatic pressing and hot cross rolled. In order to evaluate the long-term thermal resistance of the alloy, it has been subjected to 2000 h of thermal aging at 873 K, which is a relevant temperature for nuclear reactor applications. A thermodynamic equilibrium simulation indicates the precipitation of Laves phase under the aging parameters used. This prediction is confirmed from the detailed multi-technique characterization performed. Before aging, Ti-rich oxides, Cr-W-rich precipitates (M23C6 type) and a homogeneous Y-rich nanoprecipitate dispersion are observed. After aging, some W-rich precipitates are identified as Laves phase, while M23C6 carbides, Ti-rich oxides and Y-rich nanoprecipitates remain stable. Mechanical characterization performed in a previous research showed higher hardness, a loss of total elongation and a slight shift of the ductile-to-brittle transition temperature (DBTT) towards a higher value after aging, with similar strength values before and after the long-term thermal treatment. These changes can be due to the redistribution of precipitates together with the Laves phase formation. The mechanical properties not being dramatically affected seem to be due to the observed stability of Y-rich nanoprecipitates distribution.","Aging; APT; Laves phase; Nanoparticles; ODS steel; TEM","en","journal article","","","","","","","","","","","Team Amarante Bottger","","",""
"uuid:0b60e20e-0a0a-476f-8e36-d09db39a28bd","http://resolver.tudelft.nl/uuid:0b60e20e-0a0a-476f-8e36-d09db39a28bd","Microscopic insights into poly- and mono-crystalline methane hydrate dissociation in Na-montmorillonite pores at static and dynamic fluid conditions","Fang, B. (China University of Geosciences, Wuhan); Lü, Tao (China University of Geosciences, Wuhan); Li, Wei (China University of Geosciences, Wuhan); Moultos, O. (TU Delft Engineering Thermodynamics); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics); Ning, Fulong (China University of Geosciences, Wuhan)","","2024","Knowledge on the kinetics of gas hydrate dissociation in clay pores at static and dynamic fluid conditions is a fundamental scientific issue for improving gas production efficiency from hydrate deposits using thermal stimulation and depressurization respectively. Here, molecular dynamics simulations were used to investigate poly- and mono-crystalline methane hydrates in Na-montmorillonite clay nanopores. Simulation results show that hydrate dissociation is highly sensitive to temperature and pressure gradients, but their effects differ. Temperature changes increase thermal instability of water and gas molecules, leading to layer-by-layer dissociation from the outer surface. Under flow conditions, laminar flow predominates in nano-pores, and non-Darcy flow occurs due to clay-fluid interactions. Viscous flow disrupts hydrogen bonding at the hydrate surface, enhancing kinetic instability of water. Grain boundaries of polycrystalline hydrates are less stable compared to bulk phases and preferentially decompose, forming new dissociation fronts. This accelerates dissociation compared to monocrystalline hydrates. Fracture occurs at the grain boundaries of polycrystalline hydrate in the fluid, resulting in separate hydrate crystal grains. This fracture process further accelerates hydrate dissociation. In flow systems, methane nanobubbles form in fluid and readily transport with fluid flow. Unlike surface nanobubbles at static conditions, these liquid nanobubbles exhibit mobility. The findings of this study can contribute to a better understanding of the complex phase transition behavior of hydrate in confined environment, and provide theoretical support for improving production control technology.","Dissociation behaviors; Molecular simulation; Na-montmorillonite pore; Poly- and mono-crystalline hydrates; Static and dynamic fluid conditions","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-27","","","Engineering Thermodynamics","","",""
"uuid:803e316f-61ff-4ae7-9cf7-7cad5bb369aa","http://resolver.tudelft.nl/uuid:803e316f-61ff-4ae7-9cf7-7cad5bb369aa","Practical application of UVOX Redox® for pharmaceutical removal from liquid digestate in two biogas plants","Moradi, N. (TU Delft BT/Environmental Biotechnology; IHE Delft Institute for Water Education); Lopez Vazquez, C.M. (TU Delft BT/Environmental Biotechnology; IHE Delft Institute for Water Education); Hernandez, Hector Garcia (IHE Delft Institute for Water Education); Proskynitopoulou, Vera (Centre for Research and Technology-Hellas); Vouros, Anastasios (Centre for Research and Technology-Hellas); Garagounis, Ioannis (Centre for Research and Technology-Hellas); Lorentzou, Souzana (Centre for Research and Technology-Hellas); Brdjanovic, Damir (TU Delft BT/Environmental Biotechnology; IHE Delft Institute for Water Education); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology)","","2024","In recent decades, there has been a growing focus on the management and valorization of digestate, primarily driven by its nutrient-rich composition, which positions it as a promising resource for biofertilizer production. However, several countries still restrict the direct application of digestate due to its potential environmental hazard, which includes the presence of contaminants of emerging concern (CECs) such as pharmaceuticals. This paper explores the efficacy of a novel UV/ozone-based technology, UVOX Redox®, in removing prevalent pharmaceuticals, including antibiotics and non-steroidal anti-inflammatory drugs (NSAIDs), from the digestate of two biogas plants. In both cases, UVOX showed to be a feasible solution for pharmaceutical removal from digestate. Addition of hydrogen peroxide further increased the process efficiency, achieving > 90% removal of all compounds within an hour. The energy per order (EEO) value for all the studied pharmaceuticals was less than the reported median EEO for O3 and UV treatment, showcasing notable energy efficiency in UVOX technology. Moreover, the research highlights that the presence of ions augments the removal efficiency when applying the UVOX technology. In addition, the research results revealed a significant correlation between the effectiveness of the UVOX technology and UV transmittance, with R² exceeding 90% for pharmaceuticals and 75% for Dissolved Organic Carbon (DOC). This finding suggests that UV transmittance can serve as a viable surrogate method for implementing this advanced oxidation process in practical applications.","Liquid digestate; Pharmaceuticals; Surrogate method; UVOX Redox®","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:c7ecc30c-ae74-427f-a26d-628a78fc092c","http://resolver.tudelft.nl/uuid:c7ecc30c-ae74-427f-a26d-628a78fc092c","Orienting undirected phylogenetic networks","Huber, Katharina T. (University of East Anglia); van Iersel, L.J.J. (TU Delft Discrete Mathematics and Optimization); Janssen, R. (TU Delft Discrete Mathematics and Optimization); Jones, M.E.L. (TU Delft Discrete Mathematics and Optimization); Moulton, V.L. (TU Delft Discrete Mathematics and Optimization; University of East Anglia); Murakami, Yukihiro (TU Delft Discrete Mathematics and Optimization); Semple, Charles (University of Canterbury)","","2024","This paper studies the relationship between undirected (unrooted) and directed (rooted) phylogenetic networks. We describe a polynomial-time algorithm for deciding whether an undirected nonbinary phylogenetic network, given the locations of the root and reticulation vertices, can be oriented as a directed nonbinary phylogenetic network. Moreover, we characterize when this is possible and show that, in such instances, the resulting directed nonbinary phylogenetic network is unique. In addition, without being given the location of the root and the reticulation vertices, we describe an algorithm for deciding whether an undirected binary phylogenetic network N can be oriented as a directed binary phylogenetic network of a certain class. The algorithm is fixed-parameter tractable (FPT) when the parameter is the level of N and is applicable to classes of directed phylogenetic networks that satisfy certain conditions. As an example, we show that the well-studied class of binary tree-child networks satisfies these conditions.","Characterization; Computational biology; Fixed-parameter tractability; Graph algorithm; Graph orientation; Phylogenetic network; Phylogenetics; Polynomial-time algorithm","en","journal article","","","","","","","","","","","Discrete Mathematics and Optimization","","",""
"uuid:a23a5214-0c2a-4672-85ac-755681604b5d","http://resolver.tudelft.nl/uuid:a23a5214-0c2a-4672-85ac-755681604b5d","A Bayesian defect-based physics-guided neural network model for probabilistic fatigue endurance limit evaluation","Tognan, A. (Università degli Studi di Udine); Patanè, Andrea (Trinity College Dublin); Laurenti, L. (TU Delft Team Luca Laurenti); Salvati, Enrico (Università degli Studi di Udine)","","2024","Accurate fatigue assessment of material plagued by defects is of utmost importance to guarantee safety and service continuity in engineering components. This study shows how state-of-the-art semi-empirical models can be endowed with additional defect descriptors to probabilistically predict the occurrence of fatigue failures by exploiting advanced Bayesian Physics-guided Neural Network (B-PGNN) approaches. A B-PGNN is thereby developed to predict the fatigue failure probability of a sample containing defects, referred to a given fatigue endurance limit. In this framework, a robustly calibrated El Haddad's curve is exploited as the prior physics reinforcement of the probabilistic model, i.e., prior knowledge. Following, a likelihood function is built and the B-PGNN is trained via Bayesian Inference, thus calculating the posterior of the parameters. The arbitrariness of the choice of the related architecture is circumvented through a Bayesian model selection strategy. A case-study is analysed to prove the robustness of the proposed approach. This methodology proposes an advanced practical approach to help support the probabilistic design against fatigue failure.","Additive manufacturing; Bayesian Physics-guided Neural Networks; Defects; Fatigue strength; Uncertainty quantification","en","journal article","","","","","","","","","","","Team Luca Laurenti","","",""
"uuid:89f6c689-7b58-4fbb-ae6a-e48be3722d19","http://resolver.tudelft.nl/uuid:89f6c689-7b58-4fbb-ae6a-e48be3722d19","Finlet rails for the reduction of the trailing-edge noise","Fiscaletti, D. (TU Delft Wind Energy); Lima Pereira, L.T. (TU Delft Wind Energy); Ragni, D. (TU Delft Wind Energy)","","2024","The present study focuses on the application of finlet rails as a passive technique of flow control to mitigate trailing-edge noise. Finlet rails are small cylinders whose axes are aligned along the streamwise direction, transversally positioned with respect to the trailing edge. In the first part of this study, the effects of finlet geometry on the aeroacoustic emission of a NACA 633−018 airfoil are investigated using an array of microphones. It is observed that reducing the transversal spacing of finlet rails leads to increasing the maximum noise reduction, found to be of 4 decibels at relatively low frequencies. An optimum for the height of the finlets was determined, equivalent to 1.6δ∗, where δ∗ is the displacement thickness of the boundary layer. With the aim of unveiling the underlying physical mechanism for finlet rails, PIV at high spatial resolution is applied around the surface treatment. It is found that the turbulence energy is lifted-up and moved away from the scattering edge, which attenuates the wall-pressure fluctuations. The observed attenuation of the wall-pressure fluctuations occurs at the energy-containing scales, which is an important difference with finlet fences. In the region underneath the finlet rails, the transversal size of the energetic structures diminishes when the surface treatment is applied. The combination of the lift-up of the turbulence structures, that reduces the wall-pressure fluctuations, with the smaller turbulence scales is responsible for the noise reduction observed for finlet rails.","Finlet rails; Particle image velocimetry; Trailing-edge noise","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:cad1561d-a3fb-4ed7-8856-6693571ec656","http://resolver.tudelft.nl/uuid:cad1561d-a3fb-4ed7-8856-6693571ec656","Magnetic Field Prediction in Cubic Spoke-Type Permanent-Magnet Machine Considering Magnetic Saturation","Du, Yunlu (Southeast University); Huang, Yunkai (Southeast University); Guo, Baocheng (Nanjing Normal University); Djelloul-Khedda, Zakarya (Université Djilali Bounaama Khemis Miliana); Peng, Fei (Southeast University); Yao, Y. (TU Delft DC systems, Energy conversion & Storage; Southeast University); Dong, J. (TU Delft DC systems, Energy conversion & Storage)","","2024","In this article, a nonlinear semianalytical model (SAM) is presented to predict the magnetic field distribution (MFD) and electromagnetic performances (EPs) in the cubic spoke-type permanent magnet (PM) machine. To model the rectangular PMs, the rectangular PM is simplified as a combination of fan-shaped regions with different arc angles. Then, the MFD and EPs of the cubic spoke-type machines can be obtained by the harmonic modeling technique. Particularly, the saturation of the magnetic bridges is considered by the nonlinear iterative algorithm. The proposed nonlinear SAM is studied on a 12-slot/8-pole cubic PM prototype, and the nonlinear finite element model and experiment verify its correctness. The main contribution of this article is to present a general analytical modeling method for cubic spoke-type PM machines and consider the magnetic saturation of magnetic bridges.","Cubic spoke-type permanent magnet (PM) machine; harmonic modeling (HM); magnetic saturation; nonlinear","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-08","","","DC systems, Energy conversion & Storage","","",""
"uuid:accf47a3-38dd-4b1e-827e-b5ec20991b39","http://resolver.tudelft.nl/uuid:accf47a3-38dd-4b1e-827e-b5ec20991b39","An analytical framework for the best–worst method","Wu, W. (TU Delft Transport and Logistics; Anhui University; Southeast University); Liu, Xinwang (Southeast University); Zhou, Ligang (Anhui University); Qin, Jindong (Wuhan University of Technology); Rezaei, J. (TU Delft Transport and Logistics)","","2024","Since the development of the best–worst method (BWM) in 2015, it has become a popular research focus in multi-criteria decision-making. The original optimization problem of the BWM is a nonlinear min–max model that can lead to multiple optimal solutions, while the linear model of the BWM produces a unique solution. The two models need to be solved by optimization software packages. In addition, although the linear model of the BWM can obtain a unique solution, it produces different feasible regions than the nonlinear model of the BWM, and it changes the objective function. This study aims to solve the nonlinear model of the BWM mathematically to obtain the analytical forms of the optimal solutions. First, we transform the original nonlinear model of BWM into an equivalent optimization model driven by the optimally modified comparison vectors. The equivalent BWM provides a solid basis for computing the analytical solutions. Second, for not-fully consistent pairwise comparison systems, we strictly prove that there is only one unique optimal solution with three criteria, and there might be multiple optimal solutions with more than three criteria. We further develop the analytical forms of these unique and multiple optimal solutions and the optimal interval weights. Third, we develop a secondary objective function to select a unique solution for the BWM. The secondary objective function retains all the characteristics of the original nonlinear model of the BWM, and we find the unique solution analytically. Finally, some numerical examples are examined, and a comparative analysis is performed to demonstrate the effectiveness of our analytical solution approach.","Analytical solutions; Best–worst method (BWM); Multi-criteria decision-making; Multiple optimal solutions","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-25","","","Transport and Logistics","","",""
"uuid:8cb705cf-916b-4e20-81a8-4adc2f289574","http://resolver.tudelft.nl/uuid:8cb705cf-916b-4e20-81a8-4adc2f289574","Analysis of improved digital filter inflow generation methods for compressible turbulent boundary layers","Laguarda, L. (TU Delft Aerodynamics); Hickel, S. (TU Delft Aerodynamics)","","2024","We propose several enhancements to improve the accuracy and performance of the digital filter turbulent inflow generation technique and assess their efficacy in the context of wall-resolved large-eddy simulations of a compressible turbulent boundary layer. Improvements of accuracy include a more realistic correlation function for the transversal directions, target length scales that vary with wall-distance, and a counter-intuitive approach that involves the suppression of streamwise velocity fluctuations at the inflow. For improving the computational performance, we propose to generate the inflow data in parallel in single precision and at a prescribed time interval based on the turbulence time scale, and not at every time-step of the simulation. Based on the results of 7 wall-resolved large-eddy simulations, we find that the new correlation functions and the considered performance improvements are beneficial and therefore desired. Suppressing streamwise velocity fluctuations at the inflow leads to the fastest relaxation of the pressure fluctuations; however, this approach increases the adaptation length defined in terms of compliance with the von Kármán integral equation. The adaptation length can be shortened by artificially increasing the wall-normal Reynolds stresses, thereby preserving the desired turbulence kinetic energy level. A detailed inspection of the Reynolds stress transport budgets reveals that the observed spurious spatial transients are largely driven by pressure-related terms. For instance, increased values of u′p′¯ are found throughout the computational domain when a physical Reynolds stress distribution is prescribed at the inflow. Therefore, efforts to enhance digital filter techniques should aim at modeling pressure fluctuations as well as their correlation with the velocity components.","Digital filter; Turbulence resolving simulation; Turbulent inflow generation","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:1a4a7772-1a36-4e67-9f9f-9df501715e62","http://resolver.tudelft.nl/uuid:1a4a7772-1a36-4e67-9f9f-9df501715e62","Post-buckling behavior and collapse of Double-Double composite single stringer specimens","Vescovini, A. (TU Delft Aerospace Structures & Computational Mechanics; Politecnico di Milano); Li, Carina Xiaochen (University of Southern California); Paz Mendez, J. (TU Delft Aerospace Structures & Computational Mechanics; Universidad Rey Juan Carlos); Jin, Bo Cheng (University of Southern California); Manes, Andrea (Politecnico di Milano); Bisagni, C. (TU Delft Aerospace Structures & Computational Mechanics; Politecnico di Milano)","","2024","This paper presents the work on six single-stringer specimens manufactured using the card-sliding technique with non-crimp fabrics and adopting a Double-Double (DD) stacking sequence. These specimens, representative of sub-structure level components, are used to investigate post-buckling and failure in aerospace structures. Two specimens maintain a constant thickness cross-section, while four are tapered, two of which incorporate a Teflon insert in the stringer flange. All specimens are tested under compression loading conditions, inducing skin buckling, skin-stringer separation, and eventual collapse. Numerical simulations are validated by experimental results and serve to analyze the specimens behavior and the failure mode. The load versus displacement curves of both experimental tests and Finite Element Method (FEM) analyses are compared, along with the out-of-plane displacement field. Subsequently, the observed failure modes are discussed, focusing on the various mechanisms that occurred and considering the impact of flanges and stiffener tapering. Both the FEM simulations and experimental tests demonstrate good agreement, with the flanges tapering revealing notable results. This offers promising evidence of a viable solution to optimize aeronautical structures and enhance resistance to skin-stringer separation.","Double-Double (DD); Experimental tests; Finite element analysis; Post-buckling","en","journal article","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:a31094c4-6d91-4832-a6a5-394afe6ae3a4","http://resolver.tudelft.nl/uuid:a31094c4-6d91-4832-a6a5-394afe6ae3a4","Realizing quantum-safe information sharing: Implementation and adoption challenges and policy recommendations for quantum-safe transitions","Kong, I. (TU Delft Information and Communication Technology); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Bharosa, Nitesh (TU Delft Information and Communication Technology)","","2024","By utilizing the properties of quantum mechanics, quantum computers have the potential to factor a key pair of a large prime number and break some of the core cryptographic primitives that most information infrastructures depend on. This means that today's widely used cryptographic algorithms can soon become unsafe and need to be modified with quantum-safe (QS) cryptography. While much work is still needed in developing QS cryptographic algorithms, the institutional, organizational, and policy aspects of transitioning the current infrastructures have received less attention. This paper provides an empirical analysis of QS transition challenges and policy recommendations for moving to a QS situation. We analyzed the data collected through interviews with experts and practitioners from the Dutch government. The results reveal that institutional, organizational and policy aspects of QS transitions are interconnected, and solutions for QS transitions are scattered. Consequently, organizations may face a Catch-22 loop without further actionable approaches and planning for QS transitions.","Adoption; Digital government; Implementation; Information infrastructures; Information sharing; Policy recommendations; Post-quantum cryptography; Quantum-safe transition","en","journal article","","","","","","","","","","Engineering, Systems and Services","Information and Communication Technology","","",""
"uuid:f28cc468-2741-4e17-9c25-3117ee199407","http://resolver.tudelft.nl/uuid:f28cc468-2741-4e17-9c25-3117ee199407","Energy-conserving hyper-reduction and temporal localization for reduced order models of the incompressible Navier-Stokes equations","Klein, R.B. (TU Delft Fluid Mechanics; Centrum Wiskunde & Informatica (CWI)); Sanderse, B. (Centrum Wiskunde & Informatica (CWI))","","2024","A novel hyper-reduction method is proposed that conserves kinetic energy and momentum for reduced order models of the incompressible Navier-Stokes equations. The main advantage of conservation of kinetic energy is that it endows the hyper-reduced order model (hROM) with a nonlinear stability property. The new method poses the discrete empirical interpolation method (DEIM) as a minimization problem and subsequently imposes constraints to conserve kinetic energy. Two methods are proposed to improve the robustness of the new method against error accumulation: oversampling and Mahalanobis regularization. Mahalanobis regularization has the benefit of not requiring additional measurement points. Furthermore, a novel method is proposed to perform energy- and momentum-conserving temporal localization with the principle interval decomposition: new interface conditions are derived such that energy and momentum are conserved for a full time-integration instead of only during separate intervals. The performance of the new energy- and momentum-conserving hyper-reduction methods and the energy- and momentum-conserving temporal localization method is analysed using three convection-dominated test cases; a shear-layer roll-up, two-dimensional homogeneous isotropic turbulence and a time-periodic inviscid flow consisting of a vortex in a uniform background flow. Our main finding is that energy conservation in combination with oversampling or regularization leads to a robust method with excellent long time stability properties. When any of these two ingredients is missing, accuracy and/or stability is significantly impaired.","Discrete empirical interpolation method; Energy conservation; Incompressible Navier-Stokes equations; Mahalanobis regularization; Reduced order models; Temporal localization","en","journal article","","","","","","","","","","","Fluid Mechanics","","",""
"uuid:4c4e19f9-87a1-435d-81bd-9ab5e43bea05","http://resolver.tudelft.nl/uuid:4c4e19f9-87a1-435d-81bd-9ab5e43bea05","Impact of fast-solidification on all-d-metal NiCoMnTi based giant magnetocaloric Heusler compounds","Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy; City University of Hong Kong); Wu, Z. (TU Delft RST/Fundamental Aspects of Materials and Energy); Wang, Jianlin (Chinese Academy of Sciences); Wu, Zhenduo (City University of Hong Kong); Zhao, C. (TU Delft RST/Storage of Electrochemical Energy); Eijt, S.W.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Schut, H. (TU Delft RST/Neutron and Positron Methods in Materials); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2024","Recently, the all-d-metal Ni(Co)MnTi based Heusler compounds are found to have a giant magnetocaloric effect (GMCE) near room temperature and manifest different functionalities like multicaloric effects, which can be employed for solid-state refrigeration. However, in comparison to other traditional Heusler compounds, the relatively large thermal hysteresis (ΔThys) and moderately steep ferromagnetic phase transition provides limitations for real applications. Here, we present that fast solidification (suction casting) can sufficiently tailor the GMCE performance by modifying the microstructure. Compared with the arc-melted sample, the magnetic entropy change of the suction-casted sample shows a 67% improvement from 18.4 to 29.4 Jkg−1K−1 for a field change (∆μ0H) of 5 T. As the thermal hysteresis has maintained a low ΔThys value (5.5 K) for the enhanced first-order phase transition, a very competitive reversible magnetic entropy change of 21.8 Jkg−1K−1 for ∆μ0H = 5 T is obtained. Combining high-resolution transmission electron microscopy (HRTEM) and positron annihilation spectroscopy (PAS) results, the difference in lattice defect concentration is found to be responsible for the significant improvement in GMCE for the suction-cast sample, which suggests that defect engineering can be applied to control the GMCE. Our study reveals that fast solidification can effectively regulate the magnetocaloric properties of all-d-metal NiCoMnTi Heusler compounds without sacrificing ΔThys.","All-d-metal Heusler compounds; Lattice defects; Magnetocaloric effect; Ni-Co-Mn-Ti","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:9b12e05f-859d-4233-ae02-9fe762741a1e","http://resolver.tudelft.nl/uuid:9b12e05f-859d-4233-ae02-9fe762741a1e","Giant magnetocaloric effect for (Mn, Fe, V)2(P, Si) alloys with low hysteresis","Lai, J. (TU Delft Electrical Engineering, Mathematics and Computer Science; South China Normal University); Huang, B. (TU Delft RST/Fundamental Aspects of Materials and Energy); You, X. (TU Delft RST/Fundamental Aspects of Materials and Energy); Maschek, M. (TU Delft RST/Fundamental Aspects of Materials and Energy); Zhou, Guofu (South China Normal University); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2024","The Fe2P type Mn–Fe–P–Si alloys exhibit a giant magneto-elastic first-order transition, but the large hysteresis limits their performance. Crystal structure evolution and magnetocaloric performance were investigated by varying the Mn and Fe contents at a constant V substitution of 0.02 in Fe2P-type (Mn1.17-xFe0.73-yV0.02) (P0.5Si0.5) (where x + y = 0.02). The V substitution of Fe content shows a larger reduction of hysteresis compared with the same substitution amount of Mn content. During magnetoelastic phase transition, V-substitution reduces the volume change and the volumetric stresses, providing a superior mechanical stability. Compound with the V substitution of Fe (y = 0.02) shows the best magnetocaloric effect with a low thermal hysteresis of 0.6 K. Our developed Mn1.17-xFe0.73-yV0.02P0.5Si0.5 alloys are excellent materials for room-temperature magnetic heat-pumping applications by using a permanent magnet.","(Mn,Fe)(P,Si) alloy; Adiabatic temperature change; Crystal structure evolution; Hysteresis; Isothermal entropy change; Magnetocaloric effect","en","journal article","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:c994b2be-c0c9-4a3c-8a95-866bcc154288","http://resolver.tudelft.nl/uuid:c994b2be-c0c9-4a3c-8a95-866bcc154288","“Feel free to ask”: Nudging to promote asking questions in the online classroom","Weijers, Robert J. (Erasmus Universiteit Rotterdam); de Koning, Björn B. (Erasmus Universiteit Rotterdam); Scholten, Ester (Expertisecentrum Beroepsonderwijs); Wong, L.Y.J. (TU Delft Statistics); Paas, Fred (Erasmus Universiteit Rotterdam; University of Wollongong)","","2024","Asking questions is vital for learning, yet students seldom exhibit this behavior. Given the increasing presence of online classrooms in tertiary education, it is much needed to examine ways to encourage students to ask questions and increase their engagement. Despite the critical role of asking questions to enhance learning, little is known in research on promoting this behavior. Therefore, in this paper, we empirically tested the effect of nudging – a novel approach in education consisting of subtle interventions to change behavior – in online classrooms in tertiary education on question asking behavior, student engagement, and grades. In Experiment 1 (n = 1011), the teacher's virtual background prompted questions (prompt nudge), while in Experiment 2 (n = 449), the teacher set a goal for the students to ask one question per session (goal-setting nudge). We found a trend towards a positive effect of the prompt nudge on questions, but not on grades. Exploratory analyses revealed this was driven by students who already asked many questions. We found no effect of the goal-setting nudge on any measure, nor any effect in either experiment on student engagement. The findings demonstrate that the prompt nudge can be a possible useful and easy to implement tool to encourage questions in the online classroom.","Asking questions; Class participation; Educational psychology; Nudging; Online education; Student engagement","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-03","","","Statistics","","",""
"uuid:fde53f25-fdda-41ac-ac08-46419f2d9852","http://resolver.tudelft.nl/uuid:fde53f25-fdda-41ac-ac08-46419f2d9852","Enhanced magnetic halloysite nanotubes for dye removal at different pH conditions","Nguyen, Ngoc Quang (Chemistry and Research Institute for Convergence of Basic Science); Jeong, Younhee (Chemistry and Research Institute for Convergence of Basic Science); Abelmann, L. (TU Delft Bio-Electronics; KIST-Europe); Ryu, Jungju (Chemistry and Research Institute for Convergence of Basic Science); Sohn, Daewon (Chemistry and Research Institute for Convergence of Basic Science)","","2024","Halloysite nanotubes (HNTs) have been extensively investigated for potential utilization due to their unique structure and properties as a type of natural, eco-friendly clay. The synthesis and modification of magnetic halloysite nanotubes was studied using several experimental techniques including SEM, TEM, FT-IR, Raman spectroscopy, UV-Vis spectroscopy, and BET. Dye absorption experiments were conducted to understand bonding using EDS, XPS, XRD, and Raman spectroscopy. In this study, we evaluated Sunset Yellow FCF (SY) dye removal as a model to understand bonding structures among magnetic HNTs, magnetic particles, and dye molecules. We focus on the interactions of SY-magnetic HNTs and characteristics of magnetization by VSM after SY dye adsorption, which highlight the notable features of magnetic halloysite nanotubes. We used different pH environments to study the behavior of magnetic HNTs after dye absorption. The application of these modified HNTs is promising for future organic dye removal and wastewater treatment.","Dye adsorption; Dye removal; Halloysite; Magnetic particle; Nanotube","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-01","","","Bio-Electronics","","",""
"uuid:411c2dd6-9bcb-4aba-80ce-be761bb9f25a","http://resolver.tudelft.nl/uuid:411c2dd6-9bcb-4aba-80ce-be761bb9f25a","Assessment of Reynolds number effects in supersonic turbulent boundary layers","Laguarda, L. (TU Delft Aerodynamics); Hickel, S. (TU Delft Aerodynamics); Schrijer, F.F.J. (TU Delft Aerodynamics); van Oudheusden, B.W. (TU Delft Aerodynamics)","","2024","Wall-resolved large-eddy simulations (LES) are performed to investigate Reynolds number effects in supersonic turbulent boundary layers (TBLs) at Mach 2.0. The resulting database covers more than a decade of friction Reynolds number Reτ, from 242 to 5554, which considerably extends the parameter range of current high-fidelity numerical studies. Reynolds number trends are identified on a variety of statistics for skin-friction, velocity and thermodynamic variables. The efficacy of recent scaling laws as well as compressibility effects are also assessed. In particular, we observe the breakdown of Morkovin's hypothesis for third-order velocity statistics, in agreement with previous observations for variable-property flows at low Mach number. Special attention is also placed on the size and topology of the turbulent structures populating the TBL, with an emphasis on the outer-layer motions at high Reynolds number. The corresponding streamwise spectra of streamwise velocity fluctuations show a clear separation between inner and outer scales, where energetic peaks are found at streamwise wavelengths of λx+≈700 and λx/δ0≈6. The spanwise spacing of the outer-layer structures, in turn, is found to be insensitive to the Reynolds number and equal to ∼0.7δ0. It is also found that the integral length-scales in spanwise direction for the temperature, streamwise and spanwise velocity fields appear to progressively collapse with increasing Reynolds number. The modulating influence that the outer-layer structures exert on the near-wall turbulence is also clearly visible in many of the metrics discussed. In addition, the present LES data is further exploited to assess the Reτ-sensitivity of uniform momentum regions in the flow. We find that the resulting probability density function of the number of zones as well as its evolution with Reτ agrees well with incompressible data. This suggests that uniform zones, which have been associated with outer-layer dynamics, are not strongly influenced by compressibility at the considered Mach number.","Compressible wall-bounded turbulence; Large-eddy simulation; Supersonic boundary layer","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:f70d2cbf-a0f9-4e92-afed-4b157c642b3c","http://resolver.tudelft.nl/uuid:f70d2cbf-a0f9-4e92-afed-4b157c642b3c","Distributed multi-target tracking and active perception with mobile camera networks","Casao, S. (Universidad de Zaragoza); Serra Gomez, A. (TU Delft Learning & Autonomous Control); Murillo, Ana C. (Universidad de Zaragoza); Böhmer, J.W. (TU Delft Algorithmics); Alonso-Mora, J. (TU Delft Learning & Autonomous Control); Montijano, Eduardo (Universidad de Zaragoza)","","2024","Smart cameras are an essential component in surveillance and monitoring applications, and they have been typically deployed in networks of fixed camera locations. The addition of mobile cameras, mounted on robots, can overcome some of the limitations of static networks such as blind spots or back-lightning, allowing the system to gather the best information at each time by active positioning. This work presents a hybrid camera system, with static and mobile cameras, where all the cameras collaborate to observe people moving freely in the environment and efficiently visualize certain attributes from each person. Our solution combines a multi-camera distributed tracking system, to localize with precision all the people, with a control scheme that moves the mobile cameras to the best viewpoints for a specific classification task. The main contribution of this paper is a novel framework that exploits the synergies that result from the cooperation of the tracking and the control modules, obtaining a system closer to the real-world application and capable of high-level scene understanding. The static camera network provides global awareness of the control scheme to move the robots. In exchange, the mobile cameras onboard the robots provide enhanced information about the people on the scene. We perform a thorough analysis of the people monitoring application performance under different conditions thanks to the use of a photo-realistic simulation environment. Our experiments demonstrate the benefits of collaborative mobile cameras with respect to static or individual camera setups.","Collaborative and autonomous decision making; Multi-camera scene analysis","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:740ae19e-044a-4bb1-80c8-0c3da244b184","http://resolver.tudelft.nl/uuid:740ae19e-044a-4bb1-80c8-0c3da244b184","Dynamic risk assessment of chemical process systems using the System-Theoretic accident model and process approach (STAMP) in combination with cascading failure propagation model (CFPM)","Sun, Hao (Anhui University of Technology); Wang, Haiqing (China University of Petroleum (East China)); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2024","To maintain continuous production, chemical plant operators may ignore faults or handle faults online rather than shutting down process systems. However, interaction and interdependence links between components in a digitalized process system are substantial. Thus, faults will be propagated to downstream nodes, potentially leading to risk accumulation and major accidents. However, limited attention has been paid to this type of risk. To model the risk accumulation process, a dynamic risk assessment method is proposed by integrating the system-theoretic accident model and process approach (STAMP) and the cascading failure propagation model (CFPM). Firstly, STAMP is used to model and analyze the system safety of a process system. Two CFPMs are then proposed to measure risk accumulation under two different engineering situations. The proposed method is applied to the Chevron Richmond refinery crude unit and its associated upstream process. The results show that the proposed approach can effectively quantify the process of risk accumulation. This method can generate a real-time dynamic risk profile to support auxiliary decision-making.","Cascading failure propagation model (CFPM); Fault propagation; Risk accumulation; STAMP","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:77a4b20a-6094-4093-a5a6-f946f93da5b9","http://resolver.tudelft.nl/uuid:77a4b20a-6094-4093-a5a6-f946f93da5b9","Buckling failure analysis of storage tanks under the synergistic effects of fire and wind loads","Chen, Chao (Southwest Petroleum University; China Academy of Safety Science and Technology); Chen, Hang (Southwest Petroleum University); Mo, Li (Southwest Petroleum University); Xiao, Shenbin (Southwest Petroleum University); Li, Changjun (Southwest Petroleum University); Yang, M. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2024","Fire-induced domino effect is one of the main threats to hazardous material storage tanks, and many attempts have been conducted to assess the vulnerability of storage tanks exposed to fire to evaluate domino effect risk. However, past research ignored the influence of wind load on the thermal buckling behavior of storage tanks exposed to fire, which may underestimate the risk of exposed tanks. This paper thus conducts a numerical simulation of the thermal buckling behavior of steel vertical dome storage tanks under the synergistic effect of static wind loads and thermal effects. The effects of wind parameters and heat radiation parameters on the thermal post-buckling behavior and the time to failure (ttf) of storage tanks are investigated to analyze the synergistic effects of fire and wind loads. By comparing the circumferential and meridional stresses before and after the thermal post-buckling stage, it is found that under the disturbing effect of the positive wind pressure load, the thermal post-buckling of the tanks on downwind occurs earlier and more severe. Besides, the effects of wind angle, fire location height, and diameter on buckling damage were investigated. The comparative analysis of different scenarios shows that the tanks in the windy scenario are more prone to thermal post-buckling, and the deformation is intensified, with an increased likelihood of failure.","Domino effects; Numerical simulation; Storage tank; Thermal post-buckling; Wind load","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-04","","","Safety and Security Science","","",""
"uuid:690617c3-d24a-4212-81e5-39aab9dac733","http://resolver.tudelft.nl/uuid:690617c3-d24a-4212-81e5-39aab9dac733","Offshore floating PV–DC and AC yield analysis considering wave effects","Alcañiz Moya, A. (TU Delft Photovoltaic Materials and Devices); Monaco, N. (Student TU Delft); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Ziar, H. (TU Delft Photovoltaic Materials and Devices)","","2024","The growing global energy demand increases the need for renewable energy sources. This increase requires land to be occupied, competing with other activities such as agriculture and residency. In such a situation, renewable energy sources expand to other environments like the ocean. However, this new scene poses some challenges, such as the effect of waves on photovoltaic (PV) performance. Consequently, this study aims to evaluate the power output of an Offshore Floating PV (OFPV) system located in the North Sea considering the effect of the waves. A 3D mechanical movement model, which has been validated with data from a real system, is developed for this purpose. A sensitivity analysis is conducted to determine how the size of fluctuations depends on the dimensions of the floater. The main outcome is that a heavy and wide floater aligned with the most common wind direction reduces angle variations. Results from DC power simulations show that sea fluctuations have a negative yet small influence on PV power production. Over the course of the year, these losses amount to just 0.1% of the annual energy yield. However, a hypothetical optimally-tilted PV system placed on water would still generate 14.6% more DC power output than the floating one. On the AC side, laboratory experiments show that these oscillations negatively affect the inverter efficiency during rough sea conditions by a decrease of over 2 percentage points compared to a still system.","Floating photovoltaics; Inverter efficiency; Offshore; Waves effect; Yield assessment","en","journal article","","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:ffd10390-e207-4109-8745-f86224e7abff","http://resolver.tudelft.nl/uuid:ffd10390-e207-4109-8745-f86224e7abff","Design of the new structure and capabilities of LADM edition II including 3D aspects","Kara, A. (TU Delft Digital Technologies); Lemmen, Christiaan (University of Twente); van Oosterom, P.J.M. (TU Delft Digital Technologies); Kalogianni, E. (TU Delft Digital Technologies); Alattas, Abdullah (King Abdulaziz University); Indrajit, Agung (Ministry of National Development Planning/National Development Agency of the Republic of Indonesia)","","2024","The decision to refine the existing content and to extend the scope of Edition I of the ISO 19152:2012 Land Administration Domain Model (LADM) is a response to requests from the international Land Administration (LA) community. This response has to be formally organised in accordance with ISO guidelines. This begins with gathering feedback from ISO/TC 211 Member States on the need for updated and enhanced capabilities of the LADM. In addition, several proposals have been made to extend the scope of the LADM Edition I. After analysing the feedback received, it was proposed to develop the LADM Edition II as a multi-part standard: Part 1 — Generic conceptual model, Part 2 — Land registration, Part 3 — Marine georegulation, Part 4 — Valuation information, Part 5 — Spatial plan information and Part 6 — Implementation aspects. In other words, Edition I focuses on land tenure, while the design and development of Edition II is based on the inclusion of rights, restrictions and responsibilities (RRRs) concerning marine georegulation, valuation information, spatial plan information as well as LADM implementation. 3D representations are relevant for all parts.
This paper focuses on the design of the new structure of the second edition of the LADM and on the (operational) capabilities of this new edition in relation to the LA issues in Parts (standards addressing a specific part of the scope) and Packages (groups of conceptually close classes), with a particular attention to the requirements and design related decisions taken in the revision process. The parts 1, 2, 4 and 5 are the parts in which the authors are currently involved. Part 1 will be a high-level umbrella standard; Part 2 is largely based on LADM Edition I and focuses on land registration, with an enhanced support on the surveying functionality, including new subclasses of spatial unit, and extended 3D spatial profiles. Part 3 harmonises the description of RRRs and aligns land concepts with marine aspects from the marine domain based on the International Hydrographic Organisation (IHO) S121 Maritime Limits and Boundaries Product Specification. Part 4 deals with valuation information used and produced in the context of land administration, while Part 5 deals with spatial planning information and includes the planned use of the land (zoning), resulting in RRRs. Lastly, Part 6 is planned to be about implementation of the LADM and will be developed in close collaboration with the Open Geospatial Consortium (OGC).","3D representation; Cadastre; LADM; LADM edition II; LADM revision; Land administration; Land administration domain model; Land registry; Social tenure domain model; Standards; STDM","en","journal article","","","","","","","","","","","Digital Technologies","","",""
"uuid:25fd50b0-20cd-4f2d-b225-e657851b68b8","http://resolver.tudelft.nl/uuid:25fd50b0-20cd-4f2d-b225-e657851b68b8","Biocompatible optical physically unclonable function hydrogel microparticles for on-dose authentication","Zhang, M. (TU Delft Engineering Thermodynamics); Raghunath, Aparna; Zhao, A. (TU Delft Energy Technology); Eral, H.B. (TU Delft Complex Fluid Processing; Universiteit Utrecht)","","2024","On-dose authentication (ODA) enhances security by incorporating customized molecular or micro-tags into each pill, preventing counterfeit products in genuine packages. ODA's security relies on tag non-replication and non-reverse engineering. Combining ODA with graphical Physical Unclonable Functions (PUF) promises maximum security. PUF uses intrinsic micro or nanoscale randomness as a unique ‘fingerprint’. However, current graphical PUFs have limitations like specific illumination requirements and the use of toxic materials, restricting their use in pharmaceuticals. In this study, we propose a novel approach called on-dose PUF. This method involves embedding microspheres randomly within micro biocompatible hydrogel particles. We showcase two distinct types of such on-dose PUFs. The first type utilizes randomly distributed superparamagnetic colloids (SPC) of identical diameters, while the second type utilizes vortexed sunflower oil drops of various diameters. The diameter and coordinates of the microspheres serve as input for generating cryptographic keys. A universal circle identification and binning program is used for extracting this information. One advantage of this approach is that it enables imaging using white light illumination and low-magnification microscopy, as color and signal intensity information are not crucial. This method enables patients to verify their medication by using their mobile phones from home. To assess the performance of the proposed on-dose PUF, we conducted canonical investigations on the single-diameter system. This system can only generate one layer of cryptographic keys, making it potentially more vulnerable than the multiple-diameter system. However, the single-diameter system successfully passed NIST Statistical tests and exhibited sufficient randomness, ideal bit uniformity, Hamming distance, and device uniqueness. Furthermore, we found that the encoding capacity of the single-diameter system was 9.2×1018, providing ample labeling potential.","Anti-counterfeiting; Biocompatible; Colloid; Emulsion; Hydrogel; On-dose authentication; Optical PUF; Physical unclonable function","en","journal article","","","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:f86b4e03-54f0-46d0-94e8-a1cf834df8f8","http://resolver.tudelft.nl/uuid:f86b4e03-54f0-46d0-94e8-a1cf834df8f8","An integrated approach to decision-making variables on urban water systems using an urban water use (UWU) decision-support tool","Hoepers, Taiane Regina (Federal University of Paraná; IHE Delft Institute for Water Education); Leithold, Juliana (Federal University of Paraná); Monteiro, Michel Marques (Federal University of Paraná); Corzo Perez, Gerald A. (IHE Delft Institute for Water Education); Fernandes, Cristovão V. Scapulatempo (Federal University of Paraná); Zevenbergen, C. (TU Delft Urban Design; IHE Delft Institute for Water Education); Santos, Daniel Costa dos (Federal University of Paraná)","","2024","In response to pressing global challenges like climate change, rapid population growth, and an urgent need for sustainable infrastructure, cities face an immediate and crucial necessity to transition swiftly toward an integrated approach to managing urban water resources. This shift is not merely an option but an imperative, driven by the rapidly evolving urban landscape. In addressing this imperative, a crucial decision support tool that has emerged as an asset in the domain of urban water planning and management is the Urban Water Use (UWU) tool. This tool offers an integrated approach for strategic planning, promoting urban water conservation and environmental health through the investigation of interventions in urban infrastructure under different scenarios. In this study, the latest version of this UWU tool was deployed in a case study conducted in Almirante Tamandaré, Brazil. The objective was to evaluate how an integrated decision-making approach concerning urban water systems influences the efficiency and effectiveness of interventions, ultimately contributing to achieve widespread adoption, accessibility, and relevance of urban water services. The refined UWU tool evaluates a spectrum of measures across diverse scenarios, incorporating various drivers, focusing on the stakeholders' visions for the locality. These visions are composed of sustainability indicators, specifying different sets of target values and importance weights for each indicator. The approach followed in this study demonstrates how the effectiveness indexes can vary based on stakeholders' perception. Measures under Water Sensitive Urban Design and Water Demand Management strategies were deployed to simulate the response of urban water systems under three distinct scenarios, embracing the complexities of social dynamics and of climate change. The findings of the study emphasize that realizing a desired vision through selected measures relies significantly on the adoption of an integrated approach within the decision-making process. The stakeholders' perception of how indicators should be weighted while defining the vision was found to significantly impact the effectiveness range of these measures.","Effectiveness index; Indicators; Integrated urban water management; Measures; Scenarios","en","journal article","","","","","","","","","","","Urban Design","","",""
"uuid:07f833c3-820b-4b91-b0bd-6db3491856cb","http://resolver.tudelft.nl/uuid:07f833c3-820b-4b91-b0bd-6db3491856cb","Unveiling the catalytic potential of two-dimensional boron nitride in lithium–sulfur batteries","Khossossi, N. (TU Delft Team Poulumi Dey); Singh, Deobrat (Uppsala University); Essaoudi, Ismail (Moulay Ismail University); Ahuja, Rajeev (Uppsala University; Indian Institute of Technology Ropar); Ainane, Abdelmajid (Moulay Ismail University)","","2024","Lithium–sulfur (Li–S) batteries, renowned for their potential high energy density, have attracted attention due to their use of earth-abundant elements. However, a significant challenge lies in developing suitable materials for both lithium-based anodes, which are less prone to lithium dendrite formation, and sulfur-based cathodes. This obstacle has hindered their widespread commercial viability. In this study, we present a novel sulfur host material in the form of a two-dimensional semiconductor boron nitride framework, specifically the 2D orthorhombic diboron dinitride (o-B2N2). The inherent conductivity of o-B2N2 mitigates the insulating nature often observed in sulfur-based electrodes. Notably, the o-B2N2 surface demonstrates a high binding affinity for long-chain Li-polysulfides, leading to a significant reduction in their dissolution into the DME/DOL electrolytes. Furthermore, the preferential deposition of Li2S on the o-B2N2 surface expedites the kinetics of the lithium polysulfide redox reactions. Additionally, our investigations have revealed a catalytic mechanism on the o-B2N2 surface, significantly reducing the free energy barriers for various sulfur reduction reactions. Consequently, the integration of o-B2N2 as a host cathode material for Li–S batteries holds great promise in suppressing the shuttle effect of lithium polysulfides and ultimately enhancing the overall battery performance. This represents a practical advancement for the application of Li–S batteries.","2D o-BN monolayer; Electrocatalytic properties; First-principles calculations; Lithium polysulfide; Organic electrolyte; Shuttle effect","en","journal article","","","","","","","","","","","Team Poulumi Dey","","",""
"uuid:67246fb8-d0c7-4dde-b931-541656d0e860","http://resolver.tudelft.nl/uuid:67246fb8-d0c7-4dde-b931-541656d0e860","Lp-estimates for the square root of elliptic systems with mixed boundary conditions II","Bechtel, S. (TU Delft Analysis)","","2024","We show Lp-estimates for square roots of second order complex elliptic systems L in divergence form on open sets in Rd subject to mixed boundary conditions. The underlying set is supposed to be locally uniform near the Neumann boundary part, and the Dirichlet boundary part is Ahlfors–David regular. The lower endpoint for the interval where such estimates are available is characterized by p-boundedness properties of the semigroup generated by −L, and the upper endpoint by extrapolation properties of the Lax–Milgram isomorphism. Also, we show that the extrapolation range is relatively open in (1,∞).","Calderón–Zygmund decomposition for Sobolev functions; Complex elliptic systems of second order; Hardy's inequality; Kato square root problem; Lax–Milgram isomorphism; Mixed boundary conditions","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:53f5717c-d13d-4b44-a7da-498d6d2b7c6d","http://resolver.tudelft.nl/uuid:53f5717c-d13d-4b44-a7da-498d6d2b7c6d","A near-linear kernel for bounded-state parsimony distance","Deen, Elise (Student TU Delft); van Iersel, L.J.J. (TU Delft Discrete Mathematics and Optimization); Janssen, Remie (Rijksinstituut voor Volksgezondheid en Milieu (RIVM)); Jones, M.E.L. (TU Delft Discrete Mathematics and Optimization); Murakami, Yukihiro (TU Delft Discrete Mathematics and Optimization); Zeh, Norbert (Dalhousie University)","","2024","The maximum parsimony distance dMP(T1,T2) and the bounded-state maximum parsimony distance dMPt(T1,T2) measure the difference between two phylogenetic trees T1,T2 in terms of the maximum difference between their parsimony scores for any character (with t a bound on the number of states in the character, in the case of dMPt(T1,T2)). While computing dMP(T1,T2) was previously shown to be fixed-parameter tractable with a linear kernel, no such result was known for dMPt(T1,T2). In this paper, we prove that computing dMPt(T1,T2) is fixed-parameter tractable for all t. Specifically, we prove that this problem has a kernel of size O(klgk), where k=dMPt(T1,T2). As the primary analysis tool, we introduce the concept of leg-disjoint incompatible quartets, which may be of independent interest.","Distance measure; Kernelization; Maximum parsimony distance; Parameterized complexity; Parsimony; Phylogenetic tree; Phylogenetics","en","journal article","","","","","","","","","","","Discrete Mathematics and Optimization","","",""
"uuid:dd6a5c46-601d-4625-8b90-55ee9ee12330","http://resolver.tudelft.nl/uuid:dd6a5c46-601d-4625-8b90-55ee9ee12330","Enhancing pseudocapacitive intercalation in Ti3C2T x MXene with molecular crowding electrolytes","Chen, C. (TU Delft RST/Storage of Electrochemical Energy); de Kogel, Albert (Student TU Delft); Weijers, M.J. (TU Delft ChemE/Materials for Energy Conversion and Storage); Bannenberg, L.J. (TU Delft RID/TS/Instrumenten groep); Wang, Xuehang (TU Delft RST/Storage of Electrochemical Energy)","","2024","The growing demand for safe, cost-efficient, high-energy and high-power electrochemical energy storage devices has stimulated the development of aqueous-based supercapacitors with high capacitance, high rate capability, and high voltage. 2D titanium carbide MXene-based electrodes have shown excellent rate capability in various dilute aqueous electrolytes, yet their potential window is usually narrower than 1.2 V. In this study, we show that the potential window of Ti3C2T x MXene can be efficiently widened to 1.5 V in a cost-effective and environmentally benign polyethylene glycol (PEG) containing molecular crowding electrolyte. Additionally, a pair of redox peaks at −0.25 V/−0.05 V vs. Ag (cathodic/anodic) emerged in cyclic voltammetry after the addition of PEG, yielding an additional 25% capacitance. Interestingly, we observed the co-insertion of the molecular crowding agent PEG-400 during the Li+ intercalation process based on in-situ x-ray diffraction analysis. As a result, Ti3C2T x electrodes presented an interlayer space change of 4.7 Å during a complete charge/discharge cycle, which is the largest reversible interlayer space change reported so far for MXene-based electrodes. This work demonstrates the potential of adding molecular crowding agents to improve the performance of MXene electrodes in aqueous electrolytes and to enlarge the change of the interlayer spacing.","electrochemical energy storage; intercalation; interlayer spacing; molecular crowding electrolyte; MXene; polyethylene glycol; supercapacitor","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:5d612ad9-dcd2-43cb-a9d6-61997eed923d","http://resolver.tudelft.nl/uuid:5d612ad9-dcd2-43cb-a9d6-61997eed923d","A comparison of smooth basis constructions for isogeometric analysis","Verhelst, H.M. (TU Delft Numerical Analysis; TU Delft Ship and Offshore Structures); Weinmüller, P. (MTU Aero Engines); Mantzaflaris, A. (INRIA Sophia Antipolis); Takacs, T. (Johann Radon Institute for Computational and Applied Mathematics); Toshniwal, D. (TU Delft Numerical Analysis)","","2024","In order to perform isogeometric analysis with increased smoothness on complex domains, trimming, variational coupling or unstructured spline methods can be used. The latter two classes of methods require a multi-patch segmentation of the domain, and provide continuous bases along patch interfaces. In the context of shell modelling, variational methods are widely used, whereas the application of unstructured spline methods on shell problems is rather scarce. In this paper, we therefore provide a qualitative and a quantitative comparison of a selection of unstructured spline constructions, in particular the D-Patch, Almost-C1, Analysis-Suitable G1 and the Approximate C1 constructions. Using this comparison, we aim to provide insight into the selection of methods for practical problems, as well as directions for future research. In the qualitative comparison, the properties of each method are evaluated and compared. In the quantitative comparison, a selection of numerical examples is used to highlight different advantages and disadvantages of each method. In the latter, comparison with weak coupling methods such as Nitsche's method or penalty methods is made as well. In brief, it is concluded that the Approximate C1 and Analysis-Suitable G1 converge optimally in the analysis of a bi-harmonic problem, without the need of special refinement procedures. Furthermore, these methods provide accurate stress fields. On the other hand, the Almost-C1 and D-Patch provide relatively easy construction on complex geometries. The Almost-C1 method does not have limitations on the valence of boundary vertices, unlike the D-Patch, but is only applicable to biquadratic local bases. Following from these conclusions, future research directions are proposed, for example towards making the Approximate C1 and Analysis-Suitable G1 applicable to more complex geometries.","Biharmonic equation; Isogeometric analysis; Kirchhoff–Love shell; Unstructured splines","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:edf67d8a-fc91-46c7-a195-809612dc5339","http://resolver.tudelft.nl/uuid:edf67d8a-fc91-46c7-a195-809612dc5339","Lengthening of the Sm2+ 4f55d → 4f6 decay time through interplay with the 4f6[5D0] level and its analogy to Eu2+ and Pr3+","van Aarle, C. (TU Delft RST/Luminescence Materials); Krämer, Karl W. (University of Bern); Dorenbos, P. (TU Delft RST/Luminescence Materials)","","2024","Recent research activity on Sm2+-doped compounds has significantly increased the amount of available data on 4f55d → 4f6 decay times. This enabled the systematic comparison of spectroscopic and time resolved luminescence data to theoretical models describing the interplay between the 4f55d and 4f6[5D0] excited states on the observed decay time. A Boltzmann distribution between the population of the excited states is assumed, introducing a dependence of the observed 4f55d → 4f6 decay time on the energy gap between the 4f55d and 4f6[5D0] levels and temperature. The model is used to interpret the origin of the large variation in reported 4f55d → 4f6 decay times through literature, and links their temperature dependence to applications such as luminescence thermometry and near-infrared scintillation. The model is further applied to the analogous situation of close lying 4fn-15d and 4fn states in Eu2+ (6P7/2) and Pr3+ (1S0).","","en","journal article","","","","","","","","","","","RST/Luminescence Materials","","",""
"uuid:89773979-ec28-4e36-89d8-399e1404f039","http://resolver.tudelft.nl/uuid:89773979-ec28-4e36-89d8-399e1404f039","Enhancing nitrogen removal from wastewater in a low C/N ratio using an air-lift bio-electrochemical reactor (ALBER)","Nouri, Amir (Razi University); Zinatizadeh, Ali Akbar (Razi University; University of Queensland); Zinadini, Sirus (Razi University); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology)","","2024","This study focuses on the development of an air-lift bio-electrochemical reactor (ALBER) with a continuous feeding regime. The objective is to enhance nitrogen removal from synthetic wastewater with a low carbon-to-nitrogen (C/N) ratio. The chemical oxygen demand (COD) and total nitrogen (TN) of the influent wastewater were 500 and 200 mg/L, respectively. The effect of four independent variables, i.e., temperature, hydraulic retention time (HRT), N−NH4+/TN ratio and current density in the range of 16–32 °C, 6–12 h, 25–75%, and 2–10 A/m2, respectively, at three levels on the bio–electrochemical reactor performance were investigated during the bio–electrochemical reactor operation. The Face Center Cube (FCC) of response surface methodology (RSM) was used for design of experiments and model of obtained data. The ALBER achieved the maximum TN removal of 73% (146 mg/l) using external voltage and zeolite/plastic medium at temperature of 16 °C, HRT of 6 h, current density of 2 A/m2 and N−NH4+/TN ratio of 75%. The results indicated that shortening the HRT from 12 to 6 h, reducing the temperature from 32 °C to 24 °C, increasing the current density from 2 to 6 A/m2 and the reduction of nitrate concentration caused an increase in the TN removal. The results indicated that the performance of air-lift bio-electrochemical for nitrogen removal could be attributed to autotrophic denitrification (AD) and simultaneous nitrification/denitrification (SND). The research findings suggest that the ALBER should be further studied for potential use in treating industrial wastewater at low temperatures.","Air-lift bio-electrochemical reactor; Autotrophic denitrification; Biocathode; Simultaneous nitrification/denitrification; Zeolite/plastic medium","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","BT/Environmental Biotechnology","","",""
"uuid:876ab669-df05-486c-b6e4-416efae3d114","http://resolver.tudelft.nl/uuid:876ab669-df05-486c-b6e4-416efae3d114","Fault detection for LTI systems using data-driven dissipativity analysis","Rosa, Tábitha E. (Rijksuniversiteit Groningen); de Paula Carvalho, Leonardo (Universidade de São Paulo); de Albuquerque Gleizer, G. (TU Delft Team Tamas Keviczky); Jayawardhana, Bayu (Rijksuniversiteit Groningen)","","2024","Motivated by the physical exchange of energy and its dissipation in electro-mechanical systems, we propose a new fault detection method based on data-driven dissipativity analysis. We first identify a dissipativity inequality using one or multiple shots of data obtained from a linear time-invariant system. This dissipativity inequality's storage and supply rate functions assume generic quadratic difference forms encompassing all LTI systems. By analysing the norm of the identified dissipative inequality as the residual function, we can detect the occurrence of faults in real-time without the need to model each fault the system is subjected to. Through academic examples, we demonstrate how we can identify supply rate and storage functions from persistently exciting data shots. We present a practical example of detecting faults on a two-degree-of-freedom planar manipulator with zero missed fault detection rate, which is compared to a standard PCA-based fault detection algorithm.","Data-driven; Dissipativity; Fault detection; LTI systems; Model-free","en","journal article","","","","","","","","","","","Team Tamas Keviczky","","",""
"uuid:ebe708b5-dd7f-465e-9a26-7aa460a4957c","http://resolver.tudelft.nl/uuid:ebe708b5-dd7f-465e-9a26-7aa460a4957c","Compensating torque ripples in a coarse pointing mechanism for free-space optical communication: A Gaussian process repetitive control approach","Mooren, Noud (Eindhoven University of Technology); van Meer, Max (Eindhoven University of Technology); Witvoet, Gert (Eindhoven University of Technology; TNO); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2024","Actuators that require commutation algorithms, such as the switched reluctance motor (SRM) considered in this paper and employed in the coarse pointing assembly (CPA) for free-space optical communication, often have torque-ripple disturbances that are periodic in the commutation-angle domain that deteriorate the positioning performance. The aim of this paper is to model the torque ripple as a Gaussian Process (GP) in the commutation-angle domain and consequently compensate for it at arbitrary velocity. The approach employs repetitive control (RC) at a constant velocity. A spatial GP with a periodic kernel is trained using data that is obtained from the RC step resulting in a static non-linear function for compensation at arbitrary velocity. Stability conditions are provided for both steps. The approach is successfully applied to a CPA prototype to improve the tracking performance for laser communication, where the torque ripple is compensated at arbitrary velocity.","Gaussian process; Motion control; Optical pointing; Repetitive control; Switched reluctance motor","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:16169a19-bf6b-4681-8ecc-18a9f2bd5e0f","http://resolver.tudelft.nl/uuid:16169a19-bf6b-4681-8ecc-18a9f2bd5e0f","End-to-end neural network based optimal quadcopter control","Ferede, R. (TU Delft Control & Simulation); de Croon, G.C.H.E. (TU Delft Control & Simulation); de Wagter, C. (TU Delft Control & Simulation); Izzo, Dario (European Space Agency (ESA))","","2024","Developing optimal controllers for aggressive high-speed quadcopter flight poses significant challenges in robotics. Recent trends in the field involve utilizing neural network controllers trained through supervised or reinforcement learning. However, the sim-to-real transfer introduces a reality gap, requiring the use of robust inner loop controllers during real flights, which limits the network's control authority and flight performance. In this paper, we investigate for the first time, an end-to-end neural network controller, addressing the reality gap issue without being restricted by an inner-loop controller. The networks, referred to as G&CNets, are trained to learn an energy-optimal policy mapping the quadcopter's state to rpm commands using an optimal trajectory dataset. In hover-to-hover flights, we identified the unmodeled moments as a significant contributor to the reality gap. To mitigate this, we propose an adaptive control strategy that works by learning from optimal trajectories of a system affected by constant external pitch, roll and yaw moments. In real test flights, this model mismatch is estimated onboard and fed to the network to obtain the optimal rpm command. We demonstrate the effectiveness of our method by performing energy-optimal hover-to-hover flights with and without moment feedback. Finally, we compare the adaptive controller to a state-of-the-art differential-flatness-based controller in a consecutive waypoint flight and demonstrate the advantages of our method in terms of energy optimality and robustness.","End-to-end control; G&CNet; Optimal control; Reality gap; Sim-to-real transfer; Supervised learning","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:b4cad563-1247-489d-8146-cb2a74060fb0","http://resolver.tudelft.nl/uuid:b4cad563-1247-489d-8146-cb2a74060fb0","On automated model discovery and a universal material subroutine for hyperelastic materials","Peirlinck, M. (TU Delft Medical Instruments & Bio-Inspired Technology); Linka, Kevin (Stanford University); Hurtado, Juan A. (Dassault Systèmes); Kuhl, Ellen (Stanford University)","","2024","Constitutive modeling is the cornerstone of computational and structural mechanics. In a finite element analysis, the constitutive model is encoded in the material subroutine, a function that maps local strains onto stresses. This function is called within every finite element, at each integration point, within every time step, at each Newton iteration. Today's finite element packages offer large libraries of material models to choose from. However, the scientific criteria for appropriate model selection remain highly subjective and prone to user bias. Here we fully automate the process of model selection, autonomously discover the best model and parameters from experimental data, encode all possible discoverable models into a single material subroutine, and seamlessly integrate this universal material subroutine into a finite element analysis. We prototype this strategy for incompressible, isotropic, hyperelastic soft matter systems that we characterize through a combination of twelve possible terms. These terms feature the first and second invariants, raised to the first and second powers, embedded in the identity, exponential, and logarithmic functions, generating 22×2×3= 4096 models in total. We demonstrate how to integrate these models into a single universal material subroutine that features the classical neo Hooke, Blatz Ko, Mooney Rivlin, Demiray, Gent, and Holzapfel models as special cases. Finite element simulations with our new universal material subroutine show that it specializes well to these widely used models, generalizes well to newly discovered models, and agrees excellently with both experimental data and previous simulations. It also performs well within realistic finite element simulations and accurately predicts stress concentrations in the human brain for six different head impact scenarios. We anticipate that integrating automated model discovery into a universal material subroutine will generalize naturally to more complex compressible, anisotropic, inelastic materials and to other nonlinear finite element platforms. Replacing dozens of individual material subroutines by a single universal material subroutine that is populated directly via automated model discovery – entirely without human interaction – makes finite element analyses more accessible, more robust, and less vulnerable to human error. This could forever change how we simulate materials and structures. Our source code, data, and examples are available at https://github.com/LivingMatterLab.","Automated model discovery; Constitutive modeling; Constitutive neural networks; Hyperelasticity; Material subroutine","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-17","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:b551f1a3-ce70-4680-a69c-c9f19ab32361","http://resolver.tudelft.nl/uuid:b551f1a3-ce70-4680-a69c-c9f19ab32361","An advanced inverse modeling framework for efficient and flexible adjoint-based history matching of geothermal fields","Tian, X. (TU Delft Reservoir Engineering; Chinese Academy of Sciences); Volkov, Oleg (Stanford University); Voskov, D.V. (TU Delft Reservoir Engineering; Stanford University)","","2024","In this study, we present an efficient and flexible adjoint-based framework for history matching and forecasting geothermal energy extraction at a large scale. In this framework, we applied the Principal Component Analysis to reduce the parameter space for representing the complex geological model. The adjoint method is implemented for gradient calculation to speed up the history-matching iteration process. Operator-based linearization (OBL) used in this framework makes the calculation of the physical state and its derivatives very efficient and facilitates the matrix assembly in the adjoint method. This study primarily focuses on history matching based on combined observation of well production and in-situ electromagnetic measurements to predict the temperature front. However, different types of misfit terms can be added to the objective function based on practical considerations. For example, our history-matching case studies include model misfit terms applied for regularization purposes. The measurement data is extracted from the true model, and realistic measurement errors are considered. Also, in this work, we propose an optimal weighting strategy for the terms of the objective function to balance their sensitivity with respect to the model control variables. The high efficiency of the framework is demonstrated for the geothermal doublet model implemented at the heterogeneous reservoir with multiple realizations. The framework allows for generating posterior Randomized Maximum Likelihood (RML) estimates of the entire ensemble of the realizations with a reasonable computational cost. Results show that the framework can achieve reliable history-matching results based on the doublets production data and the reservoir electromagnetic measurement.","Adjoint method; DARTS; Geothermal energy; History matching; Principal Component Analysis","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:aa9977c0-85d9-451b-9b1c-0337c67d9236","http://resolver.tudelft.nl/uuid:aa9977c0-85d9-451b-9b1c-0337c67d9236","Frankenstein: fast and lightweight call graph generation for software builds","Keshani, M. (TU Delft Software Engineering); Gousios, G. (TU Delft Software Technology; Endor Labs); Proksch, S. (TU Delft Software Engineering)","","2024","Call Graphs are a rich data source and form the foundation for advanced static analyses that can, for example, detect security vulnerabilities or dead code. This information is invaluable when it is immediately available, such as in the output of a build system. Call Graph generation is a whole-program analysis: not just the application, but also all its dependencies are processed together. Recent work has shown that even advanced static analyses can use summarization techniques to substantially improve runtime; however, existing analyses focus on soundness, and as such remain very expensive. When executed in the build system, which typically has limited resources, even powerful servers suffer from slow build times, rendering these analyses impractical in today’s fast-paced development. In this paper, we aim to strike a balance between improving static analyses while remaining practical for use cases that require quick results in low-resource environments. We propose a summarization-based implementation of a Class-Hierarchy Analysis algorithm for call graph generation of Java programs. Our approach leverages the fact that dependency sets often do not change between builds: we can generate call graphs for these dependencies, cache their generation for subsequent builds, and using a novel stitching algorithm, Frankenstein, merge all partial results into a complete call graph for the whole program. Our evaluation results show that this lightweight approach can substantially outperform existing frameworks. In terms of speed improvements, Frankenstein surpasses the baselines by up to 38%, requiring an average of just 388 Megabytes of memory. This makes the proposed approach practical for build systems with limited memory resources. Despite these optimizations, our generated call graphs maintain a near-identical set of edges when compared to the baselines, achieving an F 1 score of up to 0.98. This summarization-based approach for call graph generation paves the way for using extended static analyses in build processes.","Build systems; Call graph generation; Software ecosystems; Software engineering in practice","en","journal article","","","","","","","","","","Software Technology","Software Engineering","","",""
"uuid:fa5c7391-b1d5-40c6-b78c-10e95d80c2f4","http://resolver.tudelft.nl/uuid:fa5c7391-b1d5-40c6-b78c-10e95d80c2f4","One setup for many experiments: enabling versatile student-led investigations","Pols, C.F.J. (TU Delft ImPhys/Docenten; TU Delft Science Education and Communication)","","2024","This article presents an experimental setup capable of conducting various experiments. The setup is used to accurately determine the acceleration due to gravity using either the pendulum or free fall experiment, as well as to allow students to conceive and conduct their own experiment. We discuss the design of the setup and the experiments conducted with it, highlighting the versatility and potential use for open inquiry. We include students’ perception on this particular experiment and how it led to an interesting and educational open inquiry.","acceleration of gravity; free fall; introductory lab course; lab course; open inquiry; pendulum; practical work","en","journal article","","","","","","","","","","","ImPhys/Docenten","","",""
"uuid:efbe4f90-036f-4c3d-a2aa-6fadd06e804a","http://resolver.tudelft.nl/uuid:efbe4f90-036f-4c3d-a2aa-6fadd06e804a","Low- and high-temperature heat capacity of metallic technetium","Zappey, J.N. (TU Delft RST/Reactor Physics and Nuclear Materials; European Commission Joint Research Centre); Moore, E. E. (European Commission Joint Research Centre; Lawrence Livermore National Laboratory); Beneš, O. (European Commission Joint Research Centre); Griveau, J. C. (European Commission Joint Research Centre); Konings, R. (TU Delft EMSD AS-south Project technicians; European Commission Joint Research Centre)","","2024","The heat capacity of technetium metal has been measured from 2.1 K to 293 K using relaxation calorimetry and the enthalpy increment up to 1700 K using drop calorimetry. The low-temperature calorimetry measurements revealed a superconducting transition temperature of TC = (7.76 ± 0.08) K. The zero-degree Debye temperature(θE) and the electronic heat capacity coefficient (γe) of the normal state were derived as (307 ± 5) K and (4.22 ± 0.20) mJ·K−2·mol−1, respectively. The standard entropy of the superconducting standard state was derived as Sm° (298.15) = (36.8 ± 1.3) J·K−1·mol−1. The fitting of enthalpy-increment data together with high-temperature heat capacity data reported in literature yielded a heat capacity equation up to 1700 K.","Calorimetry; Enthalpy; Fission product; Heat capacity; Technetium","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-30","","","RST/Reactor Physics and Nuclear Materials","","",""
"uuid:72cb8cc8-1229-4c81-b388-173cd57c5741","http://resolver.tudelft.nl/uuid:72cb8cc8-1229-4c81-b388-173cd57c5741","Tuning stability of titania-supported Fischer-Tropsch catalysts: Impact of surface area and noble metal promotion","van Koppen, L.M. (TU Delft RST/Fundamental Aspects of Materials and Energy; Eindhoven University of Technology); Dugulan, A.I. (TU Delft RST/Fundamental Aspects of Materials and Energy; TU Delft RID/TS/Instrumenten groep); Hensen, Emiel J.M. (Eindhoven University of Technology); Bezemer, G. Leendert (Shell Global Solutions International B.V.)","","2024","Cobalt oxidation is a relevant deactivation pathway of titania-supported cobalt catalysts used in Fischer-Tropsch synthesis (FTS). To work towards more stable catalysts, we studied the effect of the surface area of the titania support and noble metal promotion on cobalt oxidation under simulated high conversion conditions. Mössbauer spectroscopy was used to follow the evolution of cobalt during reduction and FTS operation as a function of the steam pressure. The reduction of the oxidic cobalt precursor becomes more difficult due to stronger metal-support interactions when the titania surface area is increased. The reducibility was so low for cobalt on GP350 titania (surface area 283 m2/g) that the catalytical activity was negligible. Although cobalt was more difficult to reduce on P90 titania (94 m2/g) than on commonly used P25 titania (50 m2/g), the Co/P90 catalyst showed increased resistance against cobalt sintering and higher FTS performance than Co/P25. The addition of platinum to Co/P90 led to a higher reduction degree of cobalt and a higher cobalt dispersion, representing a catalyst with promising performance at relatively low steam pressure. Nevertheless, the stronger cobalt-titania interactions result in more extensive deactivation at high steam pressure due to oxidation.","Cobalt; Deactivation; Fischer-Tropsch synthesis; Mössbauer spectroscopy, SMSI; Oxidation","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:6141e039-caf8-4825-ac12-107450c64c9e","http://resolver.tudelft.nl/uuid:6141e039-caf8-4825-ac12-107450c64c9e","Ultrafiltration (UF) and biological oxygen-dosed activated carbon (BODAC) filtration to prevent fouling of reversed osmosis (RO) membranes: A mass balance analysis","Ribeiro Pinela, Sara (Wetsus, Centre for Sustainable Water Technology; Wageningen University & Research); Larasati, Amanda (Wetsus, Centre for Sustainable Water Technology); Meulepas, Roel J.W. (Wetsus, Centre for Sustainable Water Technology); Gagliano, Maria Cristina (Wetsus, Centre for Sustainable Water Technology); Kleerebezem, R. (TU Delft BT/Environmental Biotechnology); Bruning, Harry (Wageningen University & Research); Rijnaarts, Huub H.M. (Wageningen University & Research)","","2024","(Bio)fouling is the most common problem in membrane processes used in water production. It is also a reported unavoidable problem, with mitigation strategies being frequently ineffective in addressing this problem. An ultrapure water plant (UPW) in Emmen (The Netherlands), fed with secondary effluent, comprises the following subsequent treatment steps: ultrafiltration (UF), biological oxygen-dosed activated carbon (BODAC) filtration and reverse osmosis (RO). The BODAC filters were designed to prevent fouling in RO membranes, and for ten years, they have been operated without significant fouling issues. The present work aims to provide insight into the role of the full-scale UF + BODAC in fouling prevention, by conducting a mass balance (MB) analysis to assess the removal/release of common fouling precursors. Positive MB results were noticed for particulate organic compounds, iron (Fe) and manganese (Mn) meaning their constant removal in the UF + BODAC. The UF + BODAC was shown to be an effective nitrification system, effectively converting all the ammonium and nitrite to nitrate. In conclusion, the combined removal of organics, Fe, and Mn species and nitrification by UF + BODAC is most likely an important factor in downstream fouling prevention, making this system an attractive process for fouling prevention. Nevertheless, further investigations to discover the mechanisms involved are needed.","Biological oxygen-dosed activated carbon; Fouling precursors; Fouling prevention; Mass balance; Ultrafiltration","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:8ebfa298-5734-45f5-b5d0-60d9f45b7352","http://resolver.tudelft.nl/uuid:8ebfa298-5734-45f5-b5d0-60d9f45b7352","Monte Carlo convergence rates for kth moments in Banach spaces","Kirchner, K. (TU Delft Analysis); Schwab, Christoph (ETH Zürich)","","2024","We formulate standard and multilevel Monte Carlo methods for the kth moment Mεk[ξ] of a Banach space valued random variable ξ:Ω→E, interpreted as an element of the k-fold injective tensor product space ⊗εkE. For the standard Monte Carlo estimator of Mεk[ξ], we prove the k-independent convergence rate [Formula presented] in the Lq(Ω;⊗εkE)-norm, provided that (i) ξ∈Lkq(Ω;E) and (ii) q∈[p,∞), where p∈[1,2] is the Rademacher type of E. By using the fact that Rademacher averages are dominated by Gaussian sums combined with a version of Slepian's inequality for Gaussian processes due to Fernique, we moreover derive corresponding results for multilevel Monte Carlo methods, including a rigorous error estimate in the Lq(Ω;⊗εkE)-norm and the optimization of the computational cost for a given accuracy. Whenever the type of the Banach space E is p=2, our findings coincide with known results for Hilbert space valued random variables. We illustrate the abstract results by three model problems: second-order elliptic PDEs with random forcing or random coefficient, and stochastic evolution equations. In these cases, the solution processes naturally take values in non-Hilbertian Banach spaces. Further applications, where physical modeling constraints impose a setting in Banach spaces of type p<2, are indicated.","Injective tensor product; Multilevel Monte Carlo; Rademacher averages; Type of Banach space","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:90d516ce-efcc-4c71-bdcb-de885c7d1a72","http://resolver.tudelft.nl/uuid:90d516ce-efcc-4c71-bdcb-de885c7d1a72","DESCAN: Censorship-resistant indexing and search for Web3","de Vos, M.A. (TU Delft Data-Intensive Systems); Ishmaev, G. (TU Delft Data-Intensive Systems); Pouwelse, J.A. (TU Delft Data-Intensive Systems)","","2024","The popularity of blockchain technology has bootstrapped many “Web3” applications, e.g., Ethereum and IPFS, that apply distributed ledger technology to store transactions. The amount of transactions generated and stored in such Web3 applications is significant and, in its raw form, usually not searchable by users. Existing Web3 transaction indexing and search engines are predominantly centralized and, therefore, can manipulate search results or censor particular queries. With the proliferation of Web3 transactions and applications, a decentralized and censorship-resistant search primitive is becoming essential. We present DESCAN, a decentralized and censorship-resistant indexing and search engine for Web3. Users index their local Web3 transactions using custom rules that output triplets. Generated triplets are bundled in a distributed transaction graph that is searchable by other users. To coordinate search and distribute the storage of the transaction graph over peers in the network, we build upon a Skip Graph (SG) data structure. Since the Skip Graph does not provide any resilience against adversarial peers that censor searches, we propose four modifications to improve its robustness. We implement DESCAN and conduct experiments with up to 12 800 peers and 10 million Ethereum transactions. Our experiments show that DESCAN with our modifications enabled can tolerate 20% adversarial peers and 35% unresponsive peers without disruption. Moreover, we find that searches in DESCAN are usually completed well within a second, even when the network grows. Finally, we show that storage and network costs are evenly distributed amongst peers as the network grows.","Blockchain technology; Censorship resistance; Decentralized search; Decentralized systems; Skip graph; Web3","en","journal article","","","","","","","","","","","Data-Intensive Systems","","",""
"uuid:62668ef1-3a3f-4d58-9427-9d7faaab07a8","http://resolver.tudelft.nl/uuid:62668ef1-3a3f-4d58-9427-9d7faaab07a8","Measurement invariance of the driving inattention scale (ARDES) across 7 countries","Castro, Candida (Universidad de Granada); Pablo Doncel, P. (Universidad de Granada); Ledesma, Rubén D. (Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET); Universidad Nacional de Mar del Plata); Montes, Silvana A. (Consejo Nacional de Investigaciones Científicas y Técnicas (CONICET); Universidad Nacional de Mar del Plata); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science); Daniela Barragan, D. (George Mason University); Bianchi, Alessandra (Federal University of Paraná); Kauer, Natalia (Federal University of Paraná); Qu, Weina (Chinese Academy of Sciences); Padilla, Jose Luis (Universidad de Granada)","","2024","The Attention-Related Driving Errors Scale (ARDES) is a self-report measure of individual differences in driving inattention. ARDES was originally developed in Spanish (Argentina), and later adapted to other countries and languages. Evidence supporting the reliability and validity of ARDES scores has been obtained in various different countries. However, no study has been conducted to specifically examine the measurement invariance of ARDES measures across countries, thus limiting their comparability. Can different language versions of ARDES provide comparable measures across countries with different traffic regulations and cultural norms? To what extent might cultural differences prevent researchers from making valid inferences based on ARDES measures? Using Alignment Analysis, the present study assessed the approximate invariance of ARDES measures in seven countries: Argentina (n = 603), Australia (n = 378), Brazil (n = 220), China (n = 308). Spain (n = 310), UK (n = 298), and USA (n = 278). The three-factor structure of ARDES scores (differentiating driving errors occurring at Navigation, Manoeuvring and Control levels) was used as the target theoretical model. A fixed alignment analysis was conducted to examine approximate measurement invariance. 12.3 % of the intercepts and 0.8 % of the item-factor loadings were identified as non-invariant, averaging 8.6 % of non-invariance. Despite substantial differences among the countries, sample recruitment or representativeness, study results support resorting to ARDES measures to make comparisons across the country samples. Thus, the range of cultures, laws and collision risk across these 7 countries provides a demanding assessment for a cultural-free inattention while-driving. The alignment analysis results suggest that ARDES measures reach near equivalence among the countries in the study. We hope this study will serve as a basis for future cross-cultural research on driving inattention using ARDES.","Control errors; Distracted driver; Manoeuvring errors; Navigation errors; Planning errors; Proneness to distraction","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:6a421442-5f22-4c98-bc0f-e1dab5dff032","http://resolver.tudelft.nl/uuid:6a421442-5f22-4c98-bc0f-e1dab5dff032","Bringing Ecological Urbanism and Urban Political Ecology to transformative visions of water sensitivity in cities","Hädrich Silva, R. (TU Delft Urban Design); Zwarteveen, Margreet (IHE Delft Institute for Water Education); Stead, D. (TU Delft Spatial Planning and Strategy; Aalto University); Kuzniecow Bacchin, T. (TU Delft Urban Design)","","2024","Ecological Urbanism and Water Sensitive Urban Design have a central contribution to make in protecting and caring for people, nature and water in cities but readings of Urban Political Ecology evidence how ecological metaphors in urban design can easily translate into discriminatory urban development processes. This paper posits that for UPE to become meaningful for urban design practice, it is necessary to move beyond a critique. Instead, the insights of UPE should be pro-actively mobilized to develop a new vision of water sensitivity. The paper therefore identifies ways in which the key learnings of the critical social sciences, namely UPE, can be mobilized to support Water Sensitive Urban Design practice. How can ecological urbanists imagine new, more politically astute, forms of water sensitive living, charting design processes that not just recognize but also actively question and challenge uneven socio-ecological dynamics? In answering this question, the goal of this article is to make use of critique from UPE to influence Ecological Urbanists' goals and activate their political alignment with agendas that prioritize social equity. In imagining a new form of WSUD, we tried as much as possible not to over-instrumentalize UPE by rejecting the suggestion that some UPE ‘lessons’ or ‘insights’ could simply be inserted into ecological urbanism. On a different direction, we argue for a different emphasis in WSUD that does not deny the causes of current environmental degradation, pollution and depletion but, on the contrary, actively takes issue with and challenges the extractive and exploitative roots of contemporary urbanization processes.","Ecological Urbanism; Social justice; Urban ecology; Urban Political Ecology; Water Sensitive Urban Design","en","journal article","","","","","","","","","","","Urban Design","","",""
"uuid:e311e1fe-f222-4dbb-92e3-8cbf1ae9f751","http://resolver.tudelft.nl/uuid:e311e1fe-f222-4dbb-92e3-8cbf1ae9f751","Effect of microporous layer structural parameters on heat and mass transfer in proton exchange membrane fuel cells","Zhang, Zhenya (Zhengzhou University of Light Industry); Mao, Jia (Zhengzhou University of Light Industry); Wei, Houyu (Zhengzhou University of Light Industry); Cheng, Chuanxiao (Zhengzhou University of Light Industry); Liu, Zhengxuan (TU Delft Design & Construction Management)","","2024","Proton exchange membrane fuel cells offer promising clean energy solutions for various applications. However, their performance relies heavily on the properties of the microporous layer, which plays a crucial role in transporting and distributing the components in the fuel cell. To date, the potential for optimising the microporous layer material structural parameters to enhance the fuel cell performance remains largely unexplored. This study aims to fill this research gap by conducting a comprehensive investigation of the effects of different microporous layer material structural parameters on the heat and mass transfer in the membrane electrode assembly. MATLAB was used for optimising the performance of the fuel cell components. The results show that increasing the microporous layer thickness from 5 to 50 μm significantly affects the species transport, leading to a substantial reduction in the molar fraction of H2 and O2 at the electrochemical reaction sites. Furthermore, the distribution of the liquid water saturation inside the fuel cell is influenced by the porosity and permeability of the microporous layer. By increasing the porosity from 0.3 to 0.6, the liquid water saturation at the interface of the catalyst layer and microporous layer decreases by 0.52 % and 1.12 % at output voltages of 0.5 V and 0.7 V, respectively. This reduction enhances the efficiency of internal water transport. Moreover, reducing the permeability of the microporous layer from 2 × 10-12 to 1 × 10-13 at 0.5 V and 0.7 V leads to an increase in liquid water saturation at the interface of the proton exchange membrane and the catalyst layer by 1.49 % and 0.74 %, respectively, causing hindrance to the transport of internal liquid water. This study provides valuable insights into the interplay between the properties of the microporous layer material properties and heat and mass transfer characteristics in proton exchange membrane fuel cell.","Fuel cell efficiency; Heat and mass transfer; Microporous layer; Performance optimization; Proton exchange membrane fuel cells","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:35e85fa6-9f60-4c22-9253-495b2baeb0f9","http://resolver.tudelft.nl/uuid:35e85fa6-9f60-4c22-9253-495b2baeb0f9","First - Principles study of hydrogen - Carbide interaction in bcc Fe","Sagar, S. (TU Delft Team Poulumi Dey); Sluiter, M.H.F. (TU Delft Team Marcel Sluiter); Dey, P. (TU Delft Team Poulumi Dey)","","2024","Rapid developments in the field of hydrogen energy have prompted the need for safe and efficient hydrogen transportation and storage. Steels form the backbone of the current energy infrastructure and thus offer a fast and cost-effective solution. Their excellent mechanical properties are attributed to the underlying microstructure which comprises of finely dispersed nano-precipitates. However, one major factor restricting their application is their susceptibility to Hydrogen Embrittlement (HE). In the past decade, experimental and theoretical works have been carried out to understand if the nano-sized carbides can aid in reducing the susceptibility to HE along with providing strengthening. Within this ab-inito study, we investigated the effectiveness of fully coherent nano-carbides (i.e. TiC, VC and NbC) to limit the diffusible hydrogen content in bcc Fe. Our study revealed that the interplay between hydrogen and carbon vacancies, local atomic environment at interface as well as elastic strain fields at the interface can lead to significantly increased hydrogen solubilities. While in TiC, the deepest traps were found to be in the bulk of carbides, in VC and NbC, the elastic strain fields around the interface led to the strongest trapping. Further, the formation of a two-hydrogen-vacancy complex was found to be favourable in VC. Finally, the migration barriers for hydrogen trapping in bulk TiC as well as across the Fe/TiC coherent interface indicate that these deep traps in the form of carbon vacancies are fairly accessible.","Density functional theory; Hydrogen embrittlement; Hydrogen trapping; Migration barriers; Transition metal carbides","en","journal article","","","","","","","","","","","Team Poulumi Dey","","",""
"uuid:6c51424d-93f1-445e-ba94-6640116ec968","http://resolver.tudelft.nl/uuid:6c51424d-93f1-445e-ba94-6640116ec968","Topology optimization of differentiable microstructures","Zhai, X. (TU Delft Materials and Manufacturing; University of Science and Technology of China); Wang, Weiming (The University of Manchester); Chen, Falai (University of Science and Technology of China); Wu, J. (TU Delft Materials and Manufacturing)","","2024","Recent years have seen a growing interest in topology optimization of functionally graded microstructures, characterized by an array of microstructures with varying volume fractions. However, microstructures optimized at slightly different volume fractions do not necessarily connect well when placed adjacently. Furthermore, optimization is commonly performed on a finite set of volume fractions, limiting the number of microstructure configurations. In this paper, we introduce the concept of differentiable microstructures, which are parameterized microstructures that exhibit continuous variations in both geometry and mechanical properties. To construct such microstructures, we propose a novel formulation for topology optimization. In this approach, a series of 2-dimensional microstructures is represented using a height field, and the objective is to maximize the bulk modulus of the entire series. Through this optimization process, an initial microstructure with a small volume fraction undergoes non-uniform transformations, generating a series of microstructures with progressively increasing volume fractions. Notably, when compared to traditional uniform morphing methods, our proposed optimization approach yields a series of microstructures with bulk moduli that closely approach the theoretical limit.","Functionally graded microstructures; Inverse homogenization; Topology optimization","en","journal article","","","","","","","","","","","Materials and Manufacturing","","",""
"uuid:65ffe8a9-4bed-47d4-a021-9e1d85f3c564","http://resolver.tudelft.nl/uuid:65ffe8a9-4bed-47d4-a021-9e1d85f3c564","High dielectric filler for all-solid-state lithium metal battery","Wang, C. (TU Delft RST/Storage of Electrochemical Energy; The Hong Kong Polytechnic University); Liu, M. (TU Delft RST/Storage of Electrochemical Energy); Bannenberg, L.J. (TU Delft RID/TS/Instrumenten groep); Zhao, C. (TU Delft RST/Storage of Electrochemical Energy); Thijs, M.A. (TU Delft RID/TS/Technici Pool); Boshuizen, B. (TU Delft ChemE/O&O groep); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2024","Lithium metal with its high theoretical capacity and low negative potential is considered one of the most important candidates to raise the energy density of all-solid-state batteries. However, lithium filament growth and its induced solid electrolyte decomposition pose severe challenges to realize a long cycle life. Here, dendrite growth in solid-state Li metal batteries is alleviated by introducing a high dielectric material, barium titanate, as a filler that removes the electric field gradients that catalyze dendrite formation. In symmetrical Li-metal cells, this results in a very small over-potential of only 48 mV at a relatively high current density of 1 mA cm−2, when cycling a capacity of 2 mA h cm−2 during 1700 h. The high dielectric filler improves the Coulombic efficiency and cycle life of full cells and suppresses electrolyte decomposition as indicated by solid-state nuclear magnetic resonance (NMR) and X-ray photoelectron spectroscopy (XPS) measurements. This indicates that the high dielectric filler can suppress dendrite formation, thereby reducing solid electrolyte decomposition reactions, resulting in the observed low overpotentials and improved cycling efficiency.","All-solid-state batteries; Dendrite-free; Electrolyte decomposition; High dielectric filler; Li-metal anode","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:2a32c9c1-f2df-4127-986a-766cc7c2af98","http://resolver.tudelft.nl/uuid:2a32c9c1-f2df-4127-986a-766cc7c2af98","Similarity learning hidden semi-Markov model for adaptive prognostics of composite structures","Eleftheroglou, N. (TU Delft Structural Integrity & Composites; University of Patras); Galanopoulos, Georgios (University of Patras); Loutas, Theodoros (University of Patras)","","2024","Data-driven methodologies have found increasing usage in the last decade for remaining useful life (RUL) prognostics of composite materials utilizing structural health monitoring (SHM) data. Of particular interest is the reliable RUL prediction in cases where the end-of-life is not in between the extreme values within the testing dataset. For example, when unexpected phenomena that severely compromise the structural integrity occur during the service life. Such cases are often referred as outliers and the RUL prognosis based on a data-driven model that learns from past data is often erroneous. This study addresses this challenge by proposing a new stochastic model; the Similarity Learning Hidden Semi Markov Model (SLHSMM), an extension of the Non-Homogenous Hidden Semi Markov Model (NHHSMM). Through the utilization of a nonparametric discrete distribution, which characterizes the similarity between the testing structure and the training structures, a dynamic re-estimation process is employed. This process assigns higher importance to the training structures that display greater similarity to the testing one. As a result, the estimated parameters effectively capture the specific characteristics of the testing structure. The training and testing SHM data sets consist of strain measurements collected from a case study where carbon–epoxy single-stringered panels, are subjected to constant, variable, and random amplitude fatigue loading until failure. RUL estimations from the SLHSMM, the NHHSMM, and the Gaussian Process Regression (GPR) are compared. The SLHSMM clearly outperforms its classical counterpart and GPR providing more accurate outlier and inlier prognostics, demonstrating its capability to adapt to unexpected phenomena and integrate unforeseen data into a prognostic platform.","Adaptive prognostics; Composites; HSMM; Markov models; PHM; Prognostics; Remaining useful life","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:b301a6df-f13c-4839-a2b8-41199578a77e","http://resolver.tudelft.nl/uuid:b301a6df-f13c-4839-a2b8-41199578a77e","The relation between proximity to and characteristics of green spaces to physical activity and health: A multi-dimensional sensitivity analysis in four European cities","Cardinali, M. (TU Delft Heritage & Architecture; OWL, University of Applied Sciences and Arts); Beenackers, Mariëlle A. (Erasmus MC); van Timmeren, A. (TU Delft Environmental Technology and Design); Pottgiesser, U. (TU Delft Heritage & Architecture; OWL, University of Applied Sciences and Arts)","","2024","Introduction: Non-communicable diseases are the global disease burden of our time, with physical inactivity identified as one major risk factor. Green spaces are associated with increased physical activity of nearby residents. But there are still gaps in understanding which proximity and what characteristics of green spaces can trigger physical activity. This study aims to unveil these differences with a rigorous sensitivity analysis. Methods: We gathered data on self-reported health and physical activity from 1365 participants in selected neighbourhoods in Porto, Nantes, Sofia, and Høje-Taastrup. Spatial data were retrieved from OpenStreetMap. We followed the PRIGSHARE guidelines to control for bias. Around the residential addresses, we generated seven different green space indicators for 15 distances (100–1500 m) using the AID-PRIGSHARE tool. We then analysed each of these 105 green space indicators together with physical activity and health in 105 adjusted structural equation models. Results: Green space accessibility and green space uses indicators showed a pattern of significant positive associations to physical activity and indirect to health at distances of 1100 m or less, with a peak at 600 m for most indicators. Greenness in close proximity (100 m) had significant positive effects on physical activity and indirect effects on health. Surrounding greenness showed positive direct effects on health at 500–1100 m and so do green corridors in 800 m network distance. In contrast, a high quantity of green space uses, and surrounding greenness measured in a larger radius (1100–1500 m) showed a negative relationship with physical activity and indirect health effects. Conclusions: Our results provide insight into how green space characteristics can influence health at different scales, with important implications for urban planners on how to integrate accessible green spaces into urban structures and public health decision-makers on the ability of green spaces to combat physical inactivity.","Behaviour; Greenspace; Mediator; Public health; Sedentary lifestyle","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:355aa0ba-550c-4b66-b2c0-f6eaaf3dac74","http://resolver.tudelft.nl/uuid:355aa0ba-550c-4b66-b2c0-f6eaaf3dac74","Antioxidant-driven activity and stability enhancement in multiphase bicarbonate hydrogenation catalysis with a Ru-PNP pincer complex","Hirano, Makoto (Nitto Denko Corporation); Wada, Kazuhito (Nitto Denko Corporation); Matsuda, Hirokazu (Nitto Denko Corporation); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering)","","2024","Herein, we present a practical strategy to enhance the performance of a multiphase system for bicarbonate hydrogenation based on a molecular Ru-PNP pincer catalyst. This study demonstrates that the use of organic antioxidants not only mitigates catalyst degradation but also significantly boosts its intrinsic activity. This enables efficient catalyst recycling at ultra-low concentrations. Systematic screening and optimization of a range of organic antioxidants has identified TDTBP (tris(2,4-di-tert-butylphenyl) phosphite) as being exceptionally efficient in stabilizing and enhancing the performance of the Ru-PNP catalyst. With the optimized system an unprecedented integral turnover frequency (TOF) of 115,000 h−1 and a total turnover number (TTON) of 9.43×106 across four recycling tests were demonstrated, conducted at a reaction temperature of 90 ºC and H2 pressure of 50 bar. These findings represent a substantial advancement in sustainable formate/formic acid production, offering a scalable and highly efficient method suitable for industrial-scale application.","Antioxidant; Bicarbonate hydrogenation; Catalyst Stabilization; Multiphase system; Pincer complexes","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:a1eefa48-1740-4350-a97c-f058a845885a","http://resolver.tudelft.nl/uuid:a1eefa48-1740-4350-a97c-f058a845885a","GRACE and GRACE Follow-On Gravity Observations of Intermediate-Depth Earthquakes Contrasted With Those of Shallow Events","Han, Shin-Chan (The University of Newcastle, Australia); Sauber, Jeanne (NASA Goddard Space Flight Center); Broerse, D.B.T. (TU Delft Physical and Space Geodesy); Pollitz, Fred (U.S. Geological Survey); Okal, Emile (Northwestern University); Jeon, Taehwan (Seoul National University); Seo, Ki Weon (Seoul National University); Stanaway, Richard (Papua New Guinea University of Technology)","","2024","Earthquakes involve mass redistribution within the solid Earth and the ocean, and as a result, perturb the Earth's gravitational field. For most of the shallow (<60 km) earthquakes with Mw > 8.0, the GRACE satellite gravity measurements suggest considerable volumetric disturbance of rocks. At a spatial scale of hundreds of km, the effect of volumetric change exceeds gravity change by vertical deformation; for example, negative gravity anomalies associated with volumetric expansion are characteristic patterns after shallow thrust events. In this study, however, we report contrasting observations of gravity change from two intermediate-depth (100–150 km) earthquakes of 2016 & 2017 Mw 8.0 (two combined) Papua New Guinea thrust faulting events and 2019 Mw 8.0 Peru normal faulting and highlight the importance of compressibility in earthquake deformation. The combined 2016/17 thrust events resulted in a positive gravity anomaly of 5–6 microGal around the epicenter, while the 2019 normal faulting produced a negative gravity anomaly of 3–4 microGal. Our modeling found that these gravity changes are manifestation of vertical deformation with limited volumetric change, distinct from gravity changes after the shallow earthquakes. The stronger resistance of rocks to volume change at intermediate-depth results in largely incompressible deformation and thus in a gravity change dominated by vertical deformation. In addition, malleable rocks under high pressure and temperature at depth facilitated substantial afterslip and/or fast viscoelastic relaxation causing additional vertical deformation and gravity change equivalent to the coseismic change. For the Papua New Guinea events, this means that postseismic relaxation enhanced coseismic uplift and relative sea level decrease.","deformation; earthquakes; GRACE; gravity change; viscoelastic relaxation","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:afb15a01-05a0-4941-8c40-e194516209bd","http://resolver.tudelft.nl/uuid:afb15a01-05a0-4941-8c40-e194516209bd","Interparticle friction in sheared dense suspensions: Comparison of the viscous and frictional rheology descriptions","Peerbooms, W. (TU Delft Multi Phase Systems); Nadorp, Tim (Student TU Delft); van der Heijden, A.E.D.M. (TU Delft Complex Fluid Processing; TNO); Breugem, W.P. (TU Delft Multi Phase Systems)","","2024","In the literature, two different frameworks exist for describing the rheology of solid/liquid suspensions: (1) the “viscous” framework in terms of the relative suspension viscosity, ηr, as a function of the reduced solid volume fraction, f=fm, with fm the maximum flowable packing fraction, and (2) the “frictional” framework in terms of a macroscopic friction coefficient, μ, as a function of the viscous number, Iv, defined as the ratio of the viscous shear to the wall-normal particle stress. Our goal is to compare the two different frameworks, focusing on the effect of friction between particles. We have conducted a particle-resolved direct numerical simulation study of a dense non-Brownian suspension of neutrally buoyant spheres in slow plane Couette flow. We varied the bulk solid volume fraction from fb ¼ 0:1 to 0.6 and considered three different Coulomb friction coefficients: μc ¼ 0, 0.2, and 0.39. We find that ηr scales well with f=fm, with fm obtained from fitting the Maron–Pierce correlation. We also find that μ scales well with Iv. Furthermore, we find a monotonic relation between f=fm and Iv, which depends only weakly on μc. Since ηr ¼ μ=Iv, we thus find that the two frameworks are largely equivalent and that both account implicitly for Coulomb friction. However, we find that the normal particle stress differences, N1 and N2, when normalized with the total shear stress and plotted against either f=fm or Iv, remain explicitly dependent on μc in a manner that is not yet fully understood.","","en","journal article","","","","","","","","","","","Multi Phase Systems","","",""
"uuid:2a454ba8-3134-417a-83a4-a665772c9a69","http://resolver.tudelft.nl/uuid:2a454ba8-3134-417a-83a4-a665772c9a69","Spatial lipidomics of coronary atherosclerotic plaque development in a familial hypercholesterolemia swine model","Slijkhuis, Nuria (Erasmus MC); Razzi, F. (TU Delft ChemE/Product and Process Engineering; Erasmus MC); Korteland, Suze Anne (Erasmus MC); Heijs, Bram (Leiden University Medical Center); van Gaalen, Kim (Erasmus MC); Duncker, Dirk J. (Erasmus MC); van der Steen, A.F.W. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verweij group; Erasmus MC; Chinese Academy of Sciences); van Steijn, V. (TU Delft ChemE/Product and Process Engineering); van Beusekom, Heleen M.M. (Erasmus MC); van Soest, G. (TU Delft Biomechanical Engineering; Massachusetts General Hospital; Erasmus MC)","","2024","Coronary atherosclerosis is caused by plaque build-up, with lipids playing a pivotal role in its progression. However, lipid composition and distribution within coronary atherosclerosis remain unknown. This study aims to characterize lipids and investigate differences in lipid composition across disease stages to aid in the understanding of disease progression. Matrix-assisted laser desorption/ionization mass spectrometry imaging (MALDI-MSI) was used to visualize lipid distributions in coronary artery sections (n ¼ 17) from hypercholesterolemic swine. We performed histology on consecutive sections to classify the artery segments and to investigate colocalization between lipids and histological regions of interest in advanced plaque, including necrotic core and inflammatory cells. Segments were classified as healthy (n ¼ 6), mild (n ¼ 6), and advanced disease (n ¼ 5) artery segments. Multivariate data analysis was employed to find differences in lipid composition between the segment types, and the lipids' spatial distribution was investigated using non-negative matrix factorization (NMF). Through this process, MALDI-MSI detected 473 lipid-related features. NMF clustering described three components in positive ionization mode: triacylglycerides (TAG), phosphatidylcholines (PC), and cholesterol species. In negative ionization mode, two components were identified: one driven by phosphatidylinositol(PI)(38:4), and one driven by ceramidephosphoethanolamine(36:1). Multivariate data analysis showed the association between advanced disease and specific lipid signatures like PC(O-40:5) and cholesterylester(CE)(18:2). Ether-linked phospholipids and LysoPC species were found to colocalize with necrotic core, and mostly CE, ceramide, and PI species colocalized with inflammatory cells. This study, therefore, uncovers distinct lipid signatures correlated with plaque development and their colocalization with necrotic core and inflammatory cells, enhancing our understanding of coronary atherosclerosis progression.","Atherosclerosis; dyslipidemias; familial hypercholesterolemia; histology; inflammation; lipids; lipids/chemistry; mass spectrometry imaging; plaque progression; vascular biology","en","journal article","","","","","","","","","","Biomechanical Engineering","ChemE/Product and Process Engineering","","",""
"uuid:8fe6849e-b95d-44ba-a03b-217552275d30","http://resolver.tudelft.nl/uuid:8fe6849e-b95d-44ba-a03b-217552275d30","Identification of an overactuated deformable mirror system with unmeasured outputs","Tacx, Paul (Eindhoven University of Technology); Habraken, Roel (Eindhoven University of Technology; TNO); Witvoet, Gert (Eindhoven University of Technology; TNO); Heertjes, Marcel (Eindhoven University of Technology; ASML); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2024","Next-generation deformable mirrors are envisaged to exhibit low-frequency flexible dynamics and to contain a large number of spatially distributed actuators due to increasingly stringent performance requirements. The increasingly complex system characteristics necessitate identifying the flexible dynamic behavior for design validation and next-generation control. The aim of this paper is to develop a unified approach for the identification of mechanical systems with a large number of spatially distributed actuators and a limited number of sensors. A frequency domain-based approach using local modeling techniques is developed. The modal modeling framework is employed to analyze the design and create outputs that were not measured. The proposed approach is applied to an experimental deformable mirror case study that illustrates the effectiveness of the proposed approach.","Adaptive optics; Local parametric modeling; Mechanical models; Mechatronics; Modal analysis; Motion control","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:93536dca-ae2c-483a-be8f-03616d14bc71","http://resolver.tudelft.nl/uuid:93536dca-ae2c-483a-be8f-03616d14bc71","Peanut shaped auxetic cementitious cellular composite (ACCC)","Xie, J. (TU Delft Materials and Environment); Xu, Y. (TU Delft Materials and Environment); Meng, Z. (TU Delft Materials and Environment); Liang, M. (TU Delft Materials and Environment); Wan, Z. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2024","Auxetic cementitious cellular composites (ACCCs) exhibit desirable mechanical properties (e.g., high fracture resistance and energy dissipation), due to their unique deformation characteristics. In this study, a new type of cementitious auxetic material, referred to as peanut shaped ACCC, has been designed and subsequently architected using additive manufacturing techniques. Two peanut shaped ACCCs specimens with different pseudo-minor axes have been tested under uniaxial compression with Digital Image Correlation (DIC) to assess their compressive behavior, peak strength, Poisson's ratio, and energy dissipation capacity. Additionally, cyclic tests were conducted to investigate their compressive resilience properties, further elucidated through microstructural analysis using a digital optical microscope. The mechanical test results were also compared with those of previously developed elliptical-shaped ACCCs. Furthermore, a numerical model was used to simulate the mechanical behavior of peanut shaped ACCCs under uniaxial compression, and showed a good agreement with the experimental data. The auxetic behavior observed in peanut shaped ACCCs arises from the rotation of sections facilitated by fiber bridging at the ligament of adjacent holes within the cementitious unit cell. In comparison to elliptical-shaped ACCCs, peanut shaped ACCCs can exhibit a slightly more negative Poisson's ratio and mitigate stress concentration. The reduction of stress concentration enables peanut shaped ACCCs to dissipate substantial energy, showcasing enhanced ductility and toughness. In cyclic tests, peanut shaped ACCCs exhibit superior recoverable deformation elasticity, attributed to robust fiber bridging capacity. The exceptional mechanical properties exhibited by peanut shaped ACCCs offer a scalable solution for developing energy-absorbent and multifunctional cementitious materials for smart infrastructure.","Additive manufacturing; Auxetic cementitious cellular composites; CDP model; Compressive resilience; Cyclic test; Energy dissipation","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:765c3f37-44fe-4247-9a28-50645ac03819","http://resolver.tudelft.nl/uuid:765c3f37-44fe-4247-9a28-50645ac03819","Fair resource allocation in virtualized O-RAN platforms","Aslan, F.A. (TU Delft Networked Systems); Iosifidis, G. (TU Delft Networked Systems); Ayala-Romero, Jose A. (NEC Laboratories Europe); Garcia-Saavedra, Andres (NEC Laboratories Europe); Costa-Perez, Xavier (NEC Laboratories Europe; ICREA)","","2024","O-RAN systems and their deployment in virtualized general-purpose computing platforms (O-Cloud) constitute a paradigm shift expected to bring unprecedented performance gains. However, these architectures raise new implementation challenges and threaten to worsen the already-high energy consumption of mobile networks. This paper presents first a series of experiments which assess the O-Cloud's energy costs and their dependency on the servers' hardware, capacity and data traffic properties which, typically, change over time. Next, it proposes a compute policy for assigning the base station data loads to O-Cloud servers in an energy-efficient fashion; and a radio policy that determines at near-real-Time the minimum transmission block size for each user so as to avoid unnecessary energy costs. The policies balance energy savings with performance, and ensure that both of them are dispersed fairly across the servers and users, respectively. To cater for the unknown and time-varying parameters affecting the policies, we develop a novel online learning framework with fairness guarantees that apply to the entire operation horizon of the system (long-Term fairness). The policies are evaluated using trace-driven simulations and are fully implemented in an O-RAN compatible system where we measure the energy costs and throughput in realistic scenarios.","Energy Efficiency; Fairness; Mobile Networks; O-RAN; Online Learning; Regret; Resource Management","en","journal article","","","","","","","","","","","Networked Systems","","",""
"uuid:bd302b37-4283-419c-840c-22df51deb234","http://resolver.tudelft.nl/uuid:bd302b37-4283-419c-840c-22df51deb234","Who's got my back? Measuring the adoption of an internet-wide BGP RTBH Service","Anghel, R.I. (TU Delft Organisation & Governance); Zhauniarovich, Y. (TU Delft Organisation & Governance); Hernandez Ganan, C. (TU Delft Organisation & Governance)","","2024","Distributed Denial-of-Service (DDoS) attacks continue to threaten the availability of Internet-based services. While countermeasures exist to decrease the impact of these attacks, not all operators have the resources or knowledge to deploy them. Alternatively, anti-DDoS services such as DDoS clearing houses and blackholing have emerged. Unwanted Traffic Removal Service (UTRS), being one of the oldest community-based anti-DDoS services, has become a global free collaborative service that aims at mitigating major DDoS attacks through the Border Gateway Protocol (BGP). Once the BGP session with UTRS is established, UTRS members can advertise part of the prefixes belonging to their AS to UTRS. UTRS will forward them to all other participants, who, in turn, should start blocking traffic to the advertised IP addresses. In this paper, we develop and evaluate a methodology to automatically detect UTRS participation in the wild. To this end, we deploy a measurement infrastructure and devise a methodology to detect UTRS-based traffic blocking. Using this methodology, we conducted a longitudinal analysis of UTRS participants over ten weeks. Our results show that at any point in time, there were 562 participants, including multihomed, stub, transit, and IXP ASes. Moreover, we surveyed 245 network operators to understand why they would (not) join UTRS. Results show that threat and coping appraisal significantly influence the intention to participate in UTRS.","BGP; DDoS; Internet measurements; RTBH; UTRS","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:00e08af7-bdca-4f56-8889-cfa52ee418de","http://resolver.tudelft.nl/uuid:00e08af7-bdca-4f56-8889-cfa52ee418de","Multiple phase rifting and subsequent inversion in the West Netherlands Basin: implications for geothermal reservoir characterization","Weert, Annelotte (Università degli Studi di Napoli Federico II); Ogata, Kei (Università degli Studi di Napoli Federico II); Vinci, Francesco (PanTerra Geoconsultants B.V.); Leo, Coen (Geoleo B.V. Consultancy); Bertotti, G. (TU Delft Applied Geology); Amory, Jerome (PanTerra Geoconsultants B.V.); Tavani, Stefano (Università degli Studi di Napoli Federico II; Consiglio Nazionale delle Ricerche (IGAG-CNR))","","2024","Aiming to contribute to the energy transition, this study provides an integrated picture of the geothermal system hosted in the West Netherlands Basin and shows how the reconstruction of the basin's geological history can contribute to the correct exploration and exploitation of its geothermal resources. In the West Netherlands Basin, the main geothermal targets are found in the Cretaceous and Jurassic strata that were deposited during the rifting and post-rifting stages and were deformed during the subsequent basin inversion. Despite multiple studies on the tectonic setting, the timing and tectono-stratigraphic architecture of the rift system and its overall control on the development and evolution of geothermal systems are still to be fully deciphered. In this study, a detailed seismo-stratigraphic interpretation of the syn- and post-rift intervals in the West Netherlands Basin will be given within the framework of geothermal exploration. A recently released and reprocessed 3D seismic cube is used, covering a large portion of the onshore section of the basin. We identified two major Jurassic rifting episodes and a Late Cretaceous inversion event. During the Jurassic rifting phases, the compartmentalization of the basin and the creation of accommodation space led to the deposition of the Late Jurassic Nieuwerkerk Formation, which is the main regional geothermal producing target. Within this formation, we individuate growth synclines located in the central portions of the Jurassic half-grabens as sites that show good potential for geothermal exploration.","","en","journal article","","","","","","","","","","","Applied Geology","","",""
"uuid:10f466d7-2b4a-45a5-984f-366f41028a15","http://resolver.tudelft.nl/uuid:10f466d7-2b4a-45a5-984f-366f41028a15","Rheology-based wall function approach for wall-bounded turbulent flows of Herschel–Bulkley fluids","Yusufi, B.K. (TU Delft Sanitary Engineering); Kapelan, Z. (TU Delft Sanitary Engineering); Mehta, D. (TU Delft Sanitary Engineering)","","2024","Modeling fully developed turbulent flow for Herschel–Bulkley (HB) fluids in pipes is a long-standing challenge. Existing semi-empirical, theoretical, and numerical methods are either inconsistent with experimental data or are validated for low Reynolds numbers. This study focuses on validating a novel approach using rheology-based wall functions within Reynolds-averaged Navier–Stokes solvers. Simulations of wall shear stress and velocity profiles were conducted across a wide range of Reynolds numbers using a single-phase HB fluid, with measurements taken both upstream and downstream of a 90 pipe bend. Two turbulence closure models, the k–e model and the Reynolds stress model, were employed with the wall function implemented as a specified shear boundary condition. Results demonstrate significant improvements over the Newtonian-based models, such as standard wall function by Launder–Spalding or with available semi-empirical models, achieving strong statistical correlations and minimal deviation (from the experimental findings) at high Reynolds numbers. The study also examines the utility of the wall viscosity Reynolds number and assesses the reliability of semi-empirical models for HB fluids. These findings offer valuable insights for enhancing modeling accuracy in complex fluid flow scenarios, with potential applications spanning industries like mining, chemical processing, petroleum transportation, and sanitation systems, providing practical alternatives to costly experimental procedures in pipe systems.","","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:f75249f5-4680-4546-90eb-3fdc08e4a4d6","http://resolver.tudelft.nl/uuid:f75249f5-4680-4546-90eb-3fdc08e4a4d6","Global dynamics and topology of two-phase mixing layer flow through simultaneous gas and liquid velocity measurements","Della Pia, A. (Università degli Studi di Napoli Federico II; International School for Advanced Studies); Michelis, Theodorus (TU Delft Aerodynamics); Chiatto, Matteo (Università degli Studi di Napoli Federico II); Kotsonis, M. (TU Delft Aerodynamics); De Luca, Luigi (Università degli Studi di Napoli Federico II)","","2024","This study reports the first time-resolved particle image velocimetry characterization of a planar two-phase mixing layer flow, whose velocity field is measured simultaneously in gas and liquid streams. Two parallel air and water flows meet downstream of a splitter plate, giving rise to an initially spanwise invariant configuration. The aim is to elucidate further the mechanisms leading to the flow breakup in gas-assisted atomization. The complete experimental characterization of the velocity field represents a database that could be used in data-driven reduced-order models to investigate the global behaviour of the flow system. After the analysis of a selected reference case, a parametric study of the flow behaviour is performed by varying the liquid and gas Reynolds numbers, and as a consequence also the gas-to-liquid dynamic pressure ratio , shedding light on both time-averaged (mean) and unsteady velocity fields. In the reference case, it is shown that the mean flow exhibits a wake region just downstream of the splitter plate, followed by the development of a mixing layer. By increasing both and, the streamwise extent of the wake decreases and eventually vanishes, the flow resulting in a pure mixing layer regime. The spectral analysis of the normal-to-flow velocity fluctuations outlines different flow regimes by variation of the governing parameters, giving more insights into the global characteristics of the flow field. As a major result, it is found that at high and values, the velocity fluctuations are characterized by low-frequency temporal oscillations synchronized in several locations within the flow field, which suggest the presence of a global mode of instability. The proper orthogonal decomposition of velocity fluctuations, performed in both gas and liquid phases, reveals finally that the synchronized oscillations are associated with a low-frequency dominant flapping mode of the gas-liquid interface. Higher-order modes correspond to interfacial wave structures travelling with the so-called Dimotakis velocity. For lower gas Reynolds numbers, the leading modes describe higher frequency fingers shedding at the interface.","gas/liquid flow; shear waves","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-19","","","Aerodynamics","","",""
"uuid:0b60a8c3-dcfc-4325-b3c8-03b6dea4865c","http://resolver.tudelft.nl/uuid:0b60a8c3-dcfc-4325-b3c8-03b6dea4865c","Geometric effects on impact mitigation in architected auxetic metamaterials","Gärtner, T. (TU Delft Applied Mechanics; TNO); van den Boom, S. J. (TNO); Weerheijm, J. (TU Delft Applied Mechanics); Sluys, Lambertus J. (TU Delft Applied Mechanics)","","2024","Lightweight materials used for impact mitigation must be able to resist impact and absorb the maximum amount of energy from the impactor. Auxetic materials have the potential to achieve high resistance by drawing material into the impact zone and providing higher indentation and shear resistance. However, these materials must be artificially designed, and the large deformation dynamic effects of the created structures must be taken into consideration when deciding on a protection concept. Despite their promise, little attention has been given to understanding the working mechanisms of high-rate and finite deformation effects of architected auxetic lattice structures. This study compares the static and dynamic elastic properties of different auxetic structures with a honeycomb structure, a typical non-auxetic lattice, at equivalent mass and stiffness levels. In this study, we limit the investigation to elastic material behavior and do not consider contact between the beams of the lattices. It is demonstrated that the equivalent static and dynamic properties of individual lattices at an undeformed state are insufficient to explain the variations observed in impact situations. In particular, the initial Poisson's ratio does not determine the ability of a structure to resist impact. To gain a thorough comprehension of the overall behavior of these structures during localized, high rate compression, the evolution of the elastic tangent properties under compression and shear deformation was monitored, leading to a more profound understanding. Observations made in one configuration of stiffness and mass are replicated and analyzed in related configurations.","Architected materials; Elasticity; Geometric nonlinearity; Impact mitigation; Lattice structures","en","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:1200e5a2-966c-44d8-ad2b-0084ac57b721","http://resolver.tudelft.nl/uuid:1200e5a2-966c-44d8-ad2b-0084ac57b721","On the Derivation of Closed-Form Expressions for Displacements, Strains, and Stresses Inside Poroelastic Reservoirs","Cornelissen, P. (TU Delft Reservoir Engineering; Wageningen University & Research); Meulenbroek, B.J. (TU Delft Mathematical Physics); Jansen, J.D. (TU Delft Reservoir Engineering)","","2024","We critically review the derivation of closed-form analytical expressions for elastic displacements, strains, and stresses inside a subsurface reservoir undergoing pore pressure changes using inclusion theory. Although developed decades ago, inclusion theory has been used recently by various authors to obtain fast estimates of depletion-induced and injection-induced fault stresses in relation to induced seismicity. We therefore briefly address the current geomechanical relevance of this method, and provide a numerical example to demonstrate its use to compute induced fault stresses. However, the main goal of our paper is to correct some erroneous assumptions that were made in earlier publications. While the final expressions for the poroelastic stresses in these publications were correct, their derivation contained conceptual mistakes due to the mathematical subtleties that arise because of singularities in the Green's functions. The aim of our paper is therefore to present the correct derivation of expressions for the strains and stresses inside an inclusion and to clarify some of the results of the aforementioned studies. Furthermore, we present two conditions that the strain field must satisfy, which can be used to verify the analytical expressions.","analytical solution; Green's function; inclusion theory; Leibniz integral rule; poroelasticity","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:36c414f7-3be2-4dd1-8f55-ccf156ff3981","http://resolver.tudelft.nl/uuid:36c414f7-3be2-4dd1-8f55-ccf156ff3981","A simple QP modification of the OC update to permit treatment of the topology design problem of self-weight","Munro, D.P. (TU Delft Computational Design and Mechanics)","","2024","This note communicates a simple modification of the optimality criteria (OC) design update—as found in well-known Matlab implementations of the classical topology design problem—to an update based on a quadratic program (QP) with a single linear constraint. This QP update is a special case of the dual of Falk, which in general accommodates multiple constraints, as discussed in the Appendix. It is demonstrated that the topology design problem of self-weight may be treated with judicious selection of the adaptive curvature term in the QP, without resorting to more sophisticated algorithms or material interpolation schemes. Theory is recited and an accordingly modified version of the canonical Matlab implementation is provided as supplementary material.","Design-dependent loads; Duality; Matlab; Optimality criteria (OC); Quadratic program (QP); Self-weight; Sequential approximate optimization (SAO); Topology optimization","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:e0e8fa53-2945-4152-b6a7-91244b228ed9","http://resolver.tudelft.nl/uuid:e0e8fa53-2945-4152-b6a7-91244b228ed9","Finite element-based framework to study the response of bituminous concrete pavements under different conditions","Kumar, Abhinav (Indian Institute of Technology Banaras Hindu University); Gupta, Ankit (Indian Institute of Technology Banaras Hindu University); Anupam, K. (TU Delft Pavement Engineering); Wagh, Vivek Pratap (Indian Institute of Technology Banaras Hindu University)","","2024","In most of developing countries across the world, pavement design is still based on an empirical approach that may result in premature failure or overdesigned pavements. A shift from an empirical to a semi-mechanistic or mechanistic approach is the need of modern time. In this regard, computational tools such as finite element (FE) are being successfully utilized to gain deeper insights because such tools have allowed researchers to study the complex behaviour of bituminous concrete (BC) materials. It is well recognized that BC material typically exhibits viscoelastic/visco-elasto-plastic behaviour depending on applied loading (including temperature) conditions. However, due to the complexity of the whole procedure yet many pavement design tools consider them as pure elastic material. The aim of this research is to develop FEM based simple and practical framework to evaluate the structural response of BC material with viscoelastic material characterization which can be an effective tool to predict field behaviour with commonly available pavement material tests. Such a framework will be helpful in analysing variations in the critical response of BC pavement with varied traffic loads and ambient temperatures. The framework provides a relatively simple procedure to obtain the viscoelastic parameters of BC mix with a creep compliance test conducted at different temperatures. It was concluded that Creep compliance data if pre-smoothened by the Power law model reduces mathematical optimization issues to some extent. Furthermore, with the obtained parameters, a 3-dimensional FE model was developed to obtain sensitivity to critical stresses, strains, and vertical deformations at desired conditions. Material characterization of unbound granular layers was evaluated through resilient modulus based on empirical relations. Analysis was carried out taking into consideration the traffic load, contact pressure, mix type, air-void, and temperature variation.","Creep compliance; Effect of air voids; Generalised Kelvin model; Indian traffic conditions; Resilient modulus","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:53eb54fe-2791-46de-8b8d-c10777e3cc27","http://resolver.tudelft.nl/uuid:53eb54fe-2791-46de-8b8d-c10777e3cc27","Assessing Angular Momentum, Kinetics, and Energetics of a Rigid Body Using a Single Inertial Measurement Unit","Zhang, Junhao (University of Twente); Muijzer, Frodo (University of Twente); Vallery, H. (TU Delft Biomechatronics & Human-Machine Control; Rheinisch-Westfälische Technische Hochschule; Erasmus MC); Veltink, Peter H. (University of Twente)","","2024","Angular momentum, kinetics, and energetics, including total mechanical energy and its rate of change in relation to power exchange, are important quantities when analyzing human motion in sports, physical labor, and rehabilitation. Inertial measurement units (IMU)-based motion capture (MOCAP) systems provide a portable solution for the ambulatory analysis of these quantities which optical MOCAP systems do not offer. Yet, evaluating IMU-based estimates of these quantities by referencing optical systems is limited by the fact that these systems only measure positions, not kinetic and energetic quantities. To evaluate the accuracy of an IMU-based method for estimating kinetic and energetic quantities without using any external reference, firstly, we propose an estimation method only using angular velocity and acceleration signals supplied by an IMU, and apply this to a single rigid body with known mass and inertia. Then, we propose a novel experimental validation method against physical conservation and action/reaction laws that apply during ballistic movements, using a suitably designed and reconfigurable rigid body with a structure of three orthogonal dumb-bells. The results demonstrated that we could estimate the angular momentum, kinetics, and energetics of a rigid body by only using angular velocity and acceleration signals of an IMU, and the estimation accuracy was well evaluated by the proposed validation method. However, the results showed that the errors in original IMU measurements under dynamic conditions especially concerning angular velocity, uncertainties in calculating rigid body parameters, and vibration propagation due to limited rigidity of tubes of the rigid body influenced the estimation accuracy.","Angular momentum; energetics; inertial measurement unit (IMU); kinetics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-22","","","Biomechatronics & Human-Machine Control","","",""
"uuid:3b2512f5-feee-49c0-8866-9e9ecae309cf","http://resolver.tudelft.nl/uuid:3b2512f5-feee-49c0-8866-9e9ecae309cf","Improving global digital elevation models using space-borne GEDI and ICESat-2 LiDAR altimetry data","Narin, O.G. (TU Delft Optical and Laser Remote Sensing; Afyon Kocatepe University); Abdikan, Saygin (Hacettepe University); Gullu, Mevlut (Afyon Kocatepe University); Lindenbergh, R.C. (TU Delft Optical and Laser Remote Sensing); Balik Sanli, Fusun (Yildiz Technical University); Yilmaz, Ibrahim (Afyon Kocatepe University)","","2024","Open source Global Digital Elevation Models (GDEMs) serve as an important base for studies in geosciences. However, these models contain vertical errors due to various reasons. In this study, data from two Satellite LiDAR altimetry systems, GEDI and ICESat-2, were used to improve the vertical accuracy of GDEMs. Three different machine learning methods, namely an Artificial Neural Network (ANN), Extreme Gradient Boosting (XGBoost), and a Convolutional Neural Network (CNN), were employed to improve existing DEM data with satellite LiDAR data. The methodology was tested in five areas with varying characteristics. Ground control data were selected from high accuracy DEMs generated from Airborne LiDAR and GNSS data. The use of ANN method improved the vertical accuracy of SRTM data from 6.45 to 3.72 m in Test area-4. Similarly, the CNN method demonstrated an improvement in the vertical accuracy of bare ground SRTM data increasing from 3.4 to 0.6 m in Test area-4. In Test area-5, the ANN method improved the vertical accuracy of SRTM data with slopes between 30 and 60%, increasing from 3.8 to 0.5 m. Notably, the results underscore the successful improvement of GDEMs across all test areas.","GEDI; Global digital elevation models; ICESat-2; machine learning","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:48abb16f-c037-4048-b642-8d60e8557d9a","http://resolver.tudelft.nl/uuid:48abb16f-c037-4048-b642-8d60e8557d9a","Accumulation of defense systems in phage-resistant strains of Pseudomonas aeruginosa","Martins Costa, A.R. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); van den Berg, D.F. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); Esser, J.Q. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); Muralidharan, A. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); van den Bossche, Halewijn (Kavli institute of nanoscience Delft); Estrada Bonilla, B.A. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); van der Steen, B.A. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); Haas, Pieter Jan (University Medical Center Utrecht); Brouns, S.J.J. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft)","","2024","Prokaryotes encode multiple distinct anti-phage defense systems in their genomes. However, the impact of carrying a multitude of defense systems on phage resistance remains unclear, especially in a clinical context. Using a collection of antibiotic-resistant clinical strains of Pseudomonas aeruginosa and a broad panel of phages, we demonstrate that defense systems contribute substantially to defining phage host range and that overall phage resistance scales with the number of defense systems in the bacterial genome. We show that many individual defense systems target specific phage genera and that defense systems with complementary phage specificities co-occur in P. aeruginosa genomes likely to provide benefits in phage-diverse environments. Overall, we show that phage-resistant phenotypes of P. aeruginosa with at least 19 phage defense systems exist in the populations of clinical, antibiotic-resistant P. aeruginosa strains.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-23","","","BN/Stan Brouns Lab","","",""
"uuid:47aa5381-a5fd-407e-9485-ded81a03427b","http://resolver.tudelft.nl/uuid:47aa5381-a5fd-407e-9485-ded81a03427b","The effect of bubble size on lock-exchange density currents through bubble screens","O'Mahoney, Tom S.D. (Deltares); Oldenziel, G. (TU Delft Fluid Mechanics; Deltares); Van Der Ven, Pepijn (Deltares)","","2024","Bubble screens are used at sea locks to mitigate salt intrusion into inland water systems. In this paper the effectiveness of a bubble screen in delaying the mixing of salt and freshwater via lock exchange was studied. Laboratory-scale experiments investigating the flow field and mixing caused by a bubble screen are presented. The tests include both the homogeneous situation of freshwater on both sides of the screen and the inhomogeneous situation where there is an initial density difference across the screen, which leads to a density current after the lock gate is removed or opened. Optical measurement techniques were applied, giving spatially detailed flow velocities and densities. The parameters varied between tests are the airflow discharge and the bubble size. The results show that the bubble size in the screen had a significant effect with a screen with bubbles of 1-2 mm being more effective at generating a surface flow in the homogeneous case but less effective at keeping the fresh and salt sides separated in the inhomogeneous case, when compared with a screen of 4-6 mm bubbles. The point of maximum effectiveness for separating salt and fresh sides was also shown to be dependent on bubble size.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-15","","","Fluid Mechanics","","",""
"uuid:1475d5d6-0e4c-4354-a05e-552cb44ffa6d","http://resolver.tudelft.nl/uuid:1475d5d6-0e4c-4354-a05e-552cb44ffa6d","Hygrothermal ageing of dry gelatine adhesive films: Microstructure-property relationships","Mosleh, Yasmine (TU Delft Bio-based Structures & Materials); van Die, M. (TU Delft Structural Integrity & Composites); Gard, W.F. (TU Delft Bio-based Structures & Materials); Breebaart, Iskander (Rijksmuseum); van de Kuilen, J.W.G. (TU Delft Bio-based Structures & Materials; Technische Universität München); Van Duin, Paul (Rijksmuseum); Poulis, J.A. (TU Delft Adhesion Institute)","","2024","Gelatine adhesives, also known as animal glues, are collagen-based water-soluble biopolymers derived from vertebrate connective tissues. One of the various fields in which gelatine adhesives are widely used is the conservation of cultural heritage such as decorated furniture and panel paintings. It is observed that, with time, the failure in these objects often occurs along the adhesive bondlines. Given the moisture and temperature sensitivity of these adhesives, obtaining knowledge of their long-term behaviour, when exposed to climate variations, is pivotal. Here, the influence of hygrothermal ageing (exposure to a combination of elevated temperature and relative humidity (RH) cycling) on the microstructure and macroscopic properties of four different types of gelatine adhesives is investigated. These adhesives were selected from different animal origins namely bovine, rabbit, and fish with different Bloom strengths. It was observed that ageing cycles interfere with the most critical structural feature of protein chains namely triple helices. A clear decay in triple helix content at the micro-scale, determined by Differential Scanning Calorimetry (DSC) and X-Ray Diffraction (XRD) techniques, was observed which had implications on the macroscopic properties of these adhesives such as reduction of strain to failure and toughness (strain energy density to failure). The rate of decay in properties was revealed to be the highest in the adhesives with the lowest triple helix content. This study provides a scientific view of microstructure-property relationships in gelatinous adhesives as a function of environmental ageing, and stipulates the underlying mechanism of the degradation of mechanical properties as the loss of structural triple helices, regardless of the animal origin.","Hygrothermal ageing; Gelatine; Adhesive; Cultural heritage; Triple helix","en","journal article","","","","","","","","","","","Bio-based Structures & Materials","","",""
"uuid:4b25ed46-f288-40b4-83ff-6a34c1141116","http://resolver.tudelft.nl/uuid:4b25ed46-f288-40b4-83ff-6a34c1141116","Technology and Meditation:: Exploring the Challenges and Benefits of a Physical Device to Support Meditation Routine","Kermavnar, T. (TU Delft Design Aesthetics); Desmet, P.M.A. (TU Delft Human-Centered Design)","","2024","Existing studies of technology supporting meditation habit formation mainly focus on mobile applications which support users via reminders. A potentially more effective source of motivation could be contextual cues provided by meaningful objects in meaningful locations. This longitudinal mixed-methods 8-week study explored the effectiveness of such an object, Prana, in supporting forming meditation habits among seven novice meditators. First, the Meditation Intentions
Questionnaire-24 and the Determinants of Meditation Practice Inventory-Revised were administered. The self-report habit index (SrHI) was administered before and after the study. Prana recorded meditation session times, while daily diaries captured subjective experiences. At the end of the study, the system usability scale, the ten-item personality inventory, and the brief self-control scale were completed, followed by individual semi-structured interviews. We expected to find an increase in meditation frequency and temporal consistency, but the results failed to confirm this. Participants meditated for between 16% and 84% of the study. The frequency decreased with time for four, decreased with subsequent increase for two, and remained stable for one of them. Daily meditation experiences were positive, and the perceived difficulty to start meditating was low. No relevant correlation was found between the perceived difficulty in starting to meditate and meditation experience overall; the latter was only weakly associated with the likelihood of meditating the next day. While meditation became more habitual for six participants, positive scores on SrHI were rare. Despite the inconclusive results, this study provides valuable insights into challenges and benefits of using a meditation device, as well as potential methodological difficulties in studying habit formation with physical devices.","meditation; habit; routine; meditation technology; meditation lamp","en","journal article","","","","","","","","","","Human-Centered Design","Design Aesthetics","","",""
"uuid:29979dc6-eee3-4c7b-905b-0dd9c0424e63","http://resolver.tudelft.nl/uuid:29979dc6-eee3-4c7b-905b-0dd9c0424e63","Sensitivities of atmospheric composition and climate to altitude and latitude of hypersonic aircraft emissions","Pletzer, Johannes (TU Delft Aircraft Noise and Climate Effects; German Aerospace Center (DLR), Institute of Atmospheric Physics); Grewe, V. (TU Delft Aircraft Noise and Climate Effects)","","2024","Hydrogen-powered hypersonic aircraft are designed to travel in the middle stratosphere at approximately 30–40 km. These aircraft can have a considerable impact on climate-relevant species like stratospheric water vapor, ozone, and methane and thus would contribute to climate warming. The impact of hypersonic aircraft emissions on atmospheric composition and, in turn, on radiation fluxes differs strongly depending on cruise altitude. However, in contrast to variations in the altitude of emission, differences from variations in the latitude of emission are currently unknown. Using an atmospheric chemistry general circulation model, we show that a variation in the latitude of emission can have a larger effect on perturbations and stratospheric-adjusted radiative forcing than a variation in the altitude of emission. Our results include the individual impacts of water vapor and nitrogen oxide emissions, as well as unburned hydrogen, on middle-atmospheric water vapor, ozone, and methane and the resulting radiative forcing. Water vapor perturbation lifetime continues the known tropospheric increase with altitude and reaches almost 6 years in the middle stratosphere. Our results demonstrate how atmospheric composition changes caused by emissions of hypersonic aircraft are controlled by large-scale processes like the Brewer–Dobson circulation and, depending on the latitude of emission, local phenomena like polar stratospheric clouds.
The analysis includes a model evaluation of ozone and water vapor with satellite data and a novel approach to reduce simulated years by one-third. A prospect for future hypersonic research is the analysis of seasonal sensitivities and simulations with emissions from combustion of liquefied natural gas instead of liquid hydrogen.","","en","journal article","","","","","","","","","","","Aircraft Noise and Climate Effects","","",""
"uuid:fa63b1ff-5604-4826-adfe-3a4624dad27e","http://resolver.tudelft.nl/uuid:fa63b1ff-5604-4826-adfe-3a4624dad27e","Designing for a Flow: Navigating Temporalities in Housing Considerations in Low-Income and Hazard-Prone Caribbean Contexts","Kuś, A.M. (TU Delft Architectural Technology; Royal Netherlands Institute of Southeast Asian and Caribbean Studies); Mota, Nelson (TU Delft Space & Type); van Bueren, Ellen (TU Delft Management in the Built Environment); Carmona Báez, Antonio (University of St. Martin); Asselbergs, M.F. (TU Delft Architectural Technology)","","2024","The urgency of addressing housing challenges in low-income areas is increasing due to widening socio-economic inequalities and the worsening impact of natural disasters. Saint Martin, a small Caribbean island, is struggling to provide affordable housing amidst hurricanes, floods, and heat waves. As a result, there has been a rise in self-organized housing units, which are built incrementally and are susceptible to risks. The main challenge is to balance durability, functionality, and esthetic appeal over time. Inspired by St. Martin’s self-organized units, this article explores housing considerations in low-income, hazard-prone contexts by emphasizing their temporalities. Integrating insights from a formative study, including a literature review and ethnographic research, the paper draws on Stewart Brand’s “Layers of Change” and the concept of “Flow”. The study identifies layers within self-organized units corresponding to durability, functionality, and esthetic appeal. It delves into their connection with building activities over time, unveiling the temporalities of housing considerations. This exploration leads to the proposition of “Designing for a Flow” as a novel design approach. Offering practical insights within a concise framework, the study provides nuanced perspectives on mitigating housing challenges in low-income and hazard-prone contexts.","incremental housing; low-income housing; resilient design; climate change; extreme weather; layers of change; Stewart Brand; sustainability","en","journal article","","","","","","","","","","Management in the Built Environment","Architectural Technology","","",""
"uuid:8d8696e8-5213-469e-9ddc-2197a318a060","http://resolver.tudelft.nl/uuid:8d8696e8-5213-469e-9ddc-2197a318a060","River plastic transport affected by tidal dynamics","Schreyers, Louise J. (Wageningen University & Research); Van Emmerik, Tim H.M. (Wageningen University & Research); Bui, Thanh-Khiet L. (Vietnam National University); van Thi, Khoa L. (Wageningen University & Research; Hanoi University of Natural Resources and Environment, Hanoi); Vermeulen, Bart (Wageningen University & Research); Nguyen, Hong-Q. (Vietnam National University); Wallerstein, Nicholas (Wageningen University & Research); Uijlenhoet, R. (TU Delft Water Resources; Wageningen University & Research); van der Ploeg, Martine (Wageningen University & Research)","","2024","Plastic is an emerging pollutant, and the quantities in rivers and oceans are expected to increase. Rivers are assumed to transport land-based plastic into the ocean, and the fluvial and marine transport processes have been relatively well studied to date. However, the processes controlling the transport in tidal rivers and estuaries, the interface between fluvial and marine systems, remain largely unresolved. For this reason, current estimates of riverine plastic pollution and export into the ocean remain highly uncertain. Hydrodynamics in tidal rivers and estuaries are influenced by tides and freshwater discharge. As a consequence, flow velocity direction and magnitude can change diurnally. In turn, this impacts the transport dynamics of solutes and pollutants, including plastics. Plastic transport dynamics in tidal rivers and estuaries remain understudied, yet the available observations suggest that plastics can be retained here for long time periods, especially during periods of low net discharge. Additional factors such as riparian vegetation and riverbank characteristics, in combination with bi-directional flows and varying water levels, can lead to an even higher likelihood of long-term retention. Here, we provide a first observation-based estimate of net plastic transport on a daily timescale in tidal rivers. For this purpose, we developed a simple Eulerian approach using sub-hourly observations of plastic transport and discharge during full tidal cycles. We applied our method to the highly polluted Saigon River, Vietnam, throughout six full tidal cycles in May 2022. We show that the net plastic transport is about 20%-33% of the total plastic transport. We found that plastic transport and river discharge are positively and significantly correlated (Pearson's R2Combining double low line0.76). The net transport of plastic is higher than the net discharge (20%-33% and 16%, respectively), suggesting that plastic transport is governed by factors other than water flow. Such factors include wind, varying plastic concentrations in the water, and entrapment of plastics downstream of the measurement site. The plastic net transport rates alternate between positive (seaward) net transport and negative (landward) net transport as a result of the diurnal inequality in the tidal cycles. We found that soft and neutrally buoyant items had considerably lower net transport rates than rigid and highly buoyant items (10%-16% vs. 30%-38%), suggesting that transport dynamics strongly depend on item characteristics. Our results demonstrate the crucial role of tidal dynamics and bi-directional flows in plastic transport dynamics. With this paper we emphasize the importance of understanding fundamental transport dynamics in tidal rivers and estuaries to ultimately reduce the uncertainties of plastic emission estimates into the ocean.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:1e25451e-f642-481e-9e1b-e293e969ff0b","http://resolver.tudelft.nl/uuid:1e25451e-f642-481e-9e1b-e293e969ff0b","Nanostructure and damage characterisation of bitumen under a low cycle strain-controlled fatigue load based on molecular simulations and rheological measurements","Gao, Y. (Liverpool John Moores University); Liu, X. (TU Delft Pavement Engineering); Ren, S. (TU Delft Pavement Engineering); Assaf Martinez-Streignard, E.I. (TU Delft Pavement Engineering); Liu, Pengfei (Rheinisch-Westfälische Technische Hochschule); Zhang, Yuqing (Southeast University)","","2024","Bitumen fatigue resistance is critical to determine the overall fatigue performance and service life of asphalt pavements. However, the mechanisms responsible for fatigue damage of bitumen have previously not been well understood. Molecular dynamics (MD) simulation has recently emerged as a powerful computer-aided numerical technique to model the microscopic failure behaviours in materials. This study aims to use the MD method to investigate the molecular origin of bitumen fatigue damage. The molecular models of the virgin and aged PEN70/100 bitumen were firstly constructed based on their saturate, aromatic, resin and asphaltene (SARA) four fractions. An MD equilibrium was run on the developed bitumen models with the assigned interatomic potentials. Following an MD-based tensile simulation, a strain-controlled fatigue simulation was performed to study the nanostructure and damage behaviours of the virgin and aged bitumen under fatigue loading by calculating the stress-strain response, potential energy, molecular structure and nanovoid volumes. Furthermore, a rheometer measurement was also conducted to characterise the fatigue damage of the bitumen directly by a crack length at the macroscale. Results indicate that the bitumen molecules become unfolded and tend to align along the loading direction when fatigue loading was applied. The change in the molecular configuration helped the molecular chains move closer together and thus contributed to the reduction of the intermolecular interactions including the van der Waals and Coulombic energies. With the increasing load cycles, nanovoids were formed and grew in the bitumen through molecular rearrangement and movement, leading to microscopic fatigue damage of the bitumen. It was found that the aged bitumen produced more severe fatigue damage than the virgin bitumen, which was indicated by the MD-based nanovoid volume at the molecular scale and the DSR-based crack length at the macroscale. The findings from MD simulation provide a fundamental understanding of the molecular origin of fatigue damage, that cannot be experimentally detected for bitumen materials.","Bitumen; Fatigue damage; Nanostructure; MD simulation; Rheometer measurement","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:175cda3c-20cf-49ad-a335-323b5737d290","http://resolver.tudelft.nl/uuid:175cda3c-20cf-49ad-a335-323b5737d290","Profiling university students based on their acoustical and psychosocial preferences and characteristics of their home study places","Hamida, A.B. (TU Delft Environmental & Climate Design); Eijkelenboom, A.M. (TU Delft Environmental & Climate Design); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2024","Understanding students' preferences of their study place, in particular acoustical and psychosocial preferences, is important to students' health and comfort. This study aimed to identify clusters of students with similar acoustical and psychosocial preferences, and to identify reasons for certain preferences of students in each cluster. A mixed-methods approach was applied, consisting of a questionnaire, which was completed by 451 bachelor students, and a field study conducted with 23 students from the same sample. The questionnaire data included among others acoustical and psychosocial preferences scores, while the field study data comprised interview transcripts, building checklists, and sound pressure level measurements. The questionnaire data were analysed using TwoStep cluster analysis to identify clusters of students based on their acoustical and psychosocial preferences. This produced five clusters of students that significantly differed in 14 variables, including preferences and perception of indoor environmental quality (e.g., noise from outside). Then, the field study data were analysed and categorised based on the five clusters of the students. The outcome explained the aspects associated with the acoustical preferences of students in each cluster. Building-related indicators such as the location of the building were found as an aspect that could affect the student's acoustical preferences. This study provides insight into the profiles of students based on their acoustical and psychosocial preferences, which are important for their health and comfort at their study places.","Acoustical preferences; TwoStep cluster analysis; Study places; Mixed methods; University students","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:936001c1-8cbd-4149-a4e3-41a177849046","http://resolver.tudelft.nl/uuid:936001c1-8cbd-4149-a4e3-41a177849046","The climatological renewable energy deviation index (credi)","Stoop, Laurens P. (Universiteit Utrecht; TenneT TSO B.V.); Van Der Wiel, Karin (Royal Netherlands Meteorological Institute (KNMI)); Zappa, William (TenneT TSO B.V.); Haverkamp, Arno (TenneT TSO B.V.); Feelders, Ad J. (Universiteit Utrecht); van den Broek, M.A. (TU Delft Energie and Industrie)","","2024","We propose an index to quantify and analyse the impact of climatological variability on the energy system at different timescales. We define the climatological renewable energy deviation index (credi) as the cumulative anomaly of a renewable resource with respect to its climate over a specific time period of interest. For this we introduce the smooth, yet physical, hourly rolling window climatology that captures the expected hourly to yearly behaviour of renewable resources. We analyse the presented index at decadal, annual and (sub-)seasonal timescales for a sample region and discuss scientific and practical implications. credi is meant as an analytical tool for researchers and stakeholders to help them quantify, understand, and explain, the impact of energy-meteorological variability on future energy system. Improved understanding translates to better assessments of how renewable resources, and the associated risks for energy security, may fare in current and future climatological settings. The practical use of the index is in resource planning. For example transmission system operators may be able to adjust short-term planning to reduce adequacy issues before they occur or combine the index with storyline event selection for improved assessments of climate change related risks.","","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:86c90010-0373-436b-b63d-643279511778","http://resolver.tudelft.nl/uuid:86c90010-0373-436b-b63d-643279511778","Combining a daily temperature pattern analysis and a heat-pulse system to estimate sediment depths in sewer systems","Regueiro-Picallo, Manuel (Universidade da Coruña); Langeveld, J.G. (TU Delft Sanitary Engineering); Wei, Haoyu (Luleå University of Technology); Bertrand-Krajewski, Jean Luc (Université de Lyon); Rieckermann, Jörg (Swiss Federal Institute of Aquatic Science and Technology)","","2024","Sediments in urban drainage systems (UDS) significantly impact their operation, so effective strategies are required to reduce their negative effects. Monitoring sediment accumulation provides valuable insights into sediment characteristics, sediment transport dynamics, and system performance. However, the effectiveness of monitoring systems is limited due to cost constraints and installation challenges. This study describes the development and application of a new system based on temperature dynamics to measure sediment depths in sewer systems. The methodology involves the analysis of temperature time series under dry weather flow conditions to identify harmonic patterns between wastewater and sediment-bed temperatures. These patterns are increasingly attenuated by increasing sediment depth. This study combines a system called MONitoring Temperatures in SEdiments (MONTSE), which integrates a dual-probe heat-pulse (DPHP) method to characterize sediment thermal properties, and a surrogate model, which includes temperature pattern analysis, to estimate sediment depths. Likewise, laboratory-scale experiments were performed to validate the temperature monitoring system and the surrogate model performance. The maximum absolute errors in measured sediment depths were less than 22 mm, and the uncertainty of the system was estimated at ±7.3 mm. Groundbreaking measurements of thermal properties of UDS sediments were also reported. Reliable information on sediment depths and properties was provided, so the system could significantly optimize sewer system operation and cleaning strategies.","","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:4161e45d-ecf4-4ff7-a56e-3e54874e57e8","http://resolver.tudelft.nl/uuid:4161e45d-ecf4-4ff7-a56e-3e54874e57e8","Studying the impact of lighting on the pedestrian route choice using Virtual Reality","van Beek, A.H.N. (TU Delft Transport and Planning); Feng, Y. (TU Delft Transport and Planning); Duives, D.C. (TU Delft Transport and Planning); Hoogendoorn, S.P. (TU Delft Transport and Planning)","","2024","Efficient crowd management is essential for optimizing the performance of pedestrian infrastructures, either in terms of crowd flow or pedestrian levels of safety and comfort. This study investigates the impact of one type of crowd management measure, namely lighting, on pedestrian behavior. Using Virtual Reality experiments, the impact of lighting, both the brightness level and the light color, on pedestrian route choice is studied. A virtual maze was designed, featuring 10 T-intersections, where the light conditions are varied at each T-intersection to study its impact on pedestrian route choice. Our study shows that pedestrian route choice is strongly influenced by the light color in a virtual environment. Pedestrians prefer to follow paths with green-colored lights and avoid paths with red-colored lights, irrespective of the light color on the other path. Moreover, pedestrians slightly prefer to use the path with a higher brightness level. Lastly, the results indicate that pedestrians do have a slight right-handed tendency on average, however, this effect cancels out almost completely when other guidance information is present in the scenario. Altogether, the findings suggest that lighting can impact pedestrian route choice behavior.
s) fitting results and rainfall characteristics of various events series length. Data from a full-scale grassed swale (Enschede, the Netherlands) were utilized as long-term rainfall event series length (95 rainfall events) on the fitting outcomes. Short-term rainfall event series were extracted from these long-term series and used as input in fitting into a multivariate nonlinear model between Ks and its influencing rainfall indicators (antecedent dry days, temperature, rainfall, rainfall duration, total rainfall, and seasonal factor (spring, summer, autumn, and winter, herein refer as 1, 2, 3, and 4). Comparison of short-term and long-term rainfall event series fitting results allowed to obtain a representative short-term series that leads to similar results with those using long-term series. A cluster analysis was conducted based on the fitting results of the representative rainfall event series with their rainfall event characteristics using average values of influencing rainfall indicators. The seasonal index (average value of seasonal factors) was found to be the most representative short rainfall event series indicator. Furthermore, a Bayesian network was proposed in the current study to predict if a given short-term rainfall event series is representative. It was validated by a data series (58 rainfall events) from another full-scale grassed swale located in Utrecht, the Netherlands. Results revealed that it is quite promising and useful to evaluate the representativeness of short-term rainfall event series used for long-term hydrological performance evaluation of grassed swales. Graphical Abstract: (Figure presented.).","Bayesian network; Grassed swale; Long-term hydrological performance; Rainfall event series; Representativeness; Saturated hydraulic conductivity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-23","","","Hydraulic Structures and Flood Risk","","",""
"uuid:d38dab00-d96b-4657-8beb-ebb446649e68","http://resolver.tudelft.nl/uuid:d38dab00-d96b-4657-8beb-ebb446649e68","Measuring residual stresses in individual on-chip interconnects using synchrotron nanodiffraction","Zhang, Y. (TU Delft Electronic Components, Technology and Materials); Du, L. (TU Delft Electronic Components, Technology and Materials); Bäcke, Olof (Chalmers University of Technology); Kalbfleisch, Sebastian (MAX IV Laboratory); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Hörnqvist Colliander, Magnus (Chalmers University of Technology)","","2024","As the dimensions of interconnects in integrated circuits continue to shrink, an urgent need arises to understand the physical mechanism associated with electromigration. Using x-ray nanodiffraction, we analyzed the stresses in Blech-structured pure Cu lines subjected to different electromigration conditions. The results suggest that the measured residual stresses in the early stages of electromigration are related to relaxation of stresses caused by thermal expansion mismatch, while a developing current-induced stress leads to reductions in the residual stress after longer test times. These findings not only validate the feasibility of measuring stress in copper lines using nanodiffraction but also highlight the need for a further understanding, particularly through in situ electromigration experiments with x-ray nanodiffraction analysis.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:1f92feaf-60a1-4ac3-ad12-9cfc761303eb","http://resolver.tudelft.nl/uuid:1f92feaf-60a1-4ac3-ad12-9cfc761303eb","How aromatic dissolved organic matter differs in competitiveness against organic micropollutant adsorption","Wang, Q. (TU Delft Computational Design and Mechanics; Chinese Academy of Sciences); Lechtenfeld, Oliver J. (Helmholtz Centre for Environmental Research - UFZ); Rietveld, L.C. (TU Delft Sanitary Engineering); Schuster, Jonas (Hamburg University of Technology); Ernst, Mathias (Hamburg University of Technology); Hofman-Caris, Roberta (KWR Water Research Institute; Wageningen University & Research); Kaesler, Jan (Helmholtz Centre for Environmental Research - UFZ); Wang, Chunmiao (Chinese Academy of Sciences); Yang, Min (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Yu, Jianwei (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Zietzschmann, F.E. (TU Delft Sanitary Engineering; Berliner Wasserbetriebe)","","2024","Activated carbon is employed for the adsorption of organic micropollutants (OMPs) from water, typically present in concentrations ranging from ng L−1 to μg L−1. However, the efficacy of OMP removal is considerably deteriorated due to competitive adsorption from background dissolved organic matter (DOM), present at substantially higher concentrations in mg L−1. Interpreting the characteristics of competitive DOM is crucial in predicting OMP adsorption efficiencies across diverse natural waters. Molecular weight (MW), aromaticity, and polarity influence DOM competitiveness. Although the aromaticity-related metrics, such as UV254, of low MW DOM were proposed to correlate with DOM competitiveness, the method suffers from limitations in understanding the interplay of polarity and aromaticity in determining DOM competitiveness. Here, we elucidate the intricate influence of aromaticity and polarity in low MW DOM competition, spanning from a fraction level to a compound level, by employing direct sample injection liquid chromatography coupled with ultrahigh-resolution Fourier-transform ion cyclotron resonance mass spectrometry. Anion exchange resin pre-treatment eliminated 93% of UV254-active DOM, predominantly aromatic and polar DOM, and only minimally alleviated DOM competition. Molecular characterization revealed that nonpolar molecular formulas (constituting 26% PAC-adsorbable DOM) with medium aromaticity contributed more to the DOM competitiveness. Isomer-level analysis indicated that the competitiveness of highly aromatic LMW DOM compounds was strongly counterbalanced by increased polarity. Strong aromaticity-derived π-π interaction cannot facilitate the competitive adsorption of hydrophilic DOM compounds. Our results underscore the constraints of depending solely on aromaticity-based approaches as the exclusive interpretive measure for DOM competitiveness. In a broader context, this study demonstrates an effect-oriented DOM analysis, elucidating counterbalancing interactions of DOM molecular properties from fraction to compound level.","Activated carbon; Dissolved organic matter (DOM); Fourier-transform ion cyclotron resonance mass spectrometry (FT-ICR-MS); Multi-component adsorption; Organic micropollutants","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:fac1766e-f6ef-43fb-a944-0f61f1b79cef","http://resolver.tudelft.nl/uuid:fac1766e-f6ef-43fb-a944-0f61f1b79cef","Damage Detection of Gantry Crane with a Moving Mass Using Artificial Neural Network","Safaei, Mohammad (University of Tabriz); Hejazian, Mahsa (University of Tabriz); Pedrammehr, Siamak (Tabriz Islamic Art University); Pakzad, Sajjad (Tabriz Islamic Art University); Ettefagh, Mir Mohammad (University of Tabriz); Fotouhi, M. (TU Delft Materials and Environment)","","2024","Gantry cranes play a pivotal role in various industrial applications, and their reliable operation is paramount. While routine inspections are standard practice, certain defects, particularly in less accessible components, remain challenging to detect early. In this study, first a finite element model is presented, and the damage is introduced using random changes in the stiffness of different parts of the structure. Contrary to the assumption of inherent reliability, undetected defects in crucial structural elements can lead to catastrophic failures. Then, the vibration equations of healthy and damaged models are analyzed to find the displacement, velocity, and acceleration of the different crane parts. The learning vector quantization neural network is used to train and detect the defects. The output is the location of the damage and the damage severity. Noisy data are then used to evaluate the network performance robustness. This research also addresses the limitations of traditional inspection methods, providing early detection and classification of defects in gantry cranes. The study’s relevance lies in the need for a comprehensive and efficient damage detection method, especially for components not easily accessible during routine inspections.","gantry crane; structural damage detection; finite element model; artificial neural network; learning vector quantization (LVQ)","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:63de6423-3d20-4816-9309-b0da1681af1e","http://resolver.tudelft.nl/uuid:63de6423-3d20-4816-9309-b0da1681af1e","The Development of a New Smart Evacuation Modeling Technique for Underground Mines Using Mathematical Programming","Meij, Richard (Student TU Delft); Soleymani Shishvan, M. (TU Delft Resource Engineering); Sattarvand, Javad (University of Nevada)","","2024","Navigating miners during an evacuation using smart evacuation technology can significantly decrease the evacuation time of an underground mine in case of emergency hazards. This paper presents a mathematical programming model to calculate the most efficient escape path for miners as a critical component of smart evacuation technology. In this model, the total evacuation distance of the crew is minimized and scenarios with blocked pathways and stamina categories for the miners are simulated. The findings revealed that all the tested scenarios were technically feasible. Using the feature that filters out blocked pathways has no downsides as safer routes are calculated and there is no penalty in the computation time. This paper also discusses the social and technical issues that must be resolved before the algorithm can be implemented as an actual escape solution.","smart evacuation; mathematical programming; hazards; underground mines","en","journal article","","","","","","","","","","","Resource Engineering","","",""
"uuid:ddb1a8c0-1d74-4815-b66f-7685d1cbde44","http://resolver.tudelft.nl/uuid:ddb1a8c0-1d74-4815-b66f-7685d1cbde44","A study of stone arch bridge’s flood reliability through a surrogate model approach","Baron, Edward A. (Universidade de Coimbra); Mendoza Cabanzo, Carlos (Universidade de Coimbra); Bento, Ana Margarida (Universidade do Porto; Centro Interdisciplinar de Investigação Marinha e Ambiental); Matos, Jose C. (Universidade de Coimbra); Calçada, Rui (Universidade do Porto); Gavin, Kenneth (TU Delft Geo-engineering)","","2024","Europe’s historic masonry arch bridges are culturally and economically significant, but their long-term safety must be ensured. Scour effects are the most common cause of collapse, so it is necessary to carry out structural assessments to mitigate the risk and prevent potential failures. In this study, a metamodel-based method was used to determine the probability of failure of an existing stone arch bridge in Portugal due to local and contraction scour on the abutments. Non-linear finite element analysis supported the calculation of the reliability index, which took into account the soil-structure interaction and the failure mechanism. The variables with the greatest influence on the load-carrying capacity of the structure were identified and a surrogate model was implemented. Fragility curves were then derived based on the surrogate model, using scour depth as a measure of intensity and load factor as an engineering requirement parameter. The results of the study indicate that the load capacity of the numerical model is compromised when the scour depth of 1.5 m reaches the base of the foundation. As a result, stability problems and settlements are observed in the model. At a depth of 2.5 m, the soil reaches its ultimate bearing capacity.","Bridge assessment; flood hazard; fragility curves; non-linear structural analysis; scour; soil-structure interaction; surrogate models; uncertainties","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-26","","","Geo-engineering","","",""
"uuid:e9f92bbb-0fbd-409f-be4f-86ad1b78f9cd","http://resolver.tudelft.nl/uuid:e9f92bbb-0fbd-409f-be4f-86ad1b78f9cd","Using problem-based exploratory training to improve pilot understanding of autopilot functions","van Leeuwen, J.K. (TU Delft Control & Simulation); Landman, H.M. (TU Delft BUS/TNO STAFF; TU Delft Control & Simulation); Groen, Eric L. (DIANA FEA); Mumaw, Randall J. (San José State University); Stroosma, O. (TU Delft Control & Simulation); van Paassen, M.M. (TU Delft Control & Simulation); Mulder, Max (TU Delft Control & Simulation)","","2024","Previous research indicated a need to improve pilot training with regard to understanding of autopilot logic and behavior, especially in non-routine situations. Therefore, we tested the effect of problem-based exploratory training on pilots’ understanding of autopilot functions. Using a moving-base flight simulator, general aviation pilots (n = 45) were trained to diagnose failures either without foreknowledge and guidance (exploratory group), without foreknowledge but with some guidance (exploratory-guidance group) or with foreknowledge and full guidance (control group). They subsequently performed six test scenarios in which their understanding of the effects of failures was tested by requiring them to deduce the failures and select autopilot modes that were still functioning. Those who received exploratory training with guidance were significantly more likely than the other groups to diagnose failures correctly. The exploratory training group also selected the most appropriate functioning autopilot modes significantly faster than the control group. The results suggest that exploratory training with an appropriate level of guidance is useful for gaining a practical understanding of autopilot logic and behavior. Exploratory training may help to improve transfer of training to operational practice, and prevent automation surprises and accidents.","Automation surprise; Human–automation interaction; Simulator training; Situation awareness; Transfer of training","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:68dd0f90-d594-4239-b18d-43e3bf48cbde","http://resolver.tudelft.nl/uuid:68dd0f90-d594-4239-b18d-43e3bf48cbde","Sea-level rise induced change in exposure of low-lying coastal land: implications for coastal conservation strategies","Thiéblemont, Rémi (Bureau de Recherches Géologiques et Minières (BRGM)); le Cozannet, Gonéri (Bureau de Recherches Géologiques et Minières (BRGM)); Rohmer, Jérémy (Bureau de Recherches Géologiques et Minières (BRGM)); Privat, Adrien (Conservatoire du littoral); Guidez, Romain (Bureau de Recherches Géologiques et Minières (BRGM)); Negulescu, Caterina (Bureau de Recherches Géologiques et Minières (BRGM)); Philippenko, Xénia (Bureau de Recherches Géologiques et Minières (BRGM)); Luijendijk, Arjen (TU Delft Coastal Engineering; Deltares); Calkoen, F.R. (TU Delft Coastal Engineering; Deltares); Nicholls, Robert J. (University of East Anglia)","","2024","Coastal erosion and flooding are projected to increase during the 21st century due to sea-level rise (SLR). To prevent adverse impacts of unmanaged coastal development, national organizations can apply a land protection policy, which consists of acquiring coastal land to avoid further development. Yet, these reserved areas remain exposed to flooding and erosion enhanced by SLR. Here, we quantify the exposure of the coastal land heritage portfolio of the French Conservatoire du littoral (Cdl). We find that 30% (~40%) of the Cdl lands owned (projected to be owned) are located below the contemporary highest tide level. Nearly 10% additional surface exposure is projected by 2100 under the high greenhouse gas emissions scenario (SSP5-8.5) and 2150 for the moderate scenario (SSP2-4.5). The increase in exposure is largest along the West Mediterranean coast of France. We also find that Cdl land exposure increases more rapidly for SLR in the range of 0–1 m than for SLR in the range 2–4 m. Thus, near-future uncertainty on SLR has the largest impact on Cdl land exposure evolution and related land acquisition planning. Concerning erosion, we find that nearly 1% of Cdl land could be lost in 2100 if observed historical trends continue. Adding the SLR effect could lead to more than 3% land loss. Our study confirms previous findings that Cdl needs to consider land losses due to SLR in its land acquisition strategy and start acquiring land farther from the coast.","Climate change; Coastal conservation agency; Coastal hazards; Sea-level rise; Shoreline","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:019b6af3-3429-4c5a-97ce-b08665c4ac56","http://resolver.tudelft.nl/uuid:019b6af3-3429-4c5a-97ce-b08665c4ac56","Water-assisted generation of catalytic interface: The case of interfacial Pt-FeOx(OH)y sites active in preferential carbon monoxide oxidation","Sadykov, Ilia I. (Paul Scherrer Institut; ETH Zürich); Palagin, D. (TU Delft Numerical Analysis); Krumeich, Frank (ETH Zürich); Plokhikh, Igor V. (Paul Scherrer Institut); van Bokhoven, Jeroen A. (Paul Scherrer Institut; ETH Zürich); Nachtegaal, Maarten (Paul Scherrer Institut); Safonova, Olga V. (Paul Scherrer Institut)","","2024","The surface of supported heterogeneous catalysts often contains adsorbed water and hydroxyl groups even when water is not directly added to the reaction stream. Nonetheless, the reactivity of adsorbed water and hydroxyl groups is rarely considered. We demonstrate that water and hydroxyl groups can not only directly participate in the catalytic oxidation processes but are also able to generate and stabilize the catalytically active metal-oxide interface. We show that the reduction of Pt-Fe-supported catalysts with hydrogen in the presence of adsorbed water or steam allows for achieving one of the highest preferential carbon monoxide oxidation activities at ambient temperature. These conditions create active iron-associated hydroxyl groups next to platinum nanoparticles with enhanced reactivity towards carbon monoxide oxidation. Density functional theory calculations suggest that hydroxylation of oxidic iron species stabilizes the FeOx(OH)y/Pt interface, via strong metal-support interaction, which is confirmed by chemisorption measurements. Kinetic experiments, including those with 18O-labeled water, in combination with operando infrared spectroscopy, show that water and hydroxyl groups directly participate in preferential carbon monoxide oxidation. A quantitative correlation between the catalytic activity of Pt-FeOx(OH)y/γ-Al2O3 catalysts and the Fe2+ concentration, obtained using operando X-ray absorption spectroscopy, shows that the number of active Fe2+ sites and the carbon monoxide oxidation rate per active site can be significantly increased by water-assisted pretreatment with hydrogen. This work provides a new example of positive role of strong metal-support interaction for the design of more active catalysts.","Catalytic interface; Infrared spectroscopy; Operando; Preferential CO oxidation; Pt-Fe; Role of water; Structure-activity relationship; X-ray absorption spectroscopy","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:13c09c8b-40b2-4f3e-9f26-5c47ca308010","http://resolver.tudelft.nl/uuid:13c09c8b-40b2-4f3e-9f26-5c47ca308010","Asymmetric α-benzylation of cyclic ketones enabled by concurrent chemical aldol condensation and biocatalytic reduction","Liu, Yunting (Hebei University of Technology); Ma, Teng (Hebei University of Technology); Guo, Zhongxu (Hebei University of Technology); Zhou, Liya (Hebei University of Technology); Liu, Guanhua (Hebei University of Technology); He, Ying (Hebei University of Technology); Ma, Li (Hebei University of Technology); Gao, Jing (Hebei University of Technology); Hollmann, F. (TU Delft BT/Biocatalysis)","","2024","Chemoenzymatic cascade catalysis has emerged as a revolutionary tool for streamlining traditional retrosynthetic disconnections, creating new possibilities for the asymmetric synthesis of valuable chiral compounds. Here we construct a one-pot concurrent chemoenzymatic cascade by integrating organobismuth-catalyzed aldol condensation with ene-reductase (ER)-catalyzed enantioselective reduction, enabling the formal asymmetric α-benzylation of cyclic ketones. To achieve this, we develop a pair of enantiocomplementary ERs capable of reducing α-arylidene cyclic ketones, lactams, and lactones. Our engineered mutants exhibit significantly higher activity, up to 37-fold, and broader substrate specificity compared to the parent enzyme. The key to success is due to the well-tuned hydride attack distance/angle and, more importantly, to the synergistic proton-delivery triade of Tyr28-Tyr69-Tyr169. Molecular docking and density functional theory (DFT) studies provide important insights into the bioreduction mechanisms. Furthermore, we demonstrate the synthetic utility of the best mutants in the asymmetric synthesis of several key chiral synthons.","","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:9821dbfc-62e6-4e64-bc9c-4348b08c43d2","http://resolver.tudelft.nl/uuid:9821dbfc-62e6-4e64-bc9c-4348b08c43d2","Design and modelling of a reversible shape memory alloy torsion hinge actuator","Liu, Q. (TU Delft Emerging Materials); Ghodrat, S. (TU Delft Emerging Materials); Jansen, K.M.B. (TU Delft Emerging Materials)","","2024","Conventional hinge actuators often face limitations including excessive weight, large size and unpleasant noise. Shape memory alloys (SMAs) offer a solution to address these issues due to their favorable characteristics, such as lightweight, high actuation force and small form factor. However, most existing SMA-based hinge actuators rely on the tension loading mode. Achieving an ideal actuation angle thereby necessitates the inclusion of long SMA wires, which inadvertently constrains the actuator size. Notably, the full potential of SMAs’ deformation capacities, encompassing torsion and bending, remains largely untapped and underutilized. In this research, a reversible torsion SMA hinge actuator is studied, which can reversibly open 60° during heating and cooling. The actuator weighs 2 g, and can produce actuation forces of up to 5 N. The mechanical performances of nitinol at different temperatures are measured. Based on the measurements, a model which can predict the opening and closing angle is proposed, with deviations of 13.5 ± 8.2 %. Gripper and butterfly demonstrators constructed by the hinge actuators are given as application examples. The actuators hold potential in many fields like soft robotics, aerospace and medical instruments.","Nitinol; Phenomenological model; Reversible hinge actuator; Shape memory alloy; Torsion deformation","en","journal article","","","","","","","","","","","Emerging Materials","","",""
"uuid:34f89481-a1ba-46b5-bbf5-09956c0aa540","http://resolver.tudelft.nl/uuid:34f89481-a1ba-46b5-bbf5-09956c0aa540","Automatic enhancement of vascular configuration for self-healing concrete through reinforcement learning approach","Wan, Z. (TU Delft Materials and Environment); Xu, Y. (TU Delft Materials and Environment); Chang, Z. (TU Delft Materials and Environment; Eindhoven University of Technology); Liang, M. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2024","Vascular self-healing concrete (SHC) has great potential to mitigate the environmental impact of the construction industry by increasing the durability of structures. Designing concrete with high initial mechanical properties by searching a specific arrangement of vascular structure is of great importance. Herein, an automatic optimization method is proposed to arrange vascular configuration for minimizing the adverse influence of vascular system through a reinforcement learning (RL) approach. A case study is carried out to optimize a concrete beam with 3 pores (representing a vascular network) positioned in the beam midspan within a design space of 40 possibilities. The optimization is performed by the interaction between RL agent and Abaqus simulation environment with the change of target properties as a reward signal. The results illustrates that the RL approach is able to automatically enhance the vascular arrangement of SHC given the fact that the 3-pore structures that have the maximum target mechanical property (i.e., peak load or fracture energy) are accessed for all of the independent runs. The RL optimization method is capable of identifying the structure with high fracture energy in the new optimization task for 4-pore concrete structure.","Concrete; Numerical simulation; Optimization; Reinforcement learning; Self-healing","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:34719c39-48f2-4edb-9e8d-1fc8af48996a","http://resolver.tudelft.nl/uuid:34719c39-48f2-4edb-9e8d-1fc8af48996a","Extracellular and intracellular effects of bioactive glass nanoparticles on osteogenic differentiation of bone marrow mesenchymal stem cells and bone regeneration in zebrafish osteoporosis model","Meng, Li (Nanjing Medical University); Zhao, Panpan (Nanjing Medical University); Jiang, Yucheng (Nanjing Medical University); You, Jiawen (Nanjing Medical University); Xu, Zhiyan (Friedrich-Alexander-Universität Erlangen-Nürnberg); Yu, K. (TU Delft BN/Marie-Eve Aubin-Tam Lab); Boccaccini, Aldo R. (Friedrich-Alexander-Universität Erlangen-Nürnberg); Ma, Junqing (Nanjing Medical University); Zheng, K. (Nanjing Medical University)","","2024","Bioactive glass nanoparticles (BGNs) are well-recognized multifunctional biomaterials for bone tissue regeneration due to their capability to stimulate various cellular processes through released biologically active ions. Understanding the correlation between BGN composition and cellular responses is key to developing clinically usable BGN-based medical devices. This study investigated the influence of CaO content of binary SiO2-CaO BGNs (CaO ranging from 0 to 10 mol%) on osteogenic differentiation of rat bone marrow mesenchymal stem cells (rBMSCs) and in vivo bone regeneration in zebrafish osteoporosis model. The results showed that BGNs could promote osteogenic differentiation of rBMSCs by indirectly releasing active ions or directly interacting with rBMSCs by internalization. In both situations, BGNs of a higher CaO content could promote the osteogenic differentiation of rBMSCs to a greater extent. The internalized BGNs could activate the transcription factors RUNX2 and OSX, leading to the expression of osteogenesis-related genes. The results in the zebrafish osteoporosis model indicated that the presence of BGNs of higher CaO contents could enhance bone regeneration and rescue dexamethasone-induced osteoporosis to a greater extent. These findings demonstrate that BGNs can stimulate osteogenic differentiation of rBMSCs by releasing active ions or internalization. A higher CaO content facilitates osteogenesis and bone regeneration of zebrafish as well as relieving dexamethasone-induced osteoporosis. The zebrafish osteoporosis model can be a potent tool for evaluating the in vivo bone regeneration effects of bioactive materials. Statement of significance: Bioactive glass nanoparticles (BGNs) are increasingly used as fillers of nanocomposites or as delivery platforms of active ions to regenerate bone tissue. Various studies have shown that BGNs can enhance osteogenic differentiation of bone marrow mesenchymal stem cells (BMSCs) by releasing active ions. However, the correlation between BGN composition and cellular responses and in vivo bone regeneration effect has still not been well investigated. Establishment of a suitable in vivo animal model for investigating this correlation is also challenging. The present study reports the influence of CaO content in binary SiO2-CaO BGNs on osteogenic differentiation of BMSCs extracellularly and intracellularly. This study also demonstrates the suitability of zebrafish osteoporosis model to investigate in vivo bone regeneration effect of BGNs.","Bioactive glass; Bone regeneration; Cellular uptake; Osteoporosis; Zebrafish model","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","BN/Marie-Eve Aubin-Tam Lab","","",""
"uuid:e5bdaf21-e7ab-4694-abe2-e57f7412360a","http://resolver.tudelft.nl/uuid:e5bdaf21-e7ab-4694-abe2-e57f7412360a","Origin of fast charging in hard carbon anodes","Vasileiadis, A. (TU Delft RST/Storage of Electrochemical Energy); Zhou, Quan (Chinese Academy of Sciences; HiNa Battery Technology, Beijing); Lu, Yaxiang (Chinese Academy of Sciences); Li, Yu (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Ombrini, P. (TU Delft RST/Storage of Electrochemical Energy); Chen, Zhao (Chinese Academy of Sciences; University of Chinese Academy of Sciences); van der Jagt, R. (TU Delft RST/Storage of Electrochemical Energy); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2024","Transport electrification and grid storage hinge largely on fast-charging capabilities of Li- and Na-ion batteries, but anodes such as graphite with plating issues drive the scientific focus towards anodes with slopped storage potentials. Here we report fast charging of ampere-hour-level full Na-ion batteries within about 9 minutes for continuous 3,000 cycles based on hard carbon anodes. These anodes, in addition to displaying a sloped storage voltage, provide capacity at a nearly constant voltage just above the plating potential, without observing Na-metal plating under high areal capacity. Comparing the electrochemical behaviour of Li and Na in hard carbon through experimental and computational techniques, a unified storage mechanism relying on the dimensions of wedge nanopores and drawing parallels with underpotential deposition for metals is brought forward, providing a rational guide for achieving fast storage in hard carbon anodes.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-03","","","RST/Storage of Electrochemical Energy","","",""
"uuid:7df62dc3-ecba-4176-a9c1-18a40b82debe","http://resolver.tudelft.nl/uuid:7df62dc3-ecba-4176-a9c1-18a40b82debe","Solving inverse scattering problems via reduced-order model embedding procedures","Zimmerling, J.T. (TU Delft Signal Processing Systems; Uppsala University); Druskin, Vladimir (Worcester Polytechnic Institute); Guddati, Murthy (University of North Carolina); Cherkaev, Elena (University of Utah, College Of Science); Remis, R.F. (TU Delft Tera-Hertz Sensing)","","2024","We present a reduced-order model (ROM) methodology for inverse scattering problems in which the ROMs are data-driven, i.e. they are constructed directly from data gathered by sensors. Moreover, the entries of the ROM contain localised information about the coefficients of the wave equation. We solve the inverse problem by embedding the ROM in physical space. Such an approach is also followed in the theory of ‘optimal grids,’ where the ROMs are interpreted as two-point finite-difference discretisations of an underlying set of equations of a first-order continuous system on this special grid. Here, we extend this line of work to wave equations and introduce a new embedding technique, which we call Krein embedding, since it is inspired by Krein’s seminal work on vibrations of a string. In this embedding approach, an adaptive grid and a set of medium parameters can be directly extracted from a ROM and we show that several limitations of optimal grid embeddings can be avoided. Furthermore, we show how Krein embedding is connected to classical optimal grid embedding and that convergence results for optimal grids can be extended to this novel embedding approach. Finally, we also briefly discuss Krein embedding for open domains, that is, semi-infinite domains that extend to infinity in one direction.","embedding; inverse scattering; optimal grids; reduced-order models","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:44c80a1d-ad64-4c88-992c-e6ec02cf71d4","http://resolver.tudelft.nl/uuid:44c80a1d-ad64-4c88-992c-e6ec02cf71d4","On the necessity of new hydrophobic treatment after repointing of water repellent masonry","Nijland, Timo G. (TNO); Lubelli, B. (TU Delft Heritage & Architecture); van Zundert, Kim (TNO); van Hunen, Michiel (Cultural Heritage Agency of the Netherlands)","","2024","The impregnation of the exterior surface of a masonry wall with a water repellent is a common intervention in (historic) building renovation and maintenance. Such treatments, whilst degrading at the surface with time under influence of ultra violet light, remain effective below the surface several decades after their application. During renovation works of masonry previously treated with a water repellent, the question arises whether it is necessary to repeat the hydrophobic treatment of the entire masonry after repointing. Opposing opinions exist with this regard, but no research clearly supporting one or the other. This research investigates for the first time the effect of hydrophobic treatment when applied on previously treated and repointed masonry walls. Small masonry walls were subjected to rain periods in the laboratory and their water uptake and drying behaviour were studied. Moreover, this laboratory research was followed by 30 months of outdoor exposition of the masonry specimens. The following cases were considered: (1) wall treated with water repellent, (2) wall treated with water repellent, followed by repointing but without new water repellent treatment, (3) wall treated with water repellent, followed by repointing and retreatment. This was done for three different types of pointing mortar: ordinary Portland cement and natural hydraulic lime with standard sand, and natural hydraulic lime with sand with one grain size. The results show that, after prolonged rain periods, the water uptake by repointed but not retreated masonry is comparable to that of untreated, non-hydrophobic masonry, whereas drying is considerably slower. This leads to a high saturation degree in repointed but not retreated masonry, which, in turn, increases the risk of damage to the masonry by e.g. frost. Therefore, retreating repointed hydrophobic masonry should definitively be considered.","Absorption behavior; Drying behaviour; Hydrophobic treatment; Masonry; Pointing mortar; Re-pointing","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:a0726188-1df7-4115-a43f-84d87d6167aa","http://resolver.tudelft.nl/uuid:a0726188-1df7-4115-a43f-84d87d6167aa","Electrodeposited Sn-Cu-Ni alloys as lead-free solders on copper substrate using deep eutectic solvents: The influence of electrodeposition mode on the morphology, composition and corrosion behaviour","State, Sabrina Patricia (Politehnica University of Bucharest); Costovici, Stefania (Politehnica University of Bucharest); Mousavi, M. (TU Delft Team Yaiza Gonzalez Garcia); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia); Zanella, Caterina (Jönköping University); Cojocaru, Anca (Politehnica University of Bucharest); Anicai, Liana (Politehnica University of Bucharest); Visan, Teodor (Politehnica University of Bucharest); Enachescu, Marius (Politehnica University of Bucharest)","","2024","In this work we present the pulsed current (PC) electrodeposition of Sn-Cu-Ni alloy as lead-free solder candidate, from choline chloride – ethylene glycol eutectic mixtures (1:2 molar ratio) onto copper metallic substrates. Electrolytes containing Sn2+, Cu2+ and Ni2+ salts in the selected deep eutectic solvent have been considered. The effect of the applied frequency of PC on the morphology, composition and melting point of the alloy is discussed and compared to the ones obtained using direct current (DC) plating mode. A refinement of the grain size and lower melting temperature of the alloy were noticed when pulsed current was applied. A comparative analysis of the electrochemical corrosion behaviour at macro- and micro- scale has been performed in 0.5 M and 0.1 M NaCl solutions involving potentiodynamic polarization curves, electrochemical impedance spectroscopy (EIS) and scanning vibrating electrode (SVET) techniques. Furthermore, an analysis after 96 h of exposure to salt mist test simulating a corrosive attack in harsh environment is presented, too. The obtained results showed enhanced corrosion resistance of the ternary alloys electrodeposited under PC conditions (the best for 1.67 Hz frequency) as compared to those using DC. Additionally, Raman spectroscopy evidenced the presence of tin oxi/hydroxy chloride and tin oxides as surface corrosion products. A corrosion mechanism has been proposed.","Corrosion behaviour; Deep eutectic solvents; Electrodeposition; Sn-Cu-Ni ternary alloy; SVET","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-21","","","Team Yaiza Gonzalez Garcia","","",""
"uuid:1eb6c85b-454b-4052-90f9-1f85b4fb8845","http://resolver.tudelft.nl/uuid:1eb6c85b-454b-4052-90f9-1f85b4fb8845","A new sedimentary and biostratigraphic framework for the Callovian-Oxfordian transition on the Atlantic margin of Morocco","Duval-Arnould, Aude (The University of Manchester); Bulot, Luc (The University of Manchester); Masrour, Moussa (Ibn Zohr University); Simmons, Mike (Neftex-Halliburton); Bonnot, Alain (Université de Bourgogne); Charton, R.J.G. (TU Delft Applied Geology); Redfern, Jonathan (The University of Manchester); Schröder, Stefan (The University of Manchester)","","2024","A major global marine transgression occurred during the Callovian to Early Kimmeridgian, which was interrupted locally by a hiatus during the Late Callovian to Early Oxfordian. The transgression may have been a major driver for extensive coral buildup development in the Oxfordian. The depositional hiatus may be related to a combination of eustasy, local tectonic activity and hinterland movements, highlighting the potential influence of tectonism on sedimentary evolution in sedimentary basins. Whilst a regional Late Callovian-Early Oxfordian hiatus has been recorded extensively in the Tethys realm, this study has improved the biostratigraphic and sedimentary record from Morocco, and for the first time documents the Late Callovian-Early Oxfordian depositional hiatus in the Atlantic realm. Detailed sedimentary facies analysis of Callovian to Oxfordian carbonates and siliciclastics (Ouanamane Formation) demonstrates a major transgression from continental and coastal sediments, through oolitic mid-inner ramp deposits, brachiopod-rich middle ramp deposits, and ultimately to outer ramp marls, sharply overlain by Middle Oxfordian coral buildups across the basin. Repeated hard- and firmgrounds and bioturbated bed tops highlight transgressive surfaces traceable basinwide, which suggests low sedimentation rates in the upper Ouanamane Formation. Localized siliciclastic input is evidence for episodes of erosion in the same interval. Collection of new fossil specimens (ammonites, brachiopods, echinoderms, foraminifera) and revision of existing fossil material suggest this interval corresponds to a hiatus or condensed section in the Callovian-Oxfordian transition. The uppermost part of the Ouanamane Formation is of early Middle Oxfordian age and is directly overlain by Middle Oxfordian coral buildups. Onset of buildup construction is considered synchronous based on the new biostratigraphic data. Observations in Moroco compare with the sedimentary evolution around the Tethys, and in particular with the Arabian Plate. The Callovian-Oxfordian depositional hiatus corresponds to eustatic sea level changes, which were possibly driven by global cooling, and was likely overprinted by local tectonics.","Biostratigraphy; Callovian-oxfordian transgression; Carbonate ramp; Coral buildup; Depositional hiatus","en","journal article","","","","","","","","","","","Applied Geology","","",""
"uuid:00b696c3-aa93-46b6-b1a7-ea27ee13c13e","http://resolver.tudelft.nl/uuid:00b696c3-aa93-46b6-b1a7-ea27ee13c13e","Distinct spatiotemporal dynamics of CD8+ T cell-derived cytokines in the tumor microenvironment","Hoekstra, Mirjam E. (Netherlands Cancer Institute); Slagter, Maarten (Netherlands Cancer Institute); Urbanus, Jos (Netherlands Cancer Institute); Toebes, Mireille (Netherlands Cancer Institute); Slingerland, Nadine (Netherlands Cancer Institute); de Rink, Iris (Netherlands Cancer Institute); Kluin, Roelof J.C. (Netherlands Cancer Institute); Nieuwland, Marja (Netherlands Cancer Institute); Wessels, L.F.A. (TU Delft Pattern Recognition and Bioinformatics; Netherlands Cancer Institute)","","2024","Cells in the tumor microenvironment (TME) influence each other through secretion and sensing of soluble mediators, such as cytokines and chemokines. While signaling of interferon γ (IFNγ) and tumor necrosis factor α (TNFα) is integral to anti-tumor immune responses, our understanding of the spatiotemporal behavior of these cytokines is limited. Here, we describe a single cell transcriptome-based approach to infer which signal(s) an individual cell has received. We demonstrate that, contrary to expectations, CD8+ T cell-derived IFNγ is the dominant modifier of the TME relative to TNFα. Furthermore, we demonstrate that cell pools that show abundant IFNγ sensing are characterized by decreased expression of transforming growth factor β (TGFβ)-induced genes, consistent with IFNγ-mediated TME remodeling. Collectively, these data provide evidence that CD8+ T cell-secreted cytokines should be categorized into local and global tissue modifiers, and describe a broadly applicable approach to dissect cytokine and chemokine modulation of the TME.","cytokine; IFN-gamma; single cell RNA-seq; T cell; TNF-alpha; tumor microenvironment","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:dfb53726-d466-4f7a-b6a2-f5565cc200d9","http://resolver.tudelft.nl/uuid:dfb53726-d466-4f7a-b6a2-f5565cc200d9","Cerebrospinal fluid proteomics in patients with Alzheimer’s disease reveals five molecular subtypes with distinct genetic risk profiles","Tijms, Betty M. (Vrije Universiteit Amsterdam; Amsterdam Neuroscience); Vromen, Ellen M. (Vrije Universiteit Amsterdam; Amsterdam Neuroscience); Mjaavatten, Olav (University of Bergen and Bjerknes Centre for Climate Research); Holstege, Henne (Alzheimer Center Amsterdam; Vrije Universiteit Amsterdam); Reus, Lianne M. (Vrije Universiteit Amsterdam; Amsterdam Neuroscience; University of California); van der Lee, Sven (Alzheimer Center Amsterdam; Vrije Universiteit Amsterdam); Wesenhagen, Kirsten E.J. (Vrije Universiteit Amsterdam; Amsterdam Neuroscience); Lorenzini, Luigi (Vrije Universiteit Amsterdam; Amsterdam Neuroscience); Tesi, N. (TU Delft Pattern Recognition and Bioinformatics; Vrije Universiteit Amsterdam)","","2024","Alzheimer’s disease (AD) is heterogenous at the molecular level. Understanding this heterogeneity is critical for AD drug development. Here we define AD molecular subtypes using mass spectrometry proteomics in cerebrospinal fluid, based on 1,058 proteins, with different levels in individuals with AD (n = 419) compared to controls (n = 187). These AD subtypes had alterations in protein levels that were associated with distinct molecular processes: subtype 1 was characterized by proteins related to neuronal hyperplasticity; subtype 2 by innate immune activation; subtype 3 by RNA dysregulation; subtype 4 by choroid plexus dysfunction; and subtype 5 by blood–brain barrier impairment. Each subtype was related to specific AD genetic risk variants, for example, subtype 1 was enriched with TREM2 R47H. Subtypes also differed in clinical outcomes, survival times and anatomical patterns of brain atrophy. These results indicate molecular heterogeneity in AD and highlight the need for personalized medicine.","","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:35c1966b-74d4-40c2-b43d-05daf70246b5","http://resolver.tudelft.nl/uuid:35c1966b-74d4-40c2-b43d-05daf70246b5","Estimating geodynamic model parameters from geodetic observations using a particle method","Marsman, C. P. (Universiteit Utrecht); Vossepoel, F.C. (TU Delft Reservoir Engineering); Van Dinther, Y. (Universiteit Utrecht); Govers, R. (Universiteit Utrecht)","","2024","Bayesian-based data assimilation methods integrate observational data into geophysical forward models to obtain the temporal evolution of an improved state vector, including its uncertainties. We explore the potential of a variant, a particle method, to estimate mechanical parameters of the overriding plate during the interseismic period. Here we assimilate vertical surface displacements into an elementary flexural model to estimate the elastic thickness of the overriding plate, and the locations and magnitudes of line loads acting on the overriding plate to produce flexure. Assimilation of synthetic observations sampled from a different forward model than is used in the particle method, reveal that synthetic seafloor data within 150 km from the trench are required to properly constrain parameters for long wavelength solutions of the upper plate (i.e. wavelength ∼500 km). Assimilation of synthetic observations sampled from the same flexural model used in the particle method shows remarkable convergence towards the true parameters with synthetic on-land data only for short to intermediate wavelength solutions (i.e. wavelengths between ∼100 and 300 km). In real-data assimilation experiments we assign representation errors due to discrepancies between our incorrect or incomplete physical model and the data. When assimilating continental data prior to the 2011 Mw Tohoku-Oki earthquake (1997-2000), an unrealistically low effective elastic plate thickness for Tohoku of ∼5-7 km is estimated. Our synthetic experiments suggest that improvements to the physical forward model, such as the inclusion of a slab, a megathrust interface and viscoelasticity of the mantle, including accurate seafloor data, and additional geodetic observations, may refine our estimates of the effective elastic plate thickness. Overall, we demonstrate the potential of using the particle method to constrain geodynamic parameters by providing constraints on parameters and corresponding uncertainty values. Using the particle method, we provide insights into the data network sensitivity and identify parameter trade-offs.","Inverse theory; Lithospheric flexure; Probabilistic forecasting; Statistical methods; Subduction zone processes; Time-series analysis","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:bee8d20f-da49-44fc-8295-2996e2962eb1","http://resolver.tudelft.nl/uuid:bee8d20f-da49-44fc-8295-2996e2962eb1","Economic assessment of nature-based solutions to reduce flood risk and enhance co-benefits","Ruangpan, L. (TU Delft BT/Environmental Biotechnology; IHE Delft Institute for Water Education); Vojinovic, Zoran (IHE Delft Institute for Water Education; University of Belgrade; University of Exeter); Plavšić, Jasna (University of Belgrade); Curran, Alex (HKV Lijn in Water); Rosic, Nikola (University of Belgrade); Pudar, Ranko (Pudar Mitigation Consulting); Savic, Dragan (University of Exeter; KWR Water Research Institute); Brdjanovic, Damir (TU Delft BT/Environmental Biotechnology; IHE Delft Institute for Water Education)","","2024","Flooding is expected to increase due to climate change, urbanisation, and land use change. To address this issue, Nature-Based Solutions (NBSs) are often adopted as innovative and sustainable flood risk management methods. Besides the flood risk reduction benefits, NBSs offer co-benefits for the environment and society. However, these co-benefits are rarely considered in flood risk management due to the inherent complexities of incorporating them into economic assessments. This research addresses this gap by developing a comprehensive methodology that integrates the monetary analysis of co-benefits with flood risk reduction in economic assessments. In doing so, it aspires to provide a more holistic view of the impact of NBS in flood risk management. The assessment employs a framework based on life-cycle cost-benefit analysis, offering a systematic and transparent assessment of both costs and benefits over time supported by key indicators like net present value and benefit cost ratio. The methodology has been applied to the Tamnava basin in Serbia, where significant flooding occurred in 2014 and 2020. The methodology offers valuable insights for practitioners, researchers, and planners seeking to assess the co-benefits of NBS and integrate them into economic assessments. The results show that when considering flood risk reduction alone, all considered measures have higher costs than the benefits derived from avoiding flood damage. However, when incorporating co-benefits, several NBS have a net positive economic impact, including afforestation/reforestation and retention ponds with cost-benefit ratios of 3.5 and 5.6 respectively. This suggests that incorporating co-benefits into economic assessments can significantly increase the overall economic efficiency and viability of NBS.","Adaptation measures; Co-benefits; Cost-benefits analysis; Life cycle assessment; Monetary; NBS","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:0ed7fb06-8e1c-4c52-b809-d32b0191c1da","http://resolver.tudelft.nl/uuid:0ed7fb06-8e1c-4c52-b809-d32b0191c1da","On the impact of decision rule assumptions in experimental designs on preference recovery: An application to climate change adaptation measures","van Cranenburgh, S. (TU Delft Transport and Logistics); Meyerhoff, Jürgen (Hochschule für Wirtschaft und Recht Berlin); Rehdanz, Katrin (Christian-Albrechts-Universität zu Kiel); Wunsch, Andrea (Kiel Institute for the World Economy)","","2024","Efficient experimental designs aim to maximise the information obtained from stated choice data to estimate discrete choice models' parameters statistically efficiently. Almost without exception efficient experimental designs assume that decision-makers use a Random Utility Maximisation (RUM) decision rule. When using such designs, researchers (implicitly) assume that the decision rule used to generate the design has no impact on respondents' choice behaviour. This study investigates whether the decision rule assumption underlying an experimental design affects respondents' choice behaviour. We use four stated choice experiments on coastal adaptation to climate change: Two are based on experimental designs optimised for utility maximisation and two are based on experimental designs optimised for a mixture of RUM and Random Regret Minimisation (RRM). Generally, we find that respondents place value on adaptation measures (e.g., dykes and beach nourishments). We evaluate the models' fits and investigate whether some choice tasks particularly invoke RUM or RRM decision rules. For the latter, we develop a new sampling-based approach that avoids the confounding between preference and decision rule heterogeneity. We find no evidence that RUM-optimised designs invoke RUM-consistent choice behaviour. However, we find a relationship between some of the attributes and decision rules, and compelling evidence that some choice tasks invoke RUM consistent behaviour while others invoke RRM consistent behaviour. This implies that respondents’ choice behaviour and choice modelling outcomes are not exogenous to the choice tasks, which can be particularly critical when information on preferences is used to inform actual decision-making on a sensitive issue of common interest as climate change.","Climate change; Coastal adaptation; Decision rules; Experimental design theory; Random regret minimisation","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:b662a072-1c86-47ad-aa5a-87f1d11e5b2c","http://resolver.tudelft.nl/uuid:b662a072-1c86-47ad-aa5a-87f1d11e5b2c","3D macro-element for innovative plug-and-play joints","Miculaş, Cristian V. (Universidade de Coimbra); Costa, Ricardo J. (Universidade de Coimbra); da Silva, Luis Simões (Universidade de Coimbra); Simões, Rui (Universidade de Coimbra); Craveiro, Helder (Universidade de Coimbra); Tankova, T. (TU Delft Steel & Composite Structures)","","2024","This paper presents the development, implementation, and validation of a macro-element suitable for the linear analysis of innovative 3D plug-and-play joints between tubular columns and lightweight steel truss-girders. The macro-element is based on the component method, accounts for the three-dimensional interaction between the tube faces, and its components have a clear physical meaning. Simplified procedures are developed for the closed-form computation of the stiffness matrix of the macro-element based on the geometric and mechanical properties of the nodal zone. This facilitates practical application in everyday design scenarios. Furthermore, the macro-element's architecture is implemented in the framework of OpenSees as a standalone beam-to-column joint finite element. Validation of the conceptual design is accomplished through parametric studies, comparing its performance with models generated in higher-order finite element commercial software, Abaqus. This research offers a valuable resource for the linear analysis and design of innovative 3D plug-and-play joint connections in structural engineering, enhancing efficiency and reliability in construction practices.","Innovative plug-and-play joints; Lightweight steel frames; Macro-element; OpenSees; Tubular columns","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:1a8445b5-3f95-4b2f-b53d-01e3df9c7349","http://resolver.tudelft.nl/uuid:1a8445b5-3f95-4b2f-b53d-01e3df9c7349","Mass-change And Geosciences International Constellation (MAGIC) expected impact on science and applications","Daras, I. (European Space Agency (ESA)); March, G. (RHEA for European Space Agency); Pail, R. (Technische Universität München); Hughes, C. W. (University of Liverpool; National Oceanography Center); Braitenberg, C. (University of Trieste); Guntner, A. (University of Potsdam; Helmholtz Centre Potsdam - GFZ German Research Centre for Geosciences); Eicker, A. (HafenCity University Hamburg); Wouters, B. (TU Delft Physical and Space Geodesy); Heller-Kaikov, B. (Technische Universität München)","","2024","The joint ESA/NASA Mass-change And Geosciences International Constellation (MAGIC) has the objective to extend time-series from previous gravity missions, including an improvement of accuracy and spatio-temporal resolution. The long-term monitoring of Earth’s gravity field carries information on mass change induced by water cycle, climate change and mass transport processes between atmosphere, cryosphere, oceans and solid Earth. MAGIC will be composed of two satellite pairs flying in different orbit planes. The NASA/DLR-led first pair (P1) is expected to be in a near-polar orbit around 500 km of altitude; while the second ESA-led pair (P2) is expected to be in an inclined orbit of 65◦–70◦ at approximately 400 km altitude. The ESA-led pair P2 Next Generation Gravity Mission shall be launched after P1 in a staggered manner to form the MAGIC constellation. The addition of an inclined pair shall lead to reduction of temporal aliasing effects and consequently of reliance on de-aliasing models and post-processing. The main novelty of the MAGIC constellation is the delivery of mass-change products at higher spatial resolution, temporal (i.e. subweekly) resolution, shorter latency and higher accuracy than the Gravity Recovery and Climate Experiment (GRACE) and Gravity Recovery and Climate Experiment Follow-On (GRACE-FO). This will pave the way to new science applications and operational services. In this paper, an overview of various fields of science and service applications for hydrology, cryosphere, oceanography, solid Earth, climate change and geodesy is provided. These thematic fields and newly enabled applications and services were analysed in the frame of the initial ESA Science Support activities for MAGIC. The analyses of MAGIC scenarios for different application areas in the field of geosciences confirmed that the double-pair configuration will significantly enlarge the number of observable mass-change phenomena by resolving smaller spatial scales with an uncertainty that satisfies evolved user requirements expressed by international bodies such as IUGG. The required uncertainty levels of dedicated thematic fields met by MAGIC unfiltered Level-2 products will benefit hydrological applications by recovering more than 90 per cent of the major river basins worldwide at 260 km spatial resolution, cryosphere applications by enabling mass change signal separation in the interior of Greenland from those in the coastal zones and by resolving small-scale mass variability in challenging regions such as the Antarctic Peninsula, oceanography applications by monitoring meridional overturning circulation changes on timescales of years and decades, climate applications by detecting amplitude and phase changes of Terrestrial Water Storage after 30 yr in 64 and 56 per cent of the global land areas and solid Earth applications by lowering the Earthquake detection threshold from magnitude 8.8 to magnitude 7.4 with spatial resolution increased to 333 km.","Earthquake dynamics; Glaciology; Global change from geodesy; Hydrology; Satellite gravity; Time variable gravity","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:16b3382f-fbfd-4d0a-a848-4bfcebb8840d","http://resolver.tudelft.nl/uuid:16b3382f-fbfd-4d0a-a848-4bfcebb8840d","Review of the state of art of Li-based inhibitors and coating technology for the corrosion protection of aluminium alloys","Li, Z. (TU Delft Team Arjan Mol); Visser, Peter (Akzo Nobel); Hughes, Anthony E. (CSIRO Mineral Resources); Homborg, A.M. (TU Delft Team Arjan Mol; Netherlands Defence Academy); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia); Mol, J.M.C. (TU Delft Team Arjan Mol)","","2024","The quest for novel alternatives to hexavalent-chromium-based corrosion inhibitors is of utmost significance and urgency. Strict international health and safety regulations, due to growing concerns regarding the impact of hexavalent chromium on human health and the environment, have pushed the commercial introduction of many alternative inhibitor types, but the implementation of alternative active protective primers for structural parts in the aerospace industry is still pending. This endeavour has proven to be remarkably challenging, as the potential replacement coating types must meet numerous functional requirements encompassing cost-effectiveness and exceptional corrosion protection for intrinsically corrosion susceptible aerospace aluminium alloys. In recent years, considerable attention has been drawn to lithium salts as environmentally friendly corrosion inhibitors forming the basis for a novel active protective coating technology. The involvement of lithium ions has been shown to play a pivotal role in the conversion process of aluminium alloy surfaces by stabilizing the reaction products, thereby facilitating the gradual development of a protective layer with a multi-layered configuration, which exhibits considerable variability in morphology, depending on local chemical and electrochemical conditions. The versatility of the lithium-based corrosion protection extends to their application as corrosion inhibiting pigments in organic coatings or as a pre-treatment, directly forming conversion layers, thereby enhancing their practical implementation. However, previous chromate replacement reviews only introduced the promising outcomes provided by the lithium technology, omitting key details of its development and formation mechanism. This paper critically reviews and summarizes the studies conducted to date on lithium-based inhibitor technologies for the corrosion protection of aluminium alloys as well as topics to be investigated in the future.","Aluminium alloy; Coating technology; Corrosion protection; lithium inhibitor","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:61868d82-e498-4bb0-8352-616415580c4f","http://resolver.tudelft.nl/uuid:61868d82-e498-4bb0-8352-616415580c4f","Unravelling microstructure-electroactivity relationships in free-standing polycrystalline boron-doped diamond: A mapping study","Liu, Z. (TU Delft Micro and Nano Engineering); Baluchová, S. (TU Delft Micro and Nano Engineering); Li, Z. (TU Delft Team Arjan Mol); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia); Hall, Clive E. (Mintres B.V.); Buijnsters, J.G. (TU Delft Micro and Nano Engineering)","","2024","In this work, four different techniques were concurrently applied to study the interplay between local electroactivity and electrode surface characteristics of free-standing, polycrystalline boron-doped diamond (BDD). Scanning electron microscopy, electron back-scatter diffraction, Raman mapping and scanning electrochemical microscopy were used to probe the electrode morphology, grain orientation and boundaries, composition, and local electrochemical activity, respectively. Both nucleation and growth BDD surfaces together with the cross-section area were carefully investigated for the first time in a single study using the combination of all four techniques. This enabled us to obtain significant insights into the highly heterogeneous nature of the polycrystalline BDD material. Notably, boron dopants were confirmed to be non-uniformly distributed over the BDD material, which is characterized by a distinct columnar structure and composition of grains of various orientations. Particularly, the highest electrochemical activity was recorded on the highest doped (111) crystal orientation. In contrast, the averagely boron-doped (100)-oriented facet showed non-conductive nature. This highlights that the local electrochemical activity of the BDD surface is strongly grain-dependent and the most significant factors governing the obtained responses are crystallographic orientation and boron doping. Moreover, increased boron and sp2 carbon content in the boundary regions was recognized by Raman mapping. However, such localized enrichment in impurities did not translate into enhanced electrochemical activity, which implies that boron atoms at the inter-grain areas are predominantly inactive. Finally, it is crucial to consider all characteristics of the polycrystalline BDD including crystal orientation, which is particularly relevant if micro- and nanoscale probing is intended.","Boron-doped diamond (BDD); Electron backscatter diffraction; Grain boundaries; Raman mapping; Scanning electrochemical microscopy (SECM)","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:46b9457b-7427-42cd-a595-0a2c7ced3c63","http://resolver.tudelft.nl/uuid:46b9457b-7427-42cd-a595-0a2c7ced3c63","To charge or not to charge? Using Prospect Theory to model the tradeoffs of electric vehicle users","Pelka, S. (TU Delft Energie and Industrie; Fraunhofer Institute for Systems and Innovation Research ISI); Bosch, A. (Fraunhofer Institute for Systems and Innovation Research ISI); Chappin, E.J.L. (TU Delft Energie and Industrie); Liesenhoff, F. (Fraunhofer Institute for Systems and Innovation Research ISI); Kühnbach, M. (Fraunhofer Institute for Solar Energy Systems ISE); De Vries, Laurens (TU Delft Energie and Industrie)","","2024","Electric vehicle (EV) users who aim to become flexibility providers face a tradeoff between staying in control of charging and minimizing their electricity costs. The common practice is to charge immediately after plugging in and use more electricity than necessary. Changing this can increase the EV’s flexibility potential and reduce electricity costs. Our extended electricity cost optimization model systematically examines how different changes to this practice influence electricity costs. Based on the Prospect Theory and substantiated by empirical data, it captures EV users’ tradeoff between relinquishing control and reducing charging costs. Lowering the need to control charging results in disproportionally large savings in electricity costs. This finding incentivizes EV-users to relinquish even more control of charging. We analyzed changes to two charging settings that express the need for control. We found that changing only one setting offsets the other and reduces its positive effect on cost savings. Behavioral aspects, such as rebound effects and inertia that are widely documented in the literature, support this finding and underline the fit of our model extension to capture different charging behaviors. Our findings suggest that service providers should convince EV-users to relinquish control of both settings.","Direct load control; Discomfort cost; Electric vehicle; Prospect Theory; Prosumer; Smart charging","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:94156d87-7a0a-4af0-a5d0-c83827d67c19","http://resolver.tudelft.nl/uuid:94156d87-7a0a-4af0-a5d0-c83827d67c19","Micromechanics-based deep-learning for composites: Challenges and future perspectives","Mirkhalaf, Mohsen (University of Gothenburg); Rocha, I.B.C.M. (TU Delft Applied Mechanics)","","2024","During the last few decades, industries such as aerospace and wind energy (among others) have been remarkably influenced by the introduction of high-performance composites. One challenge, however, for modeling and designing composites is the lack of computational efficiency of accurate high-fidelity models. For design purposes, using conventional optimization approaches typically results in cumbersome procedures due to huge dimensions of the design space and high computational expense of full-field simulations. In recent years, deep learning techniques have been found to be promising methods to increase the efficiency and robustness of a variety of algorithms in multi-scale modeling and design of composites. In this perspective paper, a short overview of the recent developments in micromechanics-based machine learning for composites is given. More importantly, existing challenges for further model enhancements and future perspectives of the field development are elaborated.","Artificial neural networks; Composite materials; Micromechanics","en","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:c1c0ee8b-64f5-4a6b-a4f2-ce6421279e36","http://resolver.tudelft.nl/uuid:c1c0ee8b-64f5-4a6b-a4f2-ce6421279e36","Pressure drop non-linearities in material extrusion additive manufacturing: A novel approach for pressure monitoring and numerical modeling","de Vries, Sietse (Ultimaker); Schuller, Tomás (Universidade do Porto; INEGI); Galindo-Rosales, Francisco J. (Universidade do Porto); Fanzio, P. (TU Delft Micro and Nano Engineering)","","2024","Fused Filament Fabrication is an additive manufacturing technique in which molten thermoplastic polymers are extruded through a nozzle. Therefore, the interplay between the viscoelastic nature of the polymer melt, temperature, printing conditions and nozzle shape may lead to inconsistent extrusion. To improve the extrusion control and optimize the print-head performance, a better understanding of the flow process of the polymer melt both in the nozzle and the liquefier is needed. However, several challenges need to be overcome due to the complexity of gathering experimental data on the melt pressure in the nozzle and the lack of numerical models able to capture the full rheology of the molten polymer. This research introduces an innovative approach for monitoring the pressure within a material extrusion 3D printer's nozzle. This method involves utilizing a pin in direct contact with the molten material, which then transmits the applied force from the material to an externally mounted load cell. The setup provides reliable, repeatable pressure data in steady-state conditions for two nozzle geometries and at different extrusion flows and temperatures. Moreover, the Giesekus model enabled capturing the viscoelastic rheometric features of the melt, and the numerical predictions have been compared with the experimental data. Results show that the numerical model accurately describes the flow conditions in the nozzle and allows the estimation of the behavior of the melt in the liquefier zone, the area of the print-head where the filament is molten. It could be concluded that the backflow, which is the backward flow of the molten polymer in the gap between the filament and the liquefier towards the cold end, caused significant non-linearities in the total pressure drop measured in the feeders, which were related to normal forces induced by shear in that region.","3D printing; Elastic instabilities; Fused filament fabrication; Numerical simulations; Polylactic acid (PLA)","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:7b36b99f-0616-4d8c-8a7e-a46f6b73abd2","http://resolver.tudelft.nl/uuid:7b36b99f-0616-4d8c-8a7e-a46f6b73abd2","A CPT-based multi-spring model for lateral monopile analysis under SLS conditions in sand","Tott-Buswell, Jacques (University of Nottingham); Prendergast, Luke J. (University of Nottingham); Gavin, Kenneth (TU Delft Geo-engineering)","","2024","Monopiles are the most common Offshore Wind Turbine (OWT) foundations due to their simplicity in design, fabrication, and installation. However, large new-generation turbines have led to significant changes in monopile dimensions, necessitating extensive finite element analyses and ground investigations to meet design requirements. While Cone Penetration Test (CPT)-based p-y methods can analyse slender pile lateral behaviour, they often miss additional resistance mechanisms relevant to rigid monopiles. This paper introduces CPT-informed resistance mechanisms for monopiles to incorporate additional lateral resistances beyond p-y modelling capabilities. Distributed moment–rotation (m-θ) springs are defined by repurposing CPT-based axial capacity estimation methods for piles; and pile tip shear and moment springs are informed by approximating a residual bearing stress post-installation using local CPT qc values. The performance of the multi-spring model is appraised against data reported from monotonic pile pushover tests conducted at two sand sites. Results show that the multi-spring model is capable of predicting pile head deflections reasonably well within serviceability deflection limits against the reported test data, but ultimate failure loads cannot be predicted using the proposed model. A clear sensitivity in pile response to local variations in CPT qc is demonstrated.","In-situ testing; Numerical modelling; Offshore engineering; Piles & piling; Soil–structure interaction","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:79541ea7-57a4-4625-8c64-366157e39961","http://resolver.tudelft.nl/uuid:79541ea7-57a4-4625-8c64-366157e39961","Image scanning microscopy: a vectorial physical optics analysis","Hung, S. (TU Delft Team Carlas Smith); Kalisvaart, D. (TU Delft Team Carlas Smith); Smith, C.S. (TU Delft BN/Nynke Dekker Lab; TU Delft ImPhys/Rieger group; TU Delft Team Carlas Smith)","","2024","Image scanning microscopy (ISM) achieves resolution beyond the diffraction limit by a factor of √2. However, prior ISM research predominantly employs scalar diffraction theory, neglecting critical physical effects such as polarization, aberrations, and Stokes shift. This paper presents a comprehensive vectorial ISM point spread function (PSF) model that accounts for these phenomena. By considering the effect of polarization in emission and excitation paths, as well as aberrations and Stokes shift, our model provides a more accurate representation of ISM. We analyze the differences between scalar and vectorial theories in ISM and investigate the impact of pinhole size and aberration strength on resolution. At a numerical aperture of 1.2, the full width half maximum (FWHM) discrepancy between scalar and vectorial ISM PSFs can reach 45 nm, representing a 30% deviation from the vectorial model. Additionally, we explore multiphoton excitation in ISM and observe increased FWHM for 2-photon and 3-photon excitation compared to 1-photon excitation. The FWHM of the 2-photon excitation ISM PSF increases by 20% and the FWHM of the 3-photon excitation ISM PSF increases by 28% compared to the 1-photon excitation ISM. In addition, we found that the optimal sweep factor for 2-photon ISM is 1.22, and the optimal sweep factor of 3-photon ISM is 1.12 instead of the 2 predicted by the one-photon scalar ISM theory. Our work improves the understanding of ISM and contributes to its advancement as a high-resolution imaging technique.","","en","journal article","","","","","","","","","","","Team Carlas Smith","","",""
"uuid:490b742b-aff2-4514-b4c5-a43a5689677b","http://resolver.tudelft.nl/uuid:490b742b-aff2-4514-b4c5-a43a5689677b","The critical variational setting for stochastic evolution equations","Agresti, A. (Institute of Science and Technology Austria); Veraar, M.C. (TU Delft Analysis)","","2024","In this paper we introduce the critical variational setting for parabolic stochastic evolution equations of quasi- or semi-linear type. Our results improve many of the abstract results in the classical variational setting. In particular, we are able to replace the usual weak or local monotonicity condition by a more flexible local Lipschitz condition. Moreover, the usual growth conditions on the multiplicative noise are weakened considerably. Our new setting provides general conditions under which local and global existence and uniqueness hold. In addition, we prove continuous dependence on the initial data. We show that many classical SPDEs, which could not be covered by the classical variational setting, do fit in the critical variational setting. In particular, this is the case for the Cahn–Hilliard equation, tamed Navier–Stokes equations, and Allen–Cahn equation.","Allen–Cahn equation; Cahn–Hilliard equation; Coercivity; Critical nonlinearities; Generalized Burgers equation; Quasi- and semi-linear; Stochastic evolution equations; Stochastic partial differential equations; Swift–Hohenberg equation; Tamed Navier–Stokes; Variational methods","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:8dd22140-be36-4559-9f93-eeede35725ec","http://resolver.tudelft.nl/uuid:8dd22140-be36-4559-9f93-eeede35725ec","Efficient and fail-safe quantum algorithm for the transport equation","Schalkers, M.A. (TU Delft Numerical Analysis); Möller, M. (TU Delft Numerical Analysis)","","2024","In this paper we present a scalable algorithm for fault-tolerant quantum computers for solving the transport equation in two and three spatial dimensions for variable grid sizes and discrete velocities, where the object walls are aligned with the Cartesian grid, the relative difference of velocities in each dimension is bounded by 1 and the total simulated time is dependent on the discrete velocities chosen. We provide detailed descriptions and complexity analyses of all steps of our quantum transport method (QTM) and present numerical results for 2D flows generated in Qiskit as a proof of concept. Our QTM is based on a novel streaming approach which leads to a reduction in the amount of CNOT gates required in comparison to state-of-the-art quantum streaming methods. As a second highlight of this paper we present a novel object encoding method, that reduces the complexity of the amount of CNOT gates required to encode walls, which now becomes independent of the size of the wall. Finally we present a novel quantum encoding of the particles' discrete velocities that enables a linear speed-up in the costs of reflecting the velocity of a particle, which now becomes independent of the amount of velocities encoded. Our main contribution consists of a detailed description of a fail-safe implementation of a quantum algorithm for the reflection step of the transport equation that can be readily implemented on a physical quantum computer. This fail-safe implementation allows for a variety of initial conditions and particle velocities and leads to physically correct particle flow behavior around the walls, edges and corners of obstacles. Combining these results we present a novel and fail-safe quantum algorithm for the transport equation that can be used for a multitude of flow configurations and leads to physically correct behavior. We finally show that our approach only requires O(nwng2+dntvnvmax2) CNOT gates, which is quadratic in the amount of qubits necessary to encode the grid and the amount of qubits necessary to encode the discrete velocities in a single spatial dimension. This complexity result makes our approach superior to state-of-the-art approaches known in the literature.","Quantum algorithm; Quantum computational fluid dynamics; Quantum computing; Transport equation","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:c545502a-55a9-4654-aa57-29159b06e9b1","http://resolver.tudelft.nl/uuid:c545502a-55a9-4654-aa57-29159b06e9b1","Co-designing a research agenda for climate adaptation in El Salvador's coffee sector: A transdisciplinary perspective","Teodoro Morales, J.D. (TU Delft Transport and Logistics); Marselis, Suzanne (Universiteit Leiden); Maiello, A. (Universiteit Leiden); Häger, Achim (Universiteit Leiden)","","2024","The inclusion of social actors is widely acknowledged as a precondition for just and sustainable adaptation strategies to climate change. The integration of diverse types of scientific and local knowledge contributes to a better understanding of problems and increases the relevance of science at the local scale. In this study, we - an interdisciplinary team of scientists - employed a transdisciplinary methodology to enable the discussion of collaborative action the Salvadoran coffee sector needs to adapt to current and future impacts of climate change. Through a stakeholder encounter we elicited knowledge exchange among stakeholders to generate (i) a collective awareness of the experiences of climate impacts and (ii) outline a research agenda to facilitate a transdisciplinary climate change adaptation strategy. We used a suite of standard and participatory data-gathering methods, including desk research, in-depth informal conversations, questionnaires, field visits, small-group discussions, and a one-day workshop. In this paper, we present the methodological approach and the outcomes of the transdisciplinary research process. We ultimately outline a collectively generated research agenda using the input of stakeholders who partook in the workshop.","Agriculture; Climate resilience; Research co-design; Salvadoran coffee; Stakeholder encounter; Transdisciplinary research","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:5efd5ddb-579d-4d2f-85b2-15e229cc4dc7","http://resolver.tudelft.nl/uuid:5efd5ddb-579d-4d2f-85b2-15e229cc4dc7","Combined Fabrication and Performance Evaluation of TOPCon Back-Contact Solar Cells with Lateral Power Metal-Oxide-Semiconductor Field-Effect Transistors on a Single Substrate","van Nijen, D.A. (TU Delft Photovoltaic Materials and Devices); Stevens, Tristan (Student TU Delft); Mercimek, Yavuzhan (Student TU Delft); Yang, G. (TU Delft Photovoltaic Materials and Devices); van Swaaij, R.A.C.M.M. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Manganiello, P. (TU Delft Photovoltaic Materials and Devices)","","2024","Nowadays, an increasing share of photovoltaic (PV) systems makes use of module- or submodule-level power electronics (PE). Furthermore, PE is used in stand-alone devices powered by PV-storage solutions. One way to facilitate further implementation of PE in PV applications is to integrate PE components into crystalline silicon PV cells. Herein, the COSMOS device is introduced, denoting COmbined Solar cell and metal-oxide-semiconductor field-effect transistor (MOSFET). Specifically, the combined manufacturing of lateral power MOSFETs and interdigitated back contact solar cells with tunnel-oxide passivated contacts (TOPCon) on a single wafer is reported. Many steps of the proposed process flow are used for the fabrication of both devices, enabling cost-effective integration of the MOSFET. Both n-type solar cells with integrated p-channel MOSFETs (PMOS) and p-type solar cells with integrated n-channel MOSFETs (NMOS) are successfully manufactured. NMOS devices perform better in achieving low on-resistance, while PMOS devices exhibit lower leakage currents. Furthermore, the study reveals integration challenges where off-state leakage currents of the MOSFET can increase due to illumination and specific configurations of monolithic interconnections between the MOSFET and the solar cell. Nevertheless, for both n-type and p-type solar cells, efficiencies exceeding 20% are achieved, highlighting the potential of the proposed process for COSMOS devices.","COSMOS; crystalline silicon; integration; interdigitated back contact; monolithic integration; MOSFET; photovoltatronics; polycrystalline silicon on oxide; power electronics; tunnel-oxide passivated contacts","en","journal article","","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:08e0f52f-c2ce-49a9-8d6f-a4f7c97a5145","http://resolver.tudelft.nl/uuid:08e0f52f-c2ce-49a9-8d6f-a4f7c97a5145","Unravelling corrosion degradation of aged aircraft components protected by chromate-based coatings","Cornet, A.J. (TU Delft Team Arjan Mol; Royal Netherlands Air Force); Homborg, A.M. (TU Delft Team Arjan Mol; Netherlands Defence Academy); Ravi Anusuyadevi, P. (TU Delft Team Arjan Mol); 't Hoen-Velterop, L. (National Aerospace Laboratory, Marknesse); Mol, J.M.C. (TU Delft Team Arjan Mol)","","2024","Despite extensive research, eliminating hexavalent chromium-based inhibitors from aerospace coatings remains challenging due to a lack of understanding of coating degradation during aircraft service. This study addresses the issue by investigating the protective mechanisms and aging processes of chromate-containing coatings on aircraft components after service for over 35 years. Four aircraft parts underwent visual inspection, disassembly, and analysis using scanning electron microscopy (SEM) and X-ray Photoelectron Spectroscopy (XPS). While most coating areas remained intact after extended use, three distinct degradation modes were identified: tip erosion, corrosion around rivets, and corrosion around fasteners at the leading edge. These findings reveal the complexity of corrosion protection, emphasizing that hexavalent chromium-containing coatings may not offer comprehensive protection at local design heterogeneities. The study also highlights the need to revisit traditional laboratory analysis protocols based on accelerated corrosion testing of oversimplified sample configurations, given the revealed end-of-service failure mechanisms.","Aerospace engineering; Structures; Aluminium alloy; Composites; Fasteners; Microscopic characterization and microanalysis; Corrosion; Manufacturing defect; Isolation; Drain holes","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:73c6a47d-8747-4978-a06e-d0005e7ea0c6","http://resolver.tudelft.nl/uuid:73c6a47d-8747-4978-a06e-d0005e7ea0c6","Evolution of automated weakness detection in Ethereum bytecode: a comprehensive study","di Angelo, Monika (Technische Universität Wien; INESC-ID); Durieux, T. (TU Delft Software Engineering); Ferreira, João F. (INESC-ID; University of Lisbon); Salzer, Gernot (Technische Universität Wien; INESC-ID)","","2024","Blockchain programs (also known as smart contracts) manage valuable assets like cryptocurrencies and tokens, and implement protocols in domains like decentralized finance (DeFi) and supply-chain management. These types of applications require a high level of security that is hard to achieve due to the transparency of public blockchains. Numerous tools support developers and auditors in the task of detecting weaknesses. As a young technology, blockchains and utilities evolve fast, making it challenging for tools and developers to keep up with the pace. In this work, we study the robustness of code analysis tools and the evolution of weakness detection on a dataset representing six years of blockchain activity. We focus on Ethereum as the crypto ecosystem with the largest number of developers and deployed programs. We investigate the behavior of single tools as well as the agreement of several tools addressing similar weaknesses. Our study is the first that is based on the entire body of deployed bytecode on Ethereum’s main chain. We achieve this coverage by considering bytecodes as equivalent if they share the same skeleton. The skeleton of a bytecode is obtained by omitting functionally irrelevant parts. This reduces the 48 million contracts deployed on Ethereum up to January 2022 to 248 328 contracts with distinct skeletons. For bulk execution, we utilize the open-source framework SmartBugs that facilitates the analysis of Solidity smart contracts, and enhance it to accept also bytecode as the only input. Moreover, we integrate six further tools for bytecode analysis. The execution of the 12 tools included in our study on the dataset took 30 CPU years. While the tools report a total of 1 307 486 potential weaknesses, we observe a decrease in reported weaknesses over time, as well as a degradation of tools to varying degrees.","Blockchain; Bytecode; Debugging; Detection tools; Ethereum; EVM; Program analysis; Reproducible Bugs; Smart contracts; Vulnerability","en","journal article","","","","","","","","","","","Software Engineering","","",""
"uuid:47a67052-fd5a-4504-a2ef-bf437d141b46","http://resolver.tudelft.nl/uuid:47a67052-fd5a-4504-a2ef-bf437d141b46","Reassessing tin circularity and criticality","Bradley, J.E. (TU Delft Policy Analysis); Auping, Willem L. (TU Delft Policy Analysis); Kleijn, René (Universiteit Leiden); Kwakkel, J.H. (TU Delft Policy Analysis); Sprecher, B. (TU Delft Design for Sustainability)","","2024","Tin is an important metal for society with a high risk of supply disruptions. It is, therefore, classified as a critical material in many parts of the world. An exception is the European Union, for which tin was classified as a non-critical material in 2023. However, there are many discrepancies in the literature regarding the definitions and values of the indicators used to determine tin criticality in general, and recycling indicators in particular. Values for end-of-life recycling rate (EoL RR) range between 20% and 75%, and values for end-of-life recycling input rate (EoL RIR) range between 11% and 32%. In this paper, we critically assess the circularity and criticality indicator values for tin and calculate new values using material flow analysis. The new values for tin recycling indicators are lower than those used in most previous research, with a global EoL RR of 16% and an EoL RIR of 11% in 2017. Based on the updated recycling values, combined with a highly concentrated supply, high import reliance, and difficult substitution, we argue that the European Union should classify tin as a critical material. This reclassification can lead to more policy attention for tin, which can help reduce the impact of future supply disruptions and increase the resilience of the European and global tin supply chains.","circular economy; critical materials; European union; industrial ecology; material flow analysis; recycling","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:e0191c85-f46b-4786-821c-59adeb24fa5c","http://resolver.tudelft.nl/uuid:e0191c85-f46b-4786-821c-59adeb24fa5c","Dataset on the tested and simulated response of thick cold-formed circular hollow sections under cyclic loading","Sadowski, Adam Jan (Imperial College London); Wong, W.J. (TU Delft Ship and Offshore Structures); Li, Sai Chung Simon (Imperial College London); Málaga-Chuquitaype, Christian (Imperial College London)","","2024","This article describes a dataset used to calibrate a finite element model of a thick circular hollow section (CHS) with varying d/t (diameter to thickness) ratio under cyclic loading which may be used as a computational model validation benchmark by researchers working on similar problems in structural and mechanical engineering. The test data consists of seven cold-formed S335J2H steel CHS tube specimens tested to buckling failure in low-cycle fatigue under a three-point bending arrangement, instrumented with discrete strain gauges, displacement transducers and string potentiometers together with continuous surface deformation fields obtained by two pairs of digital image correlation (DIC) cameras. ‘Half-cycle’ material data from the uniaxial tensile testing of dog-bone coupons is also provided. Comparisons between measured and simulated entities such as midspan forces, moments, displacements and mean curvatures can be obtained with MATLAB processing scripts. Complete ABAQUS model input files are also provided to aid in benchmarking.","Circular hollow section; Cyclic loading; Digital image correlation; Finite element analysis; Kinematic hardening; Seismic design","en","journal article","","","","","","","","","","","Ship and Offshore Structures","","",""
"uuid:5497947b-8b42-45d1-8eff-a63e3b7491ab","http://resolver.tudelft.nl/uuid:5497947b-8b42-45d1-8eff-a63e3b7491ab","Thermally self-sufficient heat pump-assisted azeotropic dividing-wall column for biofuels recovery from isopropanol-butanol-ethanol fermentation","Jankovic, T.J. (TU Delft BT/Bioprocess Engineering); Straathof, Adrie J.J. (TU Delft BT/Bioprocess Engineering); Kiss, A.A. (TU Delft ChemE/Product and Process Engineering)","","2024","Isopropanol-butanol-ethanol (IBE) fermentation is a superior biofuel production technology as compared to acetone-butanol-ethanol (ABE) fermentation due to the better fuel properties of the obtained products. However, low product concentrations, thermodynamic constraints and the presence of microorganisms lead to complex downstream processing that limits the competitiveness of this biofuel production method. Thus, this original research proposes a novel thermally self-sufficient and eco-efficient downstream process for industrial-scale recovery after IBE fermentation (74 ktonne/y capacity), from a highly dilute broth (>97 wt% water). Gas stripping and heat pump-assisted vacuum evaporation were implemented to separate valuable products from most of the broth. Furthermore, an advanced highly integrated heat pump-assisted azeotropic dividing-wall column was designed to recover high-purity (99 wt%) butanol biofuel and isopropanol – ethanol fuel supplement (89 wt%). The proposed purification process recovers over 99 % of biofuel products in a cost-effective (0.130 $/kgIBE) and energy-efficient way (0.673 kWeh/kgIBE) while allowing full recycle of biomass and most of the separated water. Besides improving yield by continuously recovering the inhibitory products, fermentation can be further enhanced by avoiding biomass loss and reducing water requirements. Lastly, the implemented energy-saving techniques ensure complete electrification of the proposed IBE recovery process. Therefore, the original results of this research study significantly contribute to the development of sustainable biofuel production processes.","Azeotropic dividing-wall column; Downstream processing; Heat pumps; Process electrification; Process intensification","en","journal article","","","","","","Funding Information: All persons who have made substantial contributions to the work reported in the manuscript (e.g. technical help, writing and editing assistance, general support), but who do not meet the criteria for authorship, are named in the Acknowledgements and have given us their written permission to be named. If we have not included an Acknowledgements, then that indicates that we have not received substantial contributions from non-authors. Publisher Copyright: © 2024 The Author(s)","","","","","BT/Bioprocess Engineering","","",""
"uuid:c5ac5edc-9c2a-4653-8548-9f31273d8f28","http://resolver.tudelft.nl/uuid:c5ac5edc-9c2a-4653-8548-9f31273d8f28","Structural and Optical Properties of Thin Film β-Ta upon Exposure to Hydrogen to Asses Its Applicability as Hydrogen Sensing Material","Bannenberg, L.J. (TU Delft RID/TS/Instrumenten groep); Verhoeff, D. (TU Delft Mechanical, Maritime and Materials Engineering); Jonckers Newton, Nick (Student TU Delft); Thijs, M.A. (TU Delft RID/TS/Technici Pool); Schreuders, H. (TU Delft ChemE/O&O groep)","","2024","Here, we study the structural and optical properties of tetragonal β-tantalum-sputtered thin films both ex situ and when exposed to hydrogen, with a focus on optical hydrogen sensing applications. Using optical transmission measurements, out-of-plane and in-plane X-ray diffraction, and X-ray and neutron reflectometry, we show that thin film β-tantalum gradually, reversibly, and hysteresis-freely absorbs hydrogen with an increasing hydrogen pressure/concentration. The gradual absorption of hydrogen with increasing hydrogen concentrations induces a change in the optical transmission and reflection. These quantities change reversibly and are hysteresis-free over at least 5 orders of magnitude in hydrogen pressure/concentration, making β-tantalum a suitable hydrogen sensing material. At all partial hydrogen pressures studied, we observe that the volumetric expansion, hydrogen-to-metal ratio, and lattice expansion are substantially smaller than for body-centered cubic α-tantalum.","metal hydrides; neutron reflectometry; optical hydrogen sensing; tantalum; thin films; X-ray diffraction","en","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","","RID/TS/Instrumenten groep","","",""
"uuid:df2099cc-d366-41e7-a04a-e5af41e10edc","http://resolver.tudelft.nl/uuid:df2099cc-d366-41e7-a04a-e5af41e10edc","PVA-TiO2 Nanocomposite Hydrogel as Immobilization Carrier for Gas-to-Liquid Wastewater Treatment","Surkatti, Riham (Qatar University); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Hussein, Ibnelwaleed A. (Qatar University); El-Naas, Muftah H. (Qatar University)","","2024","This study investigates the development of polyvinyl alcohol (PVA) gel matrices for biomass immobilization in wastewater treatment. The PVA hydrogels were prepared through a freezing–thawing (F-T) cross-linking process and reinforced with high surface area nanoparticles to improve their mechanical stability and porosity. The PVA/nanocomposite hydrogels were prepared using two different nanoparticle materials: iron oxide (Fe3O2) and titanium oxide (TiO2). The effects of the metal oxide nanoparticle type and content on the pore structure, hydrogel bonding, and mechanical and viscoelastic properties of the cross-linked hydrogel composites were investigated. The most durable PVA/nanoparticles matrix was then tested in the bioreactor for the biological treatment of wastewater. Morphological analysis showed that the reinforcement of PVA gel with Fe2O3 and TiO2 nanoparticles resulted in a compact nanocomposite hydrogel with regular pore distribution. The FTIR analysis highlighted the formation of bonds between nanoparticles and hydrogel, which caused more interaction within the polymeric matrix. Furthermore, the mechanical strength and Young’s modulus of the hydrogel composites were found to depend on the type and content of the nanoparticles. The most remarkable improvement in the mechanical strength of the PVA/nanoparticles composites was obtained by incorporating 0.1 wt% TiO2 and 1.0 wt% Fe2O3 nanoparticles. However, TiO2 showed more influence on the mechanical strength, with more than 900% improvement in Young’s modulus for TiO2-reinforced PVA hydrogel. Furthermore, incorporating TiO2 nanoparticles enhanced hydrogel stability but did not affect the biodegradation of organic pollutants in wastewater. These results suggest that the PVA-TiO2 hydrogel has the potential to be used as an effective carrier for biomass immobilization and wastewater treatment.","biomass; compression strength; nano-gel; polyvinyl alcohol (PVA); porosity; water purification","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:3aca29e3-be8a-445d-beb7-a978d5a2d4d6","http://resolver.tudelft.nl/uuid:3aca29e3-be8a-445d-beb7-a978d5a2d4d6","Effects on voice hearing distress and social functioning of unguided application of a smartphone app — A randomized controlled trial","Jongeneel, Alyssa (Vrije Universiteit Amsterdam; Parnassia Psychiatric Institute); Delespaul, Philippe (Universiteit Maastricht; Mondriaan Mental Health Centre, Heerlen); Tromp, N. (TU Delft Design Aesthetics); Scheffers, Dorien (Parnassia Psychiatric Institute); van der Vleugel, Berber (GGZ Noord-Holland Noord, Alkmaar; Viersprong Institute for Personality Disorders, Amsterdam); de Bont, Paul (Land van Cuijck en Noord Limburg); Kikkert, Martijn (Arkin BasisGGZ); Croes, Carlos F. (GGz Centraal); van den Berg, David (Vrije Universiteit Amsterdam; Parnassia Psychiatric Institute)","","2024","Background: Temstem is a smartphone app developed with and for clinical voice hearing individuals with the aim to reduce their voice hearing distress and improve social functioning. Methods: A randomized controlled trial with adult outpatients suffering from distressing and frequent auditory verbal hallucinations (AVH) was conducted. Participants were randomized to unguided ‘Temstem+AVH monitoring’ or unguided ‘AVH monitoring only’ (control condition). Assessments were performed at baseline, post-intervention (week 5–6), and follow-up (week 9–10). Primary outcomes were voice hearing distress and social functioning, as measured with Experience Sampling Method (ESM), consisting of multiple daily questionnaires during six days. In addition, voices and mood were self-monitored with help of a daily reflective questionnaire. Analyses were linear regression models (intention-to-treat). Results: 44 Participants were allocated to Temstem and 45 to the control condition. No significant differences between the groups were found on both primary outcomes. Conclusion: Our results do not support the effectiveness of stand-alone use of Temstem versus symptom monitoring on voice hearing distress or social functioning in voice hearing individuals. In order to potentially improve effectiveness of an mHealth tool in a population of people with frequent and distressing voices, we recommend to involve persons with lived experience in all stages of development and research; to thoroughly test the (technological) usability before performing an RCT; to test whether guidance of a therapist is needed to optimize effectiveness; and to provide prompts to remind the user to actually use the tool.","Auditory verbal hallucinations; ESM; Mhealth; Psychosis; Voice hearing; Voices","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:78f97eb2-bb20-439a-85b4-9cb0f6d634b0","http://resolver.tudelft.nl/uuid:78f97eb2-bb20-439a-85b4-9cb0f6d634b0","A column-generation matheuristic approach for optimizing first-mile ridesharing services with publicly- and privately-owned autonomous vehicles","He, P. (TU Delft Transport Engineering and Logistics; Shanghai Jiao Tong University); Jin, Jian Gang (Shanghai Jiao Tong University); Trépanier, Martin (Polytechnique Montreal; Centre interuniversitaire de recherche sur les réseaux d’entreprise, la logistique et le transport (CIRRELT)); Schulte, F. (TU Delft Transport Engineering and Logistics)","","2024","The burden of first-mile connection to public transit stations is a key barrier that discourages riders from taking public transportation. Public transit agencies typically operate a modest fleet of vehicles to provide first-mile services due to the high operating costs, thus failing to adequately meet the first-mile travel demands, especially during peak hours. At the same time, private cars are underutilized and have a lot of idle time. With the emergence of self-driving vehicles, new opportunities for addressing the current dilemma arise, such as integrating idle private self-driving vehicles to provide first-mile services, which is beneficial for public transportation agencies to provide high-quality services at low costs. This study investigates the first-mile ridesharing problem in which public transit agencies utilize idle privately-owned autonomous vehicles to dynamically inflate their fleet. This problem is more challenging in decision-making than conventional first-mile problems, as it involves decisions on heterogeneous fleet scheduling, vehicle routing, and time scheduling, all while taking into account the service quality for riders. To address this problem, an arc-based mixed-integer linear programming (MILP) model and a trip-based set-partitioning model are developed, both aiming to minimize total operational costs. To identify promising trips, we propose a tailored labeling algorithm with a novel dominance rule, along with a time window shift algorithm to determine the best schedule. To yield high-quality solutions in a short computation time, a tailored column-generation matheuristic algorithm is introduced. A branch-and-price exact algorithm and an adaptive large neighborhood search algorithm are developed to assess the matheuristic algorithm. Numerical experiments are conducted to demonstrate the effectiveness and applicability of the proposed models and algorithms. Experiments also show that this kind of ridesharing service can provide low-cost and high-quality services for the first-mile problem.","Autonomous vehicles; Branch-and-price algorithm; Column-generation matheuristic algorithm; First-mile ridesharing; Public transportation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-10","","","Transport Engineering and Logistics","","",""
"uuid:fb48a2fc-ad91-4630-8ded-7c67c5f4ad61","http://resolver.tudelft.nl/uuid:fb48a2fc-ad91-4630-8ded-7c67c5f4ad61","Adjuvant holmium-166 radioembolization after radiofrequency ablation in early-stage hepatocellular carcinoma patients: a dose-finding study (HORA EST HCC trial)","Hendriks, P. (Leiden University Medical Center); Rietbergen, Daphne D.D. (Leiden University Medical Center); van Erkel, Arian R. (Leiden University Medical Center); Coenraad, Minneke J. (Leiden University Medical Center); Arntz, Mark J. (Radboud University Medical Center); Bennink, Roel J. (Universiteit van Amsterdam); Braat, Andries E. (Leiden University Medical Center); de Geus-Oei, L.F. (TU Delft RST/Radiation, Science and Technology; Leiden University Medical Center; University of Twente); Burgmans, M.C. (Leiden University Medical Center)","","2024","Purpose: The aim of this study was to investigate the biodistribution of (super-)selective trans-arterial radioembolization (TARE) with holmium-166 microspheres (166Ho-MS), when administered as adjuvant therapy after RFA of HCC 2–5 cm. The objective was to establish a treatment volume absorbed dose that results in an absorbed dose of ≥ 120 Gy on the hyperemic zone around the ablation necrosis (i.e., target volume). Methods: In this multicenter, prospective dose-escalation study in BCLC early stage HCC patients with lesions 2–5 cm, RFA was followed by (super-)selective infusion of 166Ho-MS on day 5–10 after RFA. Dose distribution within the treatment volume was based on SPECT-CT. Cohorts of up to 10 patients were treated with an incremental dose (60 Gy, 90 Gy, 120 Gy) of 166Ho-MS to the treatment volume. The primary endpoint was to obtain a target volume dose of ≥ 120 Gy in 9/10 patients within a cohort. Results: Twelve patients were treated (male 10; median age, 66.5 years (IQR, [64.3–71.7])) with a median tumor diameter of 2.7 cm (IQR, [2.1–4.0]). At a treatment volume absorbed dose of 90 Gy, the primary endpoint was met with a median absorbed target volume dose of 138 Gy (IQR, [127–145]). No local recurrences were found within 1-year follow-up. Conclusion: Adjuvant (super-)selective infusion of 166Ho-MS after RFA for the treatment of HCC can be administered safely at a dose of 90 Gy to the treatment volume while reaching a dose of ≥ 120 Gy to the target volume and may be a favorable adjuvant therapy for HCC lesions 2–5 cm. Trial registration: Clinicaltrials.gov NCT03437382.","Adjuvant therapy; Dose-escalation study; Hepatocellular carcinoma; Holmium-166; Radiofrequency ablation; Trans-arterial radioembolization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-08","","RST/Radiation, Science and Technology","","","",""
"uuid:c439c2a9-f5c0-48e8-9d65-e4a02d1c2eba","http://resolver.tudelft.nl/uuid:c439c2a9-f5c0-48e8-9d65-e4a02d1c2eba","Momentum Transport in Organized Shallow Cumulus Convection","Savazzi, A.C.M. (TU Delft Atmospheric Remote Sensing); Nuijens, Louise (TU Delft Atmospheric Remote Sensing); De Rooy, Wim (Royal Netherlands Meteorological Institute (KNMI)); Janssens, M. (TU Delft Atmospheric Remote Sensing; Wageningen University & Research); Siebesma, A.P. (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI))","","2024","This study investigates momentum transport in shallow cumulus clouds as simulated with the Dutch Atmospheric Large Eddy Simulation (DALES) for a 150 3 150 km2 domain east of Barbados during 9 days of EUREC4A. DALES is initialized and forced with the mesoscale weather model HARMONIE-AROME and subjectively reproduces observed cloud patterns. This study examines the evolution of momentum transport, which scales contribute to it, and how they modulate the trade winds. Daily-mean momentum flux profiles show downgradient zonal momentum transport in the subcloud layer, which turns countergradient in the cloud layer. The meridional momentum transport is nontrivial, with mostly downgradient transport throughout the trade wind layer except near the top of the surface layer and near cloud tops. Substantial spatial and temporal heterogeneity in momentum flux is observed with much stronger tendencies imposed in areas of organized convection. The study finds that while scales < 2 km dominate momentum flux at 200 m in unorganized fields, submesoscales O(2-20) km carry up to 50% of the zonal momentum flux in the cloud layer in organized fields. For the meridional momentum flux, this fraction is even larger near the surface and in the subcloud layer. The scale dependence of the momentum flux is not explained by changes in convective or boundary layer depth. Instead, the results suggest the importance of spatial heterogeneity, increasing horizontal length scales, and countergradient transport in the presence of organized convection.","Convective parameterization; Convective-scale processes; Large eddy simulations; Mesoscale processes; Momentum; Subtropics","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:7dbd86c2-4f14-4e4c-8b0e-a74a9f69c8a9","http://resolver.tudelft.nl/uuid:7dbd86c2-4f14-4e4c-8b0e-a74a9f69c8a9","The CCAP for graph products of operator algebras","Borst, M.J. (TU Delft Analysis)","","2024","For a simple graph Γ and for unital C*-algebras with GNS-faithful states (Av,φv) for v∈VΓ, we consider the reduced graph product (A,φ)=⁎v,Γ(Av,φv), and show that if every C*-algebra Av has the completely contractive approximation property (CCAP) and satisfies some additional condition, then the graph product has the CCAP as well. The additional condition imposed is satisfied in natural cases, for example for the reduced group C*-algebra of a discrete group G that possesses the CCAP. Our result is an extension of the result of Ricard and Xu in [28, Proposition 4.11] where they prove this result under the same conditions for free products. Moreover, our result also extends the result of Reckwerdt in [27, Theorem 5.5], where he proved for groups that weak amenability with Cowling-Haagerup constant 1 is preserved under graph products. Our result further covers many new cases coming from Hecke-algebras and discrete quantum groups.","Completely contractive approximation property (CCAP); Graph products of operator algebras; Khintchine inequalities; Weak amenability with Cowling-Haagerup constant 1","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:e88da30d-3e7a-4d70-bf5c-c4982f02d2c8","http://resolver.tudelft.nl/uuid:e88da30d-3e7a-4d70-bf5c-c4982f02d2c8","Developing Crowdfunding-Based Financing Scheme to Increase Potential of Micro, Small, and Medium Business in Urban Green Space","Berawi, Mohammed Ali (Universitas Indonesia); Sari, Mustika (Universitas Indonesia); Lumbantobing, Vanana (Universitas Indonesia); Susilowati, Suci Indah (Universitas Indonesia); Susantono, Bambang (Universitas Indonesia; Universitas Diponegoro); Woodhead, Roy (Sheffield Hallam University); Sejatiguna, P.M. (TU Delft Design & Construction Management; Universitas Indonesia)","","2024","Micro, Small, and Medium Business (MSMEs) are vital to the Indonesian economic development, and the government is focusing on this sector for post-pandemic recovery. There is an emphasis on infrastructure such as urban green spaces, or Ruang Terbuka Hijau (RTH). RTHs have untapped potential as centers for agricultural and processing MSMEs. However, these businesses often face financing challenges, prompting the exploration of alternative scheme like crowdfunding. Therefore, this study aimed to (1) explore how RTH lands can be leveraged for local economic activities, and (2) devise a public fund-based crowdfunding financing model. Using RTH Kalijodo in Jakarta as a case study, the study included literature reviews, benchmarking, fieldwork, and Life Cycle Cost (LCC) analysis. The results show the need for the development of vertical hydroponics, tilapia farming, and tilapia fillet processing business in RTH Kalijodo. Financial analyses, including IRR calculations exceeding the 10.41% WACC, a positive NPV, and a payback period under five years, show the financial viability of these sectors.","Crowdfunding; Life cycle cost; MSMEs; Urban green space","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:2195e3ab-bc08-4b5d-ada8-a864817aecfd","http://resolver.tudelft.nl/uuid:2195e3ab-bc08-4b5d-ada8-a864817aecfd","An omics-based machine learning approach to predict diabetes progression: a RHAPSODY study","Slieker, Roderick C. (Vrije Universiteit Amsterdam; Amsterdam Public Health; Amsterdam Cardiovascular Sciences; Leiden University Medical Center); Münch, Magnus (Vrije Universiteit Amsterdam); Donnelly, Louise A. (University of Dundee); Bouland, G.A. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Dragan, Iulian (SIB Swiss Institute of Bioinformatics); Kuznetsov, Dmitry (SIB Swiss Institute of Bioinformatics); Elders, Petra J.M. (Amsterdam Public Health; Amsterdam Cardiovascular Sciences; Amsterdam UMC); Rutter, Guy A. (Nanyang Technological University; Université de Montréal); Ibberson, Mark (SIB Swiss Institute of Bioinformatics)","","2024","Aims/hypothesis: People with type 2 diabetes are heterogeneous in their disease trajectory, with some progressing more quickly to insulin initiation than others. Although classical biomarkers such as age, HbA1c and diabetes duration are associated with glycaemic progression, it is unclear how well such variables predict insulin initiation or requirement and whether newly identified markers have added predictive value. Methods: In two prospective cohort studies as part of IMI-RHAPSODY, we investigated whether clinical variables and three types of molecular markers (metabolites, lipids, proteins) can predict time to insulin requirement using different machine learning approaches (lasso, ridge, GRridge, random forest). Clinical variables included age, sex, HbA1c, HDL-cholesterol and C-peptide. Models were run with unpenalised clinical variables (i.e. always included in the model without weights) or penalised clinical variables, or without clinical variables. Model development was performed in one cohort and the model was applied in a second cohort. Model performance was evaluated using Harrel’s C statistic. Results: Of the 585 individuals from the Hoorn Diabetes Care System (DCS) cohort, 69 required insulin during follow-up (1.0–11.4 years); of the 571 individuals in the Genetics of Diabetes Audit and Research in Tayside Scotland (GoDARTS) cohort, 175 required insulin during follow-up (0.3–11.8 years). Overall, the clinical variables and proteins were selected in the different models most often, followed by the metabolites. The most frequently selected clinical variables were HbA1c (18 of the 36 models, 50%), age (15 models, 41.2%) and C-peptide (15 models, 41.2%). Base models (age, sex, BMI, HbA1c) including only clinical variables performed moderately in both the DCS discovery cohort (C statistic 0.71 [95% CI 0.64, 0.79]) and the GoDARTS replication cohort (C 0.71 [95% CI 0.69, 0.75]). A more extensive model including HDL-cholesterol and C-peptide performed better in both cohorts (DCS, C 0.74 [95% CI 0.67, 0.81]; GoDARTS, C 0.73 [95% CI 0.69, 0.77]). Two proteins, lactadherin and proto-oncogene tyrosine-protein kinase receptor, were most consistently selected and slightly improved model performance. Conclusions/interpretation: Using machine learning approaches, we show that insulin requirement risk can be modestly well predicted by predominantly clinical variables. Inclusion of molecular markers improves the prognostic performance beyond that of clinical variables by up to 5%. Such prognostic models could be useful for identifying people with diabetes at high risk of progressing quickly to treatment intensification. Data availability: Summary statistics of lipidomic, proteomic and metabolomic data are available from a Shiny dashboard at https://rhapdata-app.vital-it.ch. Graphical Abstract: (Figure presented.).","Machine learning; Prediction model; Progression; Type 2 diabetes","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:60d5092f-1ce7-46ab-8d7c-3825679317da","http://resolver.tudelft.nl/uuid:60d5092f-1ce7-46ab-8d7c-3825679317da","Prospective life cycle inventory datasets for conventional and hybrid-electric aircraft technologies","Thonemann, Nils (Technical University of Denmark); Saavedra-Rubio, Karen (Technical University of Denmark); Pierrat, Eleonore (Technical University of Denmark); Dudka, Katarzyna (Technical University of Denmark); Bangoura, Mathilde (MAHYTEC); Baumann, Nils (Proton Motor Fuel Cell GmbH); Bentheimer, Christian (Friedrich-Alexander-Universität Erlangen-Nürnberg); Caliandro, Priscilla (Bern University of Applied Sciences); De Breuker, R. (TU Delft Group De Breuker)","","2024","Hybrid-electric aircraft represent a promising solution for the urgent need to decarbonize short-haul flights and bolster aviation sustainability. Nevertheless, the realization of hybrid-electric aircraft demands rigorous environmental impact analysis, given the substantial investments, time, and research required for technology development. This study offers a comprehensive life cycle inventory spanning the years 2030, 2040, and 2050 for both conventional and hybrid-electric aircraft configurations. Our inventory datasets are meticulously constructed through a systematic approach, ensuring data harmonization by drawing upon scientific literature, industry expertise, and primary data sources. This extensive dataset encompasses all pertinent systems necessary to model the environmental footprint of flights covering distances ranging from 200 to 600 nautical miles, utilizing a 50-passenger aircraft with the ATR42 as a reference model. Additionally, we furnish supplemental data for end-of-life considerations and uncertainty analysis. The systems under examination include the airframe, powertrain, power electronics and drives, batteries, fuel cells, hydrogen onboard storage, airport infrastructure, and battery charging stations. Notably, the carbon footprint of conventional aircraft aligns with data from the ecoinvent v3.8 database; however, our provided datasets are more than tenfold more detailed and incorporate a forward-looking perspective. These meticulously curated life cycle inventories can be amalgamated to simulate the potential environmental ramifications of conventional aircraft powered by kerosene or alternative aviation fuels, hybrid-electric aircraft utilizing battery technology, and hybrid-electric aircraft employing hydrogen as a fuel in conjunction with batteries. In this context, our findings play a pivotal role in nurturing the development of technology roadmaps that prioritize environmental sustainability within the realm of regional aviation.","Aviation; Data collection; Environmental sustainability; Industrial ecology; LCA; Prospective life cycle assessment; Transport","en","journal article","","","","","","","","","","","Group De Breuker","","",""
"uuid:e75da446-5b4a-4de6-9867-176a6d4e5037","http://resolver.tudelft.nl/uuid:e75da446-5b4a-4de6-9867-176a6d4e5037","Explaining Value Capture Implementation in New York, London, and Copenhagen: Negotiating Distributional Effects","van Zoest, S.P. (TU Delft Practice Chair Urban Area Development); Daamen, T.A. (TU Delft Urban Development Management)","","2024","Value capture (VC) is widely cited as a method for local authorities to provide urban public goods to their cities in the face of fiscal stress. Its application in practice however remains limited. In this article, we aim to explain the implementation process of VC as a strategy to fund public transportation infrastructure through case studies in London, New York, and Copenhagen. Adopting a theory of gradual institutional change, we argue that the implementation of VC depends on the capacity to change distributional institutions that are inherently contested. Particularly relevant is the role of the beneficiary, whose support of VC is necessary but not likely. Our results show that a strategic urban development project can act as a driver to overcome this barrier, but that this driver can, simultaneously, also hinder the institutionalization potential of a VC strategy. We therefore suggest that, for VC strategies to become more commonplace, sharing value uplifts among beneficiaries must become more commonplace too.","institutional change; physical infrastructure; urban development; urban public policies; value capture","en","journal article","","","","","","Online First version.","","","","","Practice Chair Urban Area Development","","",""
"uuid:717e8a72-bb5f-4e68-8b77-fe2c67fbf702","http://resolver.tudelft.nl/uuid:717e8a72-bb5f-4e68-8b77-fe2c67fbf702","Cliquepolitik: Multimodal online discourse coalitions on CRISPR-Cas genome editing technology","Rojas-Padilla, Eduardo (Wageningen University & Research); Metze, T.A.P. (TU Delft Organisation & Governance); Dewulf, Art (Wageningen University & Research)","","2024","The influence of visualizations on decision-making about controversial policy issues is increasingly recognized in the political and policy sciences. In this paper, we explore how combinations of visuals and text on Twitter (now X) lead to the formation of networks of actors sharing similar textual and visual framings about a policy issue in an online setting, which we conceptualize as Multimodal Online Discourse Coalitions (MODCs). MODCs struggle over the meaning of contested policy issues. We examine multiple MODCs in 2018 in the context of the regulatory decisions in that year about CRISPR-Cas gene editing technology in the USA, Mercosur, and the EU. Based on an SNA and a qualitative visual and discursive analysis in three languages on Twitter in 2018 (covering in total ~ 427 k Tweets), we show that MODCs in English and Spanish focused on technocratic aspects of CRISPR-Cas, resembling the regulatory decisions in the USA and Mercosur. In Europe, next to technocratic MODCs, an MODC in French formed around ethical/normative framings of the consequences of CRISPR-Cas applications, using visuals of embryos to represent “GMO babies.” These visuals were emotional triggers in their framing of CRISPR technology. The ethical/normative framing reflected the argument brought to the CJEU by a group of French actors involved in the court case which categorized CRISPR-Cas as a GMO technology in the EU. These results suggest that the French MODC and their visualization was of influence on the EU decision-making process; however, more research is needed to verify the role of this online debate in the decision-making process.","CRISPR-Cas; discourse coalition; genome editing; multimodal framing; online public debate; policy controversies; technological governance","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:fa3a5bd1-02d6-4ba4-b7dc-3d6782cb6df6","http://resolver.tudelft.nl/uuid:fa3a5bd1-02d6-4ba4-b7dc-3d6782cb6df6","Random organic framework membranes with hierarchical channels for H2 separation","Luan, Liping (Tianjin University); Shi, Puxin (Tianjin University); Wang, Zhi (Tianjin University); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering); Liu, Xinlei (Tianjin University)","","2024","Novel membrane materials for H2 separation are wanted. How to overcome the “trade-off” between membrane permeability and selectivity is a tough challenge. Here we report new random organic framework membranes with benzimidazole and imine linkages to form hierarchical channels. Both high H2-selective and fast H2 transport pathways are created. The preparation parameters are thoroughly studied and the membrane structures are well characterized by SEM, AFM, NMR, XPS, gas sorption, etc. Effect of feed conditions on membrane performance, such as composition, pressure and temperature, is investigated. The membrane performance transcends the upper bounds of H2/CO2, H2/N2 and H2/CH4 with excellent stability.","Benzimidazole and imine linked polymer; H separation; Hierarchical channels; Random organic framework membranes","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-08","","","ChemE/Catalysis Engineering","","",""
"uuid:04d25e38-1ac5-4dd9-b6b3-9cf1f70bbdc8","http://resolver.tudelft.nl/uuid:04d25e38-1ac5-4dd9-b6b3-9cf1f70bbdc8","Multiscale modelling and experimental analysis of ultrasonic-assisted drilling of GLARE fibre metal laminates","Atif, Muhammad (Beijing Institute of Technology); Wang, Xibin (Beijing Institute of Technology); Xie, Lijing (Beijing Institute of Technology); Giasin, Khaled (University of Portsmouth); Ma, Yuan (Tsinghua University); Jiang, Chulin (Teesside University); Koklu, Ugur (Karamanoglu Mehmetbey University); Sinke, J. (TU Delft Group Sinke)","","2024","This study aims to evaluate the effectiveness of Ultrasonic-assisted drilling (UAD) of Glass laminate aluminium reinforced epoxy (GLARE) at high cutting speeds (Spindle speeds: 3000–7500 rpm; feed rates 300–750 mm/min) by analysing the thrust force and hole quality metrics (surface roughness, hole size, and burr formations. The research also presents numerical modelling of FMLs under conventional and UAD regimes to predict thrust force using ABAQUS/SIMULIA. The thrust force and exit burrs were reduced by up to 40.83 % and 80 %, respectively. The surface roughness metrics (Ra and Rz) were slightly higher using UAD but remained within the desirable limits of surface roughness for machined aeronautical structures. The discrepancy between the simulation and experimental results was adequate and did not exceed 15 %. The current study shows that it is feasible to drill holes in GLARE using higher cutting parameters and maintain excellent hole quality, which means increased productivity and reduced costs.","GLARE; Surface roughness; Thrust force; Ultrasonic assisted drilling","en","journal article","","","","","","","","","","","Group Sinke","","",""
"uuid:5b59bf07-cbe2-4b15-bed7-11cd5ba891ac","http://resolver.tudelft.nl/uuid:5b59bf07-cbe2-4b15-bed7-11cd5ba891ac","GRACE-FO radiation pressure modelling for accurate density and crosswind retrieval","Hladczuk, N.A. (TU Delft Astrodynamics & Space Missions); van den IJssel, J.A.A. (TU Delft Astrodynamics & Space Missions); Kodikara, T. (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Siemes, C. (TU Delft Astrodynamics & Space Missions); Visser, P.N.A.M. (TU Delft Space Engineering)","","2024","Uncertainties in radiation pressure modelling play a significant role in the thermospheric density and crosswind observations derived from the GRACE-FO accelerometer, especially during low solar activity. Under such conditions, the radiation pressure acceleration matches the magnitude of the aerodynamic acceleration along the track and exceeds it in the cross-track direction. The GRACE-FO mission has been operating for several years at such high altitudes during both low and rising solar activity, providing a perfect opportunity to study the effects of radiation pressure. This research uses ray tracing based on a high-fidelity satellite geometry model to calculate the radiation pressure acceleration. We numerically fine-tuned the coefficients describing the thermo-optical surface properties to obtain more accurate radiation pressure accelerations than those specified in the GRACE-FO mission manual. We also used in situ temperature measurements from thermistors on the solar arrays to model the satellite's thermal emission. These temperature measurements allowed a realistic setup of the thermal model, extended by the parameter describing the efficiency of the solar cells, and reproduced the acceleration of the thermal emission with an accuracy of RMS 0.148 nms−2. The combination of the updated thermal model and the fine-tuning of the surface coefficients improved the accuracy of the crosswind acceleration to an RMS of 0.55 nms−2, compared to an RMS of 4.22 nms−2 when using panel models and instantaneous thermal radiation. We compared the observed crosswind with two models: HWM14 and TIE-GCM. While both models capture most of the salient features of the observed crosswind, HWM14 shows particularly good agreement at high latitudes. Compared to the previously employed radiation pressure model, the crosswind observations have been improved in low and mid-latitudes, especially during periods of higher solar activity. Since the effect of radiation pressure is most significant in the crosswind direction, the effect on density was small compared to previously published datasets.","GRACE-FO; Neutral mass density; Neutral winds; Radiation pressure; Thermal emission; Thermosphere","en","journal article","","","","","","","","","","Space Engineering","Astrodynamics & Space Missions","","",""
"uuid:28077850-61f9-42b3-8626-5e6861619088","http://resolver.tudelft.nl/uuid:28077850-61f9-42b3-8626-5e6861619088","Diversity-Based Topology Optimization of Soft Robotic Grippers","Pinskier, Josh (The Commonwealth Scientific and Industrial Research Organisation (CSIRO)); Wang, Xing (The Commonwealth Scientific and Industrial Research Organisation (CSIRO)); Liow, Lois (The Commonwealth Scientific and Industrial Research Organisation (CSIRO)); Xie, Yue (University of Cambridge); Kumar, Prabhat (Indian Institute of Technology Hyderabad); Langelaar, Matthijs (TU Delft Computational Design and Mechanics); Howard, David (The Commonwealth Scientific and Industrial Research Organisation (CSIRO))","","2024","Soft grippers are ideal for grasping delicate, deformable objects with complex geometries. Universal soft grippers have proven effective for grasping common objects, however complex objects or environments require bespoke gripper designs. Multi-material printing presents a vast design-space which, when coupled with an expressive computational design algorithm, can produce numerous, novel, high-performance soft grippers. Finding high-performing designs in challenging design spaces requires tools that combine rapid iteration, simulation accuracy, and fine-grained optimization across a range of gripper designs to maximize performance, no current tools meet all these criteria. Herein, a diversity-based soft gripper design framework combining generative design and topology optimization (TO) are presented. Compositional pattern-producing networks (CPPNs) seed a diverse set of initial material distributions for the fine-grained TO. Focusing on vacuum-driven multi-material soft grippers, several grasping modes (e.g. pinching, scooping) emerging without explicit prompting are demonstrated. Extensive automated experimentation with printed multi-material grippers confirms optimized candidates exceed the grasp strength of comparable commercial designs. Grip strength, durability, and robustness is evaluated across 15,170 grasps. The combination of fine-grained generative design, diversity-based design processes, high-fidelity simulation, and automated experimental evaluation represents a new paradigm for bespoke soft gripper design which is generalizable across numerous design domains, tasks, and environments.","computational design; soft robotics; topology optimization","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:3f20a82a-5316-446b-b682-8f966a2a9d92","http://resolver.tudelft.nl/uuid:3f20a82a-5316-446b-b682-8f966a2a9d92","Public preferences for the allocation of societal resources over different healthcare purposes","Boxebeld, Sander (Erasmus Universiteit Rotterdam); Geijsen, Tom (Populytics B.V); Tuit, Charlotte (Populytics B.V); Exel, Job van (Erasmus Universiteit Rotterdam); Makady, Amr (Janssen-Cilag B.V); Maes, Laurence (Janssen-Cilag B.V); van Agthoven, Michel (Janssen-Cilag B.V); Mouter, N. (TU Delft Transport and Logistics; Populytics B.V)","","2024","Objective: Increasing healthcare expenditures require governments to make difficult prioritization decisions. Considering public preferences can help raise citizens’ support. Previous research has predominantly elicited preferences for the allocation of public resources towards specific treatments or patient groups and principles for resource allocation. This study contributes by examining public preferences for budget allocation over various healthcare purposes in the Netherlands. Methods: We conducted a Participatory Value Evaluation (PVE) choice experiment in which 1408 respondents were asked to allocate a hypothetical budget over eight healthcare purposes: general practice and other easily accessible healthcare, hospital care, elderly care, disability care, mental healthcare, preventive care by encouragement, preventive care by discouragement, and new and better medicines. A default expenditure was set for each healthcare purpose, based on current expenditures. Respondents could adjust these default expenditures using sliders and were presented with the implications of their adjustments on health and well-being outcomes, the economy, and the healthcare premium. As a constraint, the maximum increase in the mandatory healthcare premium for adult citizens was €600 per year. The data were analysed using descriptive statistics and a Latent Class Cluster Analysis (LCCA). Results: On average, respondents preferred to increase total expenditures on all healthcare purposes, but especially on elderly care, new and better medicines, and mental healthcare. Three preference clusters were identified. The largest cluster preferred modest increases in expenditures, the second a much higher increase of expenditures, and the smallest favouring a substantial reduction of the healthcare premium by decreasing the expenditure on all healthcare purposes. The analyses also demonstrated substantial preference heterogeneity between clusters for budget allocation over different healthcare purposes. Conclusions: The results of this choice experiment show that most citizens in the Netherlands support increasing healthcare expenditures. However, substantial heterogeneity was identified in preferences for healthcare purposes to prioritize. Considering these preferences may increase public support for prioritization decisions.","Healthcare expenditure; Participatory value evaluation (PVE); Priority-setting; Public preferences","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:e6472160-3d10-4a1c-8241-759fe215e85e","http://resolver.tudelft.nl/uuid:e6472160-3d10-4a1c-8241-759fe215e85e","Improving plant-level heat pump performance through process modifications","de Raad, B.W. (TU Delft Energie and Industrie); van Lieshout, Marit (Rotterdam University of Applied Sciences); Stougie, L. (TU Delft Energie and Industrie); Ramirez, Andrea (TU Delft ChemE/Chemical Engineering)","","2024","Heat pumps are a promising option to decarbonize the industrial sector. However, their performance at a plant-level can be affected by other process changes. In this work, process changes that improve the heat pump's performance have been identified using Process Change Analysis (PCA), where the background pinch point is used as a reference point for appropriate placement. The effects of the process changes on the heat pump's work requirements are studies by introducing exergy to PCA to form the split exergy grand composite curve. This graph shows the work potential of the streams connected to the heat pump and therefore its work targets. The framework is demonstrated in two case studies. In a biodiesel production plant, it allowed to identify technologies that enhance heat pump performance while reducing overall heating requirements. Here, a heat pump transfers 1.9 MW with a COP of 4.2 but incurs a 40 kW penalty for transferring heat above the background process's pinch temperature. Replacing the wet water washer with a membrane separation unit avoided this penalty, while drastically reducing energy requirements from 0.9 MW to 0.3 MW. in a vinyl chloride monomer-purification process, PCA showed how the extraction of heat by the heat pump impacted the formation of the background pinch, from which an implementation strategy was derived that increased the heat pump's plant-level performance by 6.5% with respect to standard implementation.","Exergy grand composite curve; Heat pumps; Pinch analysis; Process change analysis","en","journal article","","","","","","","","","","ChemE/Chemical Engineering","Energie and Industrie","","",""
"uuid:7990a9cb-3423-42dc-9dd3-139c4ac259f2","http://resolver.tudelft.nl/uuid:7990a9cb-3423-42dc-9dd3-139c4ac259f2","Time-dependent earthquake-fire coupling fragility analysis under limited prior knowledge: A perspective from type-2 fuzzy probability","Men, Jinkun (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety; Katholieke Universiteit Leuven); Chen, Guohua (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Katholieke Universiteit Leuven; Universiteit Antwerpen)","","2024","Earthquake-triggered fire domino scenarios (E-FDSs) arise frequently from the interaction between earthquakes and chemical installations, resulting in catastrophic multi-hazard coupling events. The complicated mutually amplified phenomena between natural disasters and chemical accidents significantly aggravates the escalation of domino accidents, which has posed great challenges for modeling and preventing E-FDSs. Under this impetus, this work proposes an advanced type-2 fuzzy probabilistic methodology to obtain the time-dependent failure probability of steel cylindrical tanks (SCTs) subjected to the earthquake-fire sequence. To cope with the limited prior knowledge on E-FDSs, a basic universal is established to describe the fire resistance attenuation caused by the seismic damage. The coupling failure criterion of SCTs is formulated by a type-2 fuzzy time-dependent limit state equation. A credibility-based stochastic simulation algorithm is developed for the hybrid uncertainty analysis (combining ambiguity and stochasticity). The proposed methodology is validated by case studies of a 5000 m3 fixed roof tank. Compared to the existing accident probability model, the proposed methodology can not only capture the fire resistance attenuation caused by the seismic damage but also provide a dynamic estimation of tank failure probability with respect to the fire exposure time. The proposed methodology can effectively and dynamically capture the accident evolution process, which in turn helps mitigate and prevent the spatiotemporal propagation of domino effects.","Chemical Industrial Parks; Chemical Process Safety; Earthquake-triggered Fire Domino Scenarios; Multi-hazard Coupling Events; Steel Cylindrical Tank; Type-2 Fuzzy Possibility Theory","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-06","","","Safety and Security Science","","",""
"uuid:c87ae011-bca8-4dca-a055-07003d1c4fd1","http://resolver.tudelft.nl/uuid:c87ae011-bca8-4dca-a055-07003d1c4fd1","Trunk motion influences mechanical power estimates during wheelchair propulsion","van Dijk, M.P. (TU Delft Biomechanical Engineering); Hoozemans, Marco J.M. (Vrije Universiteit Amsterdam); Berger, M.A.M. (The Hague University of Applied Sciences); Veeger, H.E.J. (TU Delft Biomechatronics & Human-Machine Control)","","2024","In wheelchair sports, there is an increasing need to monitor mechanical power in the field. When rolling resistance is known, inertial measurement units (IMUs) can be used to determine mechanical power. However, upper body (i.e., trunk) motion affects the mass distribution between the small front and large rear wheels, thus affecting rolling resistance. Therefore, drag tests – which are commonly used to estimate rolling resistance – may not be valid. The aim of this study was to investigate the influence of trunk motion on mechanical power estimates in hand-rim wheelchair propulsion by comparing instantaneous resistance-based power loss with drag test-based power loss. Experiments were performed with no, moderate and full trunk motion during wheelchair propulsion. During these experiments, power loss was determined based on 1) the instantaneous rolling resistance and 2) based on the rolling resistance determined from drag tests (thus neglecting the effects of trunk motion). Results showed that power loss values of the two methods were similar when no trunk motion was present (mean difference [MD] of 0.6 ± 1.6 %). However, drag test-based power loss was underestimated up to −3.3 ± 2.3 % MD when the extent of trunk motion increased (r = 0.85). To conclude, during wheelchair propulsion with active trunk motion, neglecting the effects of trunk motion leads to an underestimated mechanical power of 1 to 6 % when it is estimated with drag test values. Depending on the required accuracy and the amount of trunk motion in the target group, the influence of trunk motion on power estimates should be corrected for.","Inertial measurement unit; Mechanical power; Rolling resistance force; Wheelchair propulsion; Wheelchair sports","en","journal article","","","","","","","","","","Biomechanical Engineering","Biomechatronics & Human-Machine Control","","",""
"uuid:c2650bb9-d40c-4b24-9af9-6072fe853b40","http://resolver.tudelft.nl/uuid:c2650bb9-d40c-4b24-9af9-6072fe853b40","Triangulating the future: Developing scenarios of cyclist-automated vehicle interactions from literature, expert perspectives, and survey data","Berge, S.H. (TU Delft Transport and Planning); de Winter, J.C.F. (TU Delft Human-Robot Interaction); Cleij, Diane (SWOV Institute for Road Safety Research); Hagenzieker, Marjan (TU Delft Transport and Planning)","","2024","Automated vehicles pose a unique challenge to the safety of vulnerable road users. Research on cyclist-automated vehicle interaction has received relatively little attention compared to pedestrian safety. This exploratory study aims to bridge this gap by identifying cyclist-automated vehicle scenarios and providing recommendations for future research. In this study, we triangulated three sources: a systematic literature review of previous research on cyclists and automated vehicles, group interviews with eight traffic safety and automation experts, and questionnaire data. The resulting scenario collection comprised 20 prototypical scenarios of cyclist-automated vehicle interaction, grouped into four categories based on the road users’ direction of movement: crossing, passing, overtaking, and merging scenarios. The survey results indicated that right-turning vehicles, dooring scenarios, and more complex situations have the highest likelihood of accidents. Passing and merging scenarios are particularly relevant for studying automated vehicle communication solutions since they involve negotiation. Future research should also consider phantom braking and driving styles of vehicles, as well as programming proactive safety behaviours and designing on-vehicle interfaces that accommodate cyclists.","Automated driving system; Automated vehicle; Bicycle; Cyclist; Methodology; Scenarios; Vulnerable road user","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:2bdcf7d7-9320-4341-9662-c433759fc863","http://resolver.tudelft.nl/uuid:2bdcf7d7-9320-4341-9662-c433759fc863","Investigating the role of spatial thinking in children’s design ideation through an open-ended design-by-analogy challenge","Zhu, C. (TU Delft Science Education and Communication); Klapwijk, R.M. (TU Delft Science Education and Communication); Silva-Ordaz, Miroslava (International School Delft); Spandaw, J.G. (TU Delft Analysis); de Vries, M.J. (TU Delft Ethics & Philosophy of Technology; TU Delft Science Education and Communication)","","2024","Spatial thinking is ubiquitous in design. Design education across all age groups encompasses a range of spatially challenging activities, such as forming and modifying mental representations of ideas, and visualizing the scenarios of design prototypes being used. While extensive research has examined the cognitive processes of spatial thinking and their relationships to science, technology, engineering, and mathematics learning, there remains a knowledge gap regarding the specific spatial thinking processes needed for open-ended problems, which may differ from those assessed in close-ended, analytical spatial tasks. To address this gap, we used educational design-based research to develop a nature-inspired, design-by-analogy project and investigate the spatial thinking processes of young, novice designers. 16 children from an international school in the Netherlands participated in this five-week design project. Multimodal evidence from classroom recordings and children’s design works were triangulated to offer insight into the key spatial thinking processes involved in their creation of nature-inspired, analogy-based design prototypes. Our results revealed spatial thinking processes that might not align with those assessed in conventional spatial tests and may be unique to design or open-ended problem-solving. These processes include abstracting spatial features to infer form-function relationships, retrieving a range of relevant visual information from memory, developing multiple possible analogical matches based on spatial features and relationships, elaborating and iterating on the design concepts and representations to make creative and suitable solutions for the design challenge, as well as visualizing design prototypes in practical usage scenarios. By highlighting the nuanced differences between spatial thinking in open-ended, divergent thinking tasks and conventional spatial tasks that demand single correct solutions, our research contributes to a deeper understanding of how children utilize spatial thinking in design and open-ended problem-solving contexts. Furthermore, this case study offers practical implications for scaffolding children's analogical reasoning and nurturing their spatial thinking in design education.","Analogical reasoning; Biomimicry; Design education; Divergent thinking; Spatial thinking","en","journal article","","","","","","","","","","","Science Education and Communication","","",""
"uuid:ea2d48a7-a455-4ce8-a2a5-632df5764916","http://resolver.tudelft.nl/uuid:ea2d48a7-a455-4ce8-a2a5-632df5764916","Curvature tuning through defect-based 4D printing","Moosabeiki, Vahid (TU Delft Biomaterials & Tissue Biomechanics); Yarali, E. (TU Delft Biomaterials & Tissue Biomechanics); Ghalayaniesfahani, A. (TU Delft Biomaterials & Tissue Biomechanics); Callens, S.J.P. (TU Delft Biomaterials & Tissue Biomechanics); van Manen, T. (TU Delft Support Biomechanical Engineering; TU Delft Biomaterials & Tissue Biomechanics); Accardo, A. (TU Delft Micro and Nano Engineering); Ghodrat, S. (TU Delft Emerging Materials); Bico, José (Sorbonne Université); Habibi, Mehdi (Wageningen University & Research); Mirzaali, Mohammad J. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics)","","2024","Emerging 4D printing techniques have enabled the realization of smart materials whose shape or properties can change with time. Two important phenomena play important roles in the 4D printing of shape memory polymeric materials. First, the anisotropic deformation of the printed filaments due to residual stresses can be harnessed to create out-of-plane shape transformations. Second, the unavoidable formation of micro-defects during the printing processes often affects the programmability of the printed object. Here, we propose a design approach that harnesses these two effects occurring during fused deposition modeling to create tailor-made curved geometries from initially 2D flat disks. We first determined the size and distribution of the imperfections formed within printed structures by varying two printing parameters namely the printing speed and the number of printed materials. Spatially varying the printing speed and combining polylactic acid filaments with a softer material without shape memory properties allowed us to cover a variety of shapes from negative to positive values of the mean and Gaussian curvature. We propose an analytical model to calculate the magnitude of the maximum out-of-plane deformation from the anisotropic expansion factor of the constituting microstructures. Furthermore, we develop computational models to predict the complex shape-changing of thermally actuated 4D printed structures given the distribution of rationally introduced imperfections and we demonstrate the potential applications of such defect-based metamaterials in drug delivery systems.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:e9bba975-cea1-488d-9fac-88dbb26f6c8c","http://resolver.tudelft.nl/uuid:e9bba975-cea1-488d-9fac-88dbb26f6c8c","A multiscale consolidation model for press molding of hybrid textiles into complex geometries","Werlen, Vincent (University of Applied Sciences and Arts Northwestern Switzerland; Swiss Federal Institute of Technology); Rytka, Christian (University of Applied Sciences and Arts Northwestern Switzerland); Dransfeld, C.A. (TU Delft Group Dransfeld); Brauner, Christian (University of Applied Sciences and Arts Northwestern Switzerland); Michaud, Véronique (Swiss Federal Institute of Technology)","","2024","Modeling the consolidation of fiber-reinforced thermoplastic composites at the part level presents a formidable computational challenge due to the multi-scale nature of the process. In this article, a method to bypass the multi-scale problem by homogenizing the micro scale and describing the medium with characteristic parameters is described. The model is intended for press molding of hybrid textiles and considers a free-form plate with non-uniform thickness and can describe consolidation in three dimensions with some restrictions. 2D implementation in FEM shows how in-plane matrix pressure gradients can arise in parts and cause fiber disorientation. Experimental verification demonstrates that fiber disorientation arises at the predicted location, and that defect size is proportional to matrix pressure gradient. This novel consolidation model provides new insights, enables part and process optimization, and paves the way for high-quality composite part production. Highlights: A consolidation model for press molding of hybrid textiles is presented. A method to extend consolidation models for complex geometry is presented. The origin of defect formation in complex geometries is explained.","consolidation; defects; fiber-reinforced thermoplastic; finite element analysis (FEA); press molding","en","journal article","","","","","","","","","","","Group Dransfeld","","",""
"uuid:d92ada99-8261-46c1-ac6f-de2e3feb3520","http://resolver.tudelft.nl/uuid:d92ada99-8261-46c1-ac6f-de2e3feb3520","Using sky-classification to improve the short-term prediction of irradiance with sky images and convolutional neural networks","Martinez Lopez, V.A. (TU Delft Photovoltaic Materials and Devices; TU Delft Electrical Engineering, Mathematics and Computer Science); van Urk, G.A. (TU Delft Photovoltaic Materials and Devices; TU Delft Electrical Engineering, Mathematics and Computer Science); Doodkorte, P.J.F. (TU Delft Photovoltaic Materials and Devices; TU Delft Electrical Engineering, Mathematics and Computer Science); Zeman, M. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Ziar, H. (TU Delft Photovoltaic Materials and Devices)","","2024","Clouds moving in front or away from the sun are the leading cause of irradiance variability. These variations have a repercussion on the electricity production of photovoltaic systems. Predicting such changes is essential for proper control of these systems and for maintaining grid stability. Images from the sky have proven to help with short-term solar irradiance forecasting, especially when combined with artificial intelligence. Nevertheless, these models tend to smooth the irradiance fluctuations. We propose a forecasting model to predict the clear-sky index in a forecast horizon of 20 min with a 1-minute resolution. Our model, based on a classifier to determine the sky conditions and, on an optical flow, applies an artificial intelligence model explicitly trained on each class of sky conditions. This strategy has an equivalent performance to an unclassified model and a forecast skill between 5 and 20% with respect to the smart persistence model for most classes of sky conditions while requiring considerably less training data. Although our model reduces the overall predicting error, it still has difficulties predicting irradiance changes and mainly overcast days. Our classifying strategy can be applied to other models targeting different objectives to predict sudden changes in either irradiance or power related to photovoltaic systems.","All-sky images; Deep learning; Irradiance nowcasting; Sky-image processing","en","journal article","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","Photovoltaic Materials and Devices","","",""
"uuid:cdad38c1-baa3-4a0b-94b1-7ef694565f27","http://resolver.tudelft.nl/uuid:cdad38c1-baa3-4a0b-94b1-7ef694565f27","Risk-based optimization of emergency response systems for accidental gas leakage in utility tunnels","Cai, Jitao (China University of Mining and Technology (Beijing)); Wu, Jiansong (China University of Mining and Technology (Beijing)); Yuan, S. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science); Bai, Yiping (China University of Mining and Technology (Beijing))","","2024","Focusing on the effective configuration of emergency response systems in utility tunnels, this study proposes an innovative approach to optimize existing emergency response systems based on a consequence rapid prediction model and genetic algorithm. In the proposed approach, the interactions between different emergency response components are considered to perform a rapid gas dispersion prediction. Furthermore, the predicted gas concentration distribution is employed to estimate the quantitative explosion risks by combining the equivalent cloud method and the Baker-Strehlow model. Finally, the cumulative and cascading risk index are proposed and combined for systematic optimization by using a genetic algorithm. A case study is performed to demonstrate the feasibility of the proposed approach. The results indicate that the optimized emergency response systems effectively reduce both the cumulative and cascading risk level. This study provides technical support for emergency response system design and helps to improve the safety-risk-control capabilities of utility tunnels.","Emergency response system; Natural gas leakage; Quantitative risk assessment; Safety barrier optimization; Utility tunnel","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-21","","","Safety and Security Science","","",""
"uuid:27967d24-6e72-43f1-a4f5-b4ed1d5ea239","http://resolver.tudelft.nl/uuid:27967d24-6e72-43f1-a4f5-b4ed1d5ea239","Coastal adaptation and migration dynamics under future shoreline changes","Tierolf, Lars (Vrije Universiteit Amsterdam); Haer, Toon (Vrije Universiteit Amsterdam); Athanasiou, Panagiotis (Deltares); Luijendijk, Arjen (TU Delft Coastal Engineering; Deltares); Botzen, W. J. Wouter (Vrije Universiteit Amsterdam; Universiteit Utrecht); Aerts, Jeroen C.J.H. (Vrije Universiteit Amsterdam)","","2024","In this study, we present a novel modeling framework that provides a stylized representation of coastal adaptation and migration dynamics under sea level rise (SLR). We develop an agent-based model that simulates household and government agents adapting to shoreline change and increasing coastal flood risk. This model is coupled to a gravity-based model of migration to simulate coastward migration. Household characteristics are derived from local census data from 2015, and household decisions are calibrated based on empirical survey data on household adaptation in France. We integrate projections of shoreline retreat and flood inundation levels under two Representative Concentration Pathways (RCPs) and account for socioeconomic development under two Shared Socioeconomic Pathways (SSPs). The model is then applied to simulate coastal adaptation and migration between 2015 and 2080. Our results indicate that without coastal adaptation, SLR could drive the cumulative net outmigration of 13,100 up to as many as 21,700 coastal inhabitants between 2015 and 2080 under SSP2–RCP4.5 and SSP5–RCP8.5, respectively. This amounts to between 3.0 %–3.7 % of the coastal population residing in the 1/100-year flood zone in 2080 under a scenario of SLR. We find that SLR-induced migration is largely dependent on the adaptation strategies pursued by households and governments. Household implementation of floodproofing measures combined with beach renourishment reduces the projected SLR-induced migration by 31 %–36 % when compared to a migration under a scenario of no adaptation. A sensitivity analysis indicates that the effect of beach renourishment on SLR-induced migration largely depends on the level of coastal flood protection offered by sandy beaches. By explicitly modeling household behavior combined with governmental protection strategies under increasing coastal risks, the framework presented in this study allows for a comparison of climate change impacts on coastal communities under different adaptation strategies.","Agent-based modeling; Climate migration; Coastal adaptation; Coastal erosion; Flood risk; Sea level rise","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:301e837c-8a16-4eb5-bc57-5ef61c28dc81","http://resolver.tudelft.nl/uuid:301e837c-8a16-4eb5-bc57-5ef61c28dc81","The impact of metal centers in the M-MOF-74 series on carbon dioxide and hydrogen separation","Wasik, Dominika O. (Eindhoven University of Technology); Vicent-Luna, José Manuel (Eindhoven University of Technology); Luna-Triguero, Azahara (Eindhoven University of Technology); Dubbeldam, David (Universiteit van Amsterdam); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics); Calero, Sofía (Eindhoven University of Technology)","","2024","The series of metal–organic frameworks M-MOF-74 gained popularity in the field of capture and separation of CO2 due to the presence of numerous, highly reactive open-metal sites. The description of effective interactions between guest molecules and open-metal sites without accounting for polarization effects is challenging but it can significantly reduce the computational cost of simulations. In this study, we propose a non-polarizable force field for CO2, and H2 adsorption in M-MOF-74 (M = Ni, Cu, Co, Fe, Mn, Zn) by scaling the Coulombic interactions of M-MOF-74 atoms, and Lennard-Jones interaction potentials between the center of mass of H2 and the open-metal centers. The presented force field is based on UFF and DREIDING parameters, characterized by high transferability and efficiency. The quantum behavior of H2 at cryogenic temperatures is considered by incorporating Feynman–Hibbs quantum corrections. To validate the force field, the experimental isotherms of CO2 at 298 K and 10−1 – 102kPa, the isotherms of H2 at 77 K and 10−5 – 102kPa, the corresponding enthalpy of adsorption, and the binding geometries in the M-MOF-74 series were reproduced using Monte Carlo simulations in the grand-canonical ensemble. The computed loadings, heats of CO2 and H2 adsorption, and binding geometries in M-MOF-74 are in very good agreement with the experimental values. The temperature transferability of the force field from 77 K to 87 K, and 298 K was shown for adsorption of H2. The validated force field was used to study the adsorption and separation of CO2/H2 mixtures at 298 K. The adsorption of H2 practically does not occur when CO2 is present in the mixture. As indicated from simulated breakthrough curves, the breakthrough time of CO2 in M-MOF-74 follows the same order as the uptake and the heat of CO2 adsorption: Ni ¿ Co ¿ Fe ¿ Mn ¿ Zn ¿ Cu. Increasing the feed mole fraction of CO2 in the breakthrough simulations from 0.1 to 0.9 speeds up the saturation of the adsorbent, leading to a faster exit of CO2 with the column effluent. The application of the non-polarizable force field allows full investigation of the capture and separation of CO2 in M-MOF-74, and can be expanded to study multi-component mixtures or industrial reactions in future research.","Adsorption; Force field; Metal-organic frameworks; Molecular simulations; Monte Carlo","en","journal article","","","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:3cb1ec38-2e11-4947-aafe-f17c8e66740e","http://resolver.tudelft.nl/uuid:3cb1ec38-2e11-4947-aafe-f17c8e66740e","A combined experimental and computational approach to unravel degradation mechanisms in electrochemical wastewater treatment","Feijoo, Sara (Katholieke Universiteit Leuven); Baluchová, S. (TU Delft Micro and Nano Engineering); Kamali, Mohammadreza (Katholieke Universiteit Leuven); Buijnsters, J.G. (TU Delft Micro and Nano Engineering); Dewil, Raf (Katholieke Universiteit Leuven; University of Oxford)","","2024","Electrochemical wastewater treatment is a promising technique to remove recalcitrant pollutants from wastewater. However, the complexity of elucidating the underlying degradation mechanisms hinders its optimisation not only from a techno-economic perspective, as it is desirable to maximise removal efficiencies at low energy and chemical requirements, but also in environmental terms, as the generation of toxic by-products is an ongoing challenge. In this work, we propose a novel combined experimental and computational approach to (i) estimate the contribution of radical and non-radical mechanisms as well as their synergistic effects during electrochemical oxidation and (ii) identify the optimal conditions that promote specific degradation pathways. As a case study, the distribution of the degradation mechanisms involved in the removal of benzoic acid (BA) via boron-doped diamond (BDD) anodes was elucidated and analysed as a function of several operating parameters, i.e., the initial sulfate and nitrate content of the wastewater and the current applied. Subsequently, a multivariate optimisation study was conducted, where the influence of the electrode nature was investigated for two commercial BDD electrodes and a customised silver-decorated BDD electrode. Optimal conditions were identified for each degradation mechanism as well as for the overall BA degradation rate constant. BDD selection was found to be the most influential factor favouring any mechanism (i.e., 52-85% contribution), given that properties such as its boron doping and the presence of electrodeposited silver could dramatically affect the reactions taking place. In particular, decorating the BDD surface with silver microparticles significantly enhanced BA degradation via sulfate radicals, whereas direct oxidation, reactive oxygen species and radical synergistic effects were promoted when using a commercial BDD material with higher boron content and on a silicon substrate. Consequently, by simplifying the identification and quantification of underlying mechanisms, our approach facilitates the elucidation of the most suitable degradation route for a given electrochemical wastewater treatment together with its optimal operating conditions.","","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:2f557067-9e37-4271-a499-ce469d51d8fc","http://resolver.tudelft.nl/uuid:2f557067-9e37-4271-a499-ce469d51d8fc","Where the White Continent Is Blue: Deep Learning Locates Bare Ice in Antarctica","Tollenaar, Veronica (Vrije Universiteit Brussel; Swiss Federal Institute of Technology); Zekollari, Harry (Vrije Universiteit Brussel; ETH Zürich; Swiss Federal Institute for Forest, Snow and Landscape Research); Pattyn, Frank (Vrije Universiteit Brussel); Rußwurm, Marc (Swiss Federal Institute of Technology; Wageningen University & Research); Kellenberger, Benjamin (Yale University); Lhermitte, S.L.M. (TU Delft Mathematical Geodesy and Positioning; Katholieke Universiteit Leuven); Izeboud, M. (TU Delft Civil Engineering & Geosciences); Tuia, Devis (Swiss Federal Institute of Technology)","","2024","In some areas of Antarctica, blue-colored bare ice is exposed at the surface. These blue ice areas (BIAs) can trap meteorites or old ice and are vital for understanding the climatic history. By combining multi-sensor remote sensing data (MODIS, RADARSAT-2, and TanDEM-X) in a deep learning framework, we map blue ice across the continent at 200-m resolution. We use a novel methodology for image segmentation with “noisy” labels to learn an underlying “clean” pattern with a neural network. In total, BIAs cover ca. 140,000 km2 (∼1%) of Antarctica, of which nearly 50% located within 20 km of the grounding line. There, the low albedo of blue ice enhances melt-water production and its mapping is crucial for mass balance studies that determine the stability of the ice sheet. Moreover, the map provides input for fieldwork missions and can act as constraint for other geophysical mapping efforts.","Antarctica; blue ice; deep learning; noisy labels","en","journal article","","","","","","","","","Civil Engineering & Geosciences","","Mathematical Geodesy and Positioning","","",""
"uuid:e41eda02-200e-4cdb-9f08-66f4043e4bfe","http://resolver.tudelft.nl/uuid:e41eda02-200e-4cdb-9f08-66f4043e4bfe","Market-orientated solutions to increase thermal conductivity in latent thermal energy storage systems","Righetti, Giulia (Università degli Studi di Padova); Zilio, Claudio (Università degli Studi di Padova); Hooman, K. (TU Delft Heat Transformation Technology); Mancin, Simone (Università degli Studi di Padova)","","2024","Among experts, it is well-known that the thermal conductivity of PCMs (phase change materials) is low hence a major limitation for their commercial application. This work proposes alternative, inexpensive, but nevertheless effective solutions to increase the average thermal conductivity of a PCM system (a commercial paraffin wax, having a phase change temperature of about 40 °C) used for thermal energy storage. 600 g of PCM fills an annulus wrapping an inner tube used to either charge or discharge heat to the PCM. The effect of the flow rate and temperature of the water used as heat transfer fluid was experimentally analysed. The flow rate was set to vary between 2 and 8 l min-1 and the temperature between 45 and 55 °C. We tested three different aluminum-based thermal enhancers: a commercially available metal foam sample, a wire mesh, and irregular flakes (chips) obtained as waste product of machining operations. The PCM-only sample exhibited the longest charging and discharging times, while the PCM + foam sample shortened them the most. The two cost-effective solutions (chip and wire mesh) resulted in intermediate phase change times. A performance indicator, in terms of cost per phase change rate, is proposed to compare different enhancers. It demonstrated that these two cost-effective thermal conductivity enhancing solutions can become a key enabling method to widely deploy latent thermal energy technology widely in many different applications.","Economic solution; Latent thermal energy storage; Metallic chip; Metallic foam; PCM; Thermal conductivity","en","journal article","","","","","","","","","","","Heat Transformation Technology","","",""
"uuid:9ad4f1f3-97df-4c57-a3ce-5a2baa9fff40","http://resolver.tudelft.nl/uuid:9ad4f1f3-97df-4c57-a3ce-5a2baa9fff40","Local Reaction Environment Deviations within Gas Diffusion Electrode Pores for CO2 Electrolysis","Butt, E.N. (TU Delft Complex Fluid Processing); Padding, J.T. (TU Delft Complex Fluid Processing); Hartkamp, Remco (TU Delft Complex Fluid Processing)","","2024","The local conditions inside a gas diffusion electrode (GDE) pore, especially in the electrical double layer (EDL) region, influence the charge transfer reactions and the selectivity of desired CO2ER products. Most GDE computational models ignore the EDL or are limited in their applicability at high potentials. In this work, we present a continuum model to describe the local environment inside a catalytic pore at varying potentials, electrolyte concentrations and pore diameters. The systems studied in this work are based on an Ag catalyst in contact with KHCO3 solution. Our study shows that steric effects dominate the local environment at high cathodic potentials (≪−25 mV vs pzc at the OHP), leading to a radial drop of CO2 concentration. We also observe a drop in pH value within 1 nm of the reaction plane due to electrostatic repulsion and attraction of OH− and H+ ions, respectively. We studied the influence of pore radii (1-10 nm) on electric field and concentrations. Pores with a radius smaller than 5 nm show a higher mean potential, which lowers the mean CO2 concentration. Pores with a favourable local environment can be designed by regulating the ratio between the pore radius and Debye length.","CO electrochemical reduction; gas diffusion electrode; lectric double layer; modelling; steric effects","en","journal article","","","","","","","","","","","Complex Fluid Processing","","",""
"uuid:48e0620e-2ac4-47e5-8a83-3d0d356f2c71","http://resolver.tudelft.nl/uuid:48e0620e-2ac4-47e5-8a83-3d0d356f2c71","Characteristics of ice-structure-soil interaction of an offshore wind turbine","Zou, Pengxu (University of Michigan; CCCC Guangdong-Hong Kong-Macao Greater Bay Area Innovation Research Institute Ltd.); Bricker, J.D. (TU Delft Hydraulic Structures and Flood Risk; University of Michigan); Fujisaki-Manome, Ayumi (University of Michigan); Garcia, Fernando E. (University of Michigan)","","2024","This research investigates the dynamic response of offshore wind turbine (OWT) systems subject to ice-structure-soil interaction (ISSI). To simulate the behavior of level ice sheets, a coupled approach involving the cohesive element method (CEM) and the finite element method (FEM) is applied. For soil-structure interaction (SSI), the Mohr–Coulomb (M-C) model is employed to accommodate glacial soils. A three-dimensional model for ice-OWT-soil interactions is established using LS-DYNA, focusing on the North American Great Lakes region. The impact of factors, including conical structure geometry, ice loading conditions, and soil characteristics, on the actions of ice and the displacement of the OWT structure, is systematically assessed. The results show a notable reduction in horizontal ice forces when a conical structure is used, underscoring its potential to enhance the stability of an OWT. Additionally, lower ice loading height results in increased ice force and reduced structural displacement. Furthermore, variations in soil properties, specifically elastic shear modulus, cohesion and angle of internal friction, exert a significant influence on OWT dynamics. The elastic shear modulus of glacial soils impacts the displacement of the OWT structure, posing a threat to structural stability. In addition, reduced cohesion and friction angle contribute to greater structural displacement.","Conical structures; Dynamic response; Glacial soils; Ice loads; Ice-structure-soil interaction; Offshore wind turbine","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-03","","","Hydraulic Structures and Flood Risk","","",""
"uuid:2f49b47d-d366-420a-8267-6ec3d0ea11e8","http://resolver.tudelft.nl/uuid:2f49b47d-d366-420a-8267-6ec3d0ea11e8","Fifty years after the Schelling's Models of Segregation: Bibliometric analysis of the legacy of Schelling and the future directions of segregation research","Ubareviciene, Ruta (TU Delft Urban Studies; University of Tartu; Lithuanian Centre for Social Sciences); van Ham, M. (TU Delft Urbanism); Tammaru, Tiit (University of Tartu; Estonian Academy of Sciences)","","2024","In 1969 Thomas C. Schelling published his paper “Models of Segregation” and in 1971 he published a follow-up paper introducing “Dynamic Models of Segregation”. Schelling's papers developed the theoretical models of interactive dynamics of individual residential choices, resulting in pronounced patterns of residential segregation at the city level. Even after 50+ years, the topic of residential segregation and sorting remains as relevant as when Schelling published his papers. The two Schelling papers have been cited more than 8000 times together, and have made a strong impact on the residential segregation literature and beyond. In this paper, we examine how Schelling's ideas have impacted empirical research on residential segregation, and thus contributed to a greater understanding of urban processes. We find that few empirical papers explicitly test the Schelling models in residential segregation studies, and there are a growing number of influential papers in the field of segregation that do not reference Schelling. However, the papers by Schelling have served as a source of inspiration for a diverse set of empirical studies, new ways of defining neighbourhoods and developing more comprehensive theories of segregation.","Dynamic models; Preferences; Residential mobility; Schelling; Segregation","en","journal article","","","","","","","","","","Urbanism","Urban Studies","","",""
"uuid:e2ef35ae-6901-4f31-b3bc-c21060e49442","http://resolver.tudelft.nl/uuid:e2ef35ae-6901-4f31-b3bc-c21060e49442","Coupling extracellular glycan composition with metagenomic data in papermill and brewery anaerobic granular sludges","Doloman, Anna (Wageningen University & Research); de Bruin, S. (TU Delft BT/Environmental Biotechnology); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology; Aalborg University); Sousa, Diana Z. (Wageningen University & Research; EWUU Alliance); Lin, Y. (TU Delft BT/Environmental Biotechnology)","","2024","Glycans are crucial for the structure and function of anaerobic granular sludge in wastewater treatment. Yet, there is limited knowledge regarding the microorganisms and biosynthesis pathways responsible for glycan production. In this study, we analysed samples from anaerobic granular sludges treating papermill and brewery wastewater, examining glycans composition and using metagenome-assembled genomes (MAGs) to explore potential biochemical pathways associated with their production. Uronic acids were the predominant constituents of the glycans in extracellular polymeric substances (EPS) produced by the anaerobic granular sludges, comprising up to 60 % of the total polysaccharide content. MAGs affiliated with Anaerolineacae, Methanobacteriaceae and Methanosaetaceae represented the majority of the microbial community (30–50 % of total reads per MAG). Based on the analysis of MAGs, it appears that Anaerolinea sp. and members of the Methanobacteria class are involved in the production of exopolysaccharides within the analysed granular sludges. These findings shed light on the functional roles of microorganisms in glycan production in industrial anaerobic wastewater treatment systems.","Anaerobic granular sludge; EPS biosynthesis pathways; Extracellular polymeric substances; Glycans; Metagenomics","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:ef092c48-9ec2-4657-991b-b51de71f4de8","http://resolver.tudelft.nl/uuid:ef092c48-9ec2-4657-991b-b51de71f4de8","Biosorption of Lead and Copper by Epiphytic Rhizobacterial Species Isolated from Lepironia articulata and Scirpus grossus","Al-Ajalin, Fayeq Abdelhafez (Universiti Kebangsaan Malaysia); Idris, Mushrifah (Universiti Kebangsaan Malaysia); Sheikh Abdullah, Siti Rozaimah (Universiti Kebangsaan Malaysia); Kurniawan, Setyo Budi (Institute of Microbiology of the Academy of Sciences of the Czech Republic); Imron, M.F. (TU Delft Sanitary Engineering; Airlangga University)","","2024","In this study, biosorption potential of nine epiphytic bacteria isolated from the rhizosphere of Lepironia articulata and Scirpus grossus were assessed. Identification of the isolated epiphytic rhizobacteria using 16S rRNA analysis showed species belonging to the four genera of Bacillus, Enterobacter, Aeromonas, and Chromobacterium. Batch biosorption studies were carried out to assess the capacity of the isolated bacteria to act as Pb and Cu biosorbents. Different initial concentrations of the two heavy metals (50, 100, 200, 300, and 400 ppm) were used to determine the ability of the biosorbent to reach a tolerance level and then calculate the percentage of biosorption with respect to 0.1 g dry weight. Initial concentration of Pb and Cu exposed showed that the isolated bacteria have high tolerance up to 400 ppm. Bacteria prefer Pb ions over Cu, which is indicated by higher removal of Pb in all tested reactors. Bacillus sp. (coded Sc1) showed the highest biosorption capacity with 100% Pb and 97% Cu removal.","biosorption; Cu; epiphytic bacteria; Lepironia articulata; Pb; Scirpus grossus","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:2583f9d9-bb42-4a8c-9a3b-5cd47fd5fbd0","http://resolver.tudelft.nl/uuid:2583f9d9-bb42-4a8c-9a3b-5cd47fd5fbd0","DNS and RANS for core-annular flow with a turbulent annulus","Li, H. (TU Delft Fluid Mechanics); Pourquie, M.J.B.M. (TU Delft Fluid Mechanics); Ooms, G. (TU Delft Fluid Mechanics); Henkes, R.A.W.M. (TU Delft Fluid Mechanics)","","2024","DNS and RANS simulations were carried out for core-annular flow in a horizontal pipe and results were compared with experiments carried out with water and oil in our lab. In contrast to most existing studies for core-annular flow available in the literature, the flow annulus is not laminar but turbulent. This makes the simulations more challenging. As DNS does not contain any closure correlations, this approach should give the best representation of the flow (provided a sufficiently accurate numerical mesh and numerical method is used). Various flow configurations were considered, such as without gravity (to enforce an on-average concentric oil core) and with gravity (to allow for eccentricity in the oil core location). Both single-phase and two-phase conditions were considered; single-phase flow refers to the water annulus with imposed wavy wall, whereas two-phase flow includes the determination of the wavy interface. Mesh refinement was carried out to assess the numerical accuracy of the simulation results.","Core-annular flow; Turbulent annulus flow; Two-phase DNS; Wavy interface","en","journal article","","","","","","","","","","","Fluid Mechanics","","",""
"uuid:22824b37-1333-4610-9072-46bb06a172c2","http://resolver.tudelft.nl/uuid:22824b37-1333-4610-9072-46bb06a172c2","A critical assessment and summary on the low carbon energy pile technologies based on the life-cycle perspective: Challenges and prospects","Zhang, Linfeng (Southeast University); Han, Haozhe (The Hong Kong Polytechnic University); Li, Wenxin (Southeast University); Guo, Kewei (Southeast University); Yuan, Minglu (Southeast University); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University)","","2024","Energy piles, a technology integrating the heat exchange component within building pile foundations for shallow geothermal energy utilization, have proven economically efficient. They outperform conventional ground source heat pumps by mitigating additional borehole costs and space requirements. This paper systematically examines low-carbon considerations and optimization measures throughout the planning, design, construction, and operation stages of energy piles, considering the entire lifecycle. Furthermore, this paper discusses potential challenges associated with decarbonizing energy piles, offering solutions based on case studies and environmental impact assessments. Through a comprehensive critical review and analysis of existing knowledge, this paper presents a systematic theory and methodology for optimal decarbonization of energy piles, serving as a valuable resource for building practitioners and researchers in this field. The findings not only contribute to a solid theoretical foundation but also provide technical support for the advancement and application of energy pile systems.","Building carbon emissions; Energy piles; Full life-cycle; Low carbonization; Optimize design; Shallow geothermal energy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-01","","","Design & Construction Management","","",""
"uuid:a4fb1d7a-7ad7-4a7f-a8ad-3a3dd7e20d44","http://resolver.tudelft.nl/uuid:a4fb1d7a-7ad7-4a7f-a8ad-3a3dd7e20d44","Synergetic-informed deep reinforcement learning for sustainable management of transportation networks with large action spaces","Lai, Li (The Hong Kong Polytechnic University); Dong, You (The Hong Kong Polytechnic University); Andriotis, C. (TU Delft Architectural Technology); Wang, Aijun (Wuhan University of Technology); Lei, Xiaoming (The Hong Kong Polytechnic University)","","2024","Effective transportation network management systems should consider safety and sustainability objectives. Existing research on large-scale transportation network management often employs the assumption that bridges can be considered individually under these objectives. However, this simplification misses accurate system-level representations, induced by multiple components, network topology, and global maintenance actions. To address these limitations, this paper presents a deep reinforcement learning (DRL) framework that draws inspiration from biological learning behaviors to determine optimal life-cycle management policies. It incorporates synergetic branches and hierarchical rewards, factorizing the action space and, thereby, diminishing system complexity from exponential to linear with respect to the number of bridges. Extensive experiments based on a realistic case study demonstrate that the proposed method outperforms expert maintenance strategies and state-of-the-art decision-making methods. Overall, the proposed DRL framework can assist engineers by offering adaptive solutions to maintenance planning. It also provides solutions that address large action spaces within complex systems.","deep reinforcement learning; infrastructure management; maintenance optimization; hierarchical reward; life-cycle analysis; large discrete action spaces","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-06","","","Architectural Technology","","",""
"uuid:1cdcb884-5936-41cc-a213-60985b962e3a","http://resolver.tudelft.nl/uuid:1cdcb884-5936-41cc-a213-60985b962e3a","Effect of temperature on the healing capacity and mechanical properties of Ti2AlC MAX phase ceramics","Suh, Minji (Kookmin University); Lee, Dong Heon (Kookmin University); Sloof, W.G. (TU Delft Team Joris Dik); Lee, Kee Sung (Kookmin University)","","2024","In this study, the self-healing capacity of Titanium Aluminum Carbide (Ti2AlC, MAX phase) was investigated. Bulk coin samples were fabricated to evaluate the self-healing capacity at different temperatures (1000, 1200, and 1400°C). The extensive self-healing capacity of Ti2AlC was confirmed on larger quasiplastic damage (diameter ≥1 mm) and radial cracks by covering and filling of oxides such as titanium oxide, aluminum oxide, and aluminum titanate oxide. Although the mechanical properties of Ti2AlC after healing are similar or improved relative to the Ti2AlC before healing at the microscale, some properties of Ti2AlC after introducing larger damage and healing at 1400°C showed reduced values due to excessive oxide formation on the surface. For example, the strength of Ti2AlC healed at 1400°C exhibited 151.4 MPa, which is relative to the original strength of 298.3 MPa. Alternatively, the mechanical properties such as strength, hardness, toughness, and relative modulus of elasticity of Ti2AlC healed at 1000 or 1200°C and were restored to their original strength after healing. These findings suggest that Ti2AlC can be used as a healing agent for high-temperature applications, such as environmental barrier coating for gas turbine hot-gas components.","cracks/cracking; damage healing; indentation; MAX phase; mechanical properties","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-07","","","Team Joris Dik","","",""
"uuid:cc82e749-c767-4199-8939-91cfba1d553c","http://resolver.tudelft.nl/uuid:cc82e749-c767-4199-8939-91cfba1d553c","The challenges of high-quality development in Chinese secondary cities: A typological exploration","Du, Y. (TU Delft Spatial Planning and Strategy); Viseu Cardoso, Rodrigo (TU Delft Spatial Planning and Strategy); Rocco, Roberto (TU Delft Spatial Planning and Strategy)","","2024","The governmental initiative of high-quality development (HQD) marks a shift in the Chinese development paradigm from prioritizing speed to prioritizing quality towards comprehensive goals of economic growth, social vitality, innovation capacity, industrial upgrading, regional cooperation, and green transformation. This initiative is increasingly discussed within the framework of mega-regions, with prior studies demonstrating that they are critical arenas for promoting HQD visions. However, unevenness within mega-regions has become an important limitation to this vision. Namely, significant disparities exist between mega-regional core cities and the smaller neighboring cities in most HQD indicators. This paper conceptualizes these smaller players as secondary cities. Based on this, this paper aims to understand and differentiate the specific challenges of secondary cities facing intra-regional unevenness in the context of HQD. We build an evaluation framework and employ the TOPSIS method to evaluate 34 core cities and 180 secondary cities. Then, we introduce typological thinking to develop a meaningful classification of secondary cities based on the results of these evaluations. K-means clustering analysis identifies five secondary city types with similar profiles. The analysis supports the discussion of the characteristics and challenges of each type and may contribute to policy recommendations for a balanced HQD in mega-regional secondary cities.","High-quality development; Intra-regional unevenness; K-means clustering analysis; Mega-regional secondary city; TOPSIS; Typological analysis","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:50589613-835a-457b-8219-84b04f77e5eb","http://resolver.tudelft.nl/uuid:50589613-835a-457b-8219-84b04f77e5eb","Spike sorting in the presence of stimulation artifacts: a dynamical control systems approach","Shokri, M. (TU Delft Team Tamas Keviczky); Gogliettino, Alex R. (Stanford University); Hottowy, Paweł (AGH University of Science and Technology); Sher, Alexander (University of California); Litke, Alan M. (University of California); Chichilnisky, E. J. (Stanford University); Pequito, Sérgio (Uppsala University); Muratore, D.G. (TU Delft Bio-Electronics)","","2024","Objective. Bi-directional electronic neural interfaces, capable of both electrical recording and stimulation, communicate with the nervous system to permit precise calibration of electrical inputs by capturing the evoked neural responses. However, one significant challenge is that stimulation artifacts often mask the actual neural signals. To address this issue, we introduce a novel approach that employs dynamical control systems to detect and decipher electrically evoked neural activity despite the presence of electrical artifacts. Approach. Our proposed method leverages the unique spatiotemporal patterns of neural activity and electrical artifacts to distinguish and identify individual neural spikes. We designed distinctive dynamical models for both the stimulation artifact and each neuron observed during spontaneous neural activity. We can estimate which neurons were active by analyzing the recorded voltage responses across multiple electrodes post-stimulation. This technique also allows us to exclude signals from electrodes heavily affected by stimulation artifacts, such as the stimulating electrode itself, yet still accurately differentiate between evoked spikes and electrical artifacts. Main results. We applied our method to high-density multi-electrode recordings from the primate retina in an ex vivo setup, using a grid of 512 electrodes. Through repeated electrical stimulations at varying amplitudes, we were able to construct activation curves for each neuron. The curves obtained with our method closely resembled those derived from manual spike sorting. Additionally, the stimulation thresholds we estimated strongly agreed with those determined through manual analysis, demonstrating high reliability ( R 2 = 0.951 for human 1 and R 2 = 0.944 for human 2). Significance. Our method can effectively separate evoked neural spikes from stimulation artifacts by exploiting the distinct spatiotemporal propagation patterns captured by a dense, large-scale multi-electrode array. This technique holds promise for future applications in real-time closed-loop stimulation systems and for managing multi-channel stimulation strategies.","Bi-directional neural interface; dynamical control systems; spike sorting; stimulation artifact","en","journal article","","","","","","","","","","","Team Tamas Keviczky","","",""
"uuid:678c1b29-f517-4918-9980-75cbb9677548","http://resolver.tudelft.nl/uuid:678c1b29-f517-4918-9980-75cbb9677548","Aortic valve neocuspidization and bioprosthetic valves: Evaluating turbulence haemodynamics","Manchester, Emily Louise (Imperial College London; The University of Manchester); Pirola, S. (TU Delft Medical Instruments & Bio-Inspired Technology; Imperial College London); Pirola, Sergio (IRCCS Centro Cardiologico Monzino); Mastroiacovo, Giorgio (IRCCS Centro Cardiologico Monzino); Polvani, Gianluca (IRCCS Centro Cardiologico Monzino; University of Milan); Pontone, Gianluca (University of Milan; IRCCS Centro Cardiologico Monzino); Xu, Xiao Yun (Imperial College London)","","2024","Aortic valve disease is often treated with bioprosthetic valves. An alternative treatment is aortic valve neocuspidization which is a relatively new reparative procedure whereby the three aortic cusps are replaced with patient pericardium or bovine tissues. Recent research indicates that aortic blood flow is disturbed, and turbulence effects have yet to be evaluated in either bioprosthetic or aortic valve neocuspidization valve types in patient-specific settings. The aim of this study is to better understand turbulence production in the aorta and evaluate its effects on laminar and turbulent wall shear stress. Four patients with aortic valve disease were treated with either bioprosthetic valves (n=2) or aortic valve neocuspidization valvular repair (n=2). Aortic geometries were segmented from magnetic resonance images (MRI), and 4D flow MRI was used to derive physiological inlet and outlet boundary conditions. Pulsatile large-eddy simulations were performed to capture the full range of laminar, transitional and turbulence characteristics in the aorta. Turbulence was produced in all aortas with highest levels occurring during systolic deceleration. In the ascending aorta, turbulence production is attributed to a combination of valvular skew, valvular eccentricity, and ascending aortic dilation. In the proximal descending thoracic aorta, turbulence production is dependent on the type of arch-descending aorta connection (e.g., a narrowing or sharp bend) which induces flow separation. Laminar and turbulent wall shear stresses are of similar magnitude throughout late systolic deceleration and diastole, although turbulent wall shear stress magnitudes exceed laminar wall shear stresses between 27.3% and 61.1% of the cardiac cycle. This emphasises the significance of including turbulent wall shear stress to improve our comprehension of progressive arterial wall diseases. The findings of this study recommend that aortic valve treatments should prioritise minimising valvular eccentricity and skew in order to mitigate turbulence generation.","Aortic valve neocuspidization; Aortic valve replacement; Bioprosthesis; Blood flow; Computational fluid dynamics; Haemodynamics; Large-eddy simulation; Ozaki procedure; Turbulence; Wall shear stress","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:267d8ed2-4aa9-4ecc-b250-7b6321136419","http://resolver.tudelft.nl/uuid:267d8ed2-4aa9-4ecc-b250-7b6321136419","Incorporating Safety-II in future gas systems","Riemersma, B. (TU Delft Economics of Technology and Innovation); Correljé, A. (TU Delft Economics of Technology and Innovation); Kunneke, R.W. (TU Delft Economics of Technology and Innovation)","","2024","This article studies safety management in future gas systems. It is structured around the compatibility of its technological and institutional coordination. We identify how the current mode of safety management is not in harmony with increasingly complex technological and institutional arrangements, and combine safety science with institutional analysis to improve safety management. For our case study of biogas quality monitoring in the Netherlands, we offer structured recommendations for the reallocation of monitoring and enforcement mechanisms based on Safety-II. This article provides insights for users of gas systems and other infrastructures alike, and it offers safety scholars an approach to safety management that incorporates a novel focus on institutions.","Alignment Framework; Complex Systems; Institutional Analysis; Renewable Energy Systems; Safety Management; Safety-II","en","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:00a22e9b-308f-4b83-8cbd-213529211d58","http://resolver.tudelft.nl/uuid:00a22e9b-308f-4b83-8cbd-213529211d58","Lower limb biomechanics of fully trained exoskeleton users reveal complex mechanisms behind the reductions in energy cost with human-in-the-loop optimization","Poggensee, K. (TU Delft Human-Robot Interaction; Erasmus MC; Stanford University); Collins, Steven H. (Stanford University)","","2024","Exoskeletons that assist in ankle plantarflexion can improve energy economy in locomotion. Characterizing the joint-level mechanisms behind these reductions in energy cost can lead to a better understanding of how people interact with these devices, as well as to improved device design and training protocols. We examined the biomechanical responses to exoskeleton assistance in exoskeleton users trained with a lengthened protocol. Kinematics at unassisted joints were generally unchanged by assistance, which has been observed in other ankle exoskeleton studies. Peak plantarflexion angle increased with plantarflexion assistance, which led to increased total and biological mechanical power despite decreases in biological joint torque and whole-body net metabolic energy cost. Ankle plantarflexor activity also decreased with assistance. Muscles that act about unassisted joints also increased activity for large levels of assistance, and this response should be investigated over long-term use to prevent overuse injuries.","biomechanics; exoskeletons; gait; human-in-the-loop optimization; plantarflexion assistance","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:e4badb9a-3515-45eb-8b31-6b7d0a4f1a29","http://resolver.tudelft.nl/uuid:e4badb9a-3515-45eb-8b31-6b7d0a4f1a29","Depth estimation in SPAD-based LIDAR sensors","Chen, Mingzhe (Student TU Delft; Silicon Integrated B.V.); Ramachandra Rao, P. (TU Delft Electronic Instrumentation); Venialgo Araujo, E. (TU Delft Optical Technologies)","","2024","In direct time-of-flight (D-TOF) light detection and ranging (LIDAR), accuracy and full-scale range (FSR) are the main performance parameters to consider. Particularly, in single-photon avalanche diodes (SPAD) based systems, the photon-counting statistics plays a fundamental role in determining the LIDAR performance. Also, the intrinsic performance ultimately depends on the system parameters and constraints, which are set by the application. However, the best-achievable performance directly depends on the selected depth estimation method and is not necessarily equal to intrinsic performance. We evaluate a D-TOF LIDAR system, in the particular context of smartphone applications, in terms of parameter trade-offs and estimation efficiency. First, we develop a simulation model by combining radiometry and photon-counting statistics. Next, we perform a trade-off analysis to study dependencies between system parameters and application constraints, as well as non-linearities caused by the detection method. Further, we derive an analytical model to calculate the Cramér–Rao lower bound (CRLB) of the LIDAR system, which analytically accounts for the shot noise. Finally, we evaluate a depth estimation method based on artificial intelligence (AI) and compare its performance to the CRLB. We demonstrate that the AI-based estimator fully compensates the non-linearity in depth estimation, which varies depending on application conditions such as target reflectivity.","","en","journal article","","","","","","","","","","","Electronic Instrumentation","","",""
"uuid:b5cdcf7f-b665-4806-b1e2-69c7d4420bdc","http://resolver.tudelft.nl/uuid:b5cdcf7f-b665-4806-b1e2-69c7d4420bdc","Snapshot GNSS receivers for low-effort, high-gain space situational awareness","Gill, E.K.A. (TU Delft Space Systems Egineering); Akos, D. M. (University of Colorado)","","2024","This paper proposes a novel concept of using highly efficient Snapshot Global Navigation Satellite Systems (GNSS) receivers to provide precise position fixes of single or multiple satellites in Low-Earth Orbit (LEO) to improve upper atmospheric modeling and thus contribute to superior space situational awareness (SSA). While tracking of LEO satellites and the use of onboard GNSS receivers for drag measurements and upper atmosphere modeling are well-established techniques, the expected advent of snapshot GNSS receivers for spaceborne scientific applications will allow massive improvements on the GNSS sensor's Size, Weight, Power and Cost (SWaP-C). With chip-size dimensions of 4x4 mm2, a mass of less than 5 gr, an average power level below 0.1 mW, snapshot receiver technology is expected to provide position fixes in space with an accuracy of ∼19 m (3D r.m.s.), which will surpass the accuracy of Two-Line Elements (TLE) provided by the US Joint Space Operations Center (JSpOC) by at least two orders of magnitude. Equally important to their SWaP-C benefits, Snapshot GNSS receivers will allow mission and spacecraft designers to trade onboard-processing requirements versus payload downlink requirements, leading to either minimum onboard processing or a minimum amount of downlinked data. In this research, we establish the concept and architectural overview of using snapshot GNSS receivers for SSA, including the role of using them in a Distributed Space System (DSS), and detail their characterization and performance in terms of the required GNSS hardware and the impact of these payload on the power budget, the link budget and the OnBoard Data Handling (OBDH) budget of a satellite. It will be shown that these receivers lend themselves especially to their use on femto-, pico- and nano-satellites, although integrated snapshot modules may be flown as auxiliary payloads on micro- or mini-satellites as well. While this work focuses on the implications of the use of snapshot GNSS receivers on spacecraft design for the use of upper atmosphere modeling and SSA, their use may open up other science applications which avoid the need for expensive high-grade GNSS receivers.","Atmospheric drag; GNSS receiver; Snapshot receiver; Space Situational Awareness; Upper atmosphere density modeling","en","journal article","","","","","","","","","","","Space Systems Egineering","","",""
"uuid:b0b138da-8ca2-411c-9648-be5f6dde6a6f","http://resolver.tudelft.nl/uuid:b0b138da-8ca2-411c-9648-be5f6dde6a6f","Predictive simulations identify potential neuromuscular contributors to idiopathic toe walking","Veerkamp, Kirsten (Vrije Universiteit Amsterdam; Griffith University; Amsterdam Movement Sciences, Rehabilitation & Development); van der Krogt, Marjolein M. (Vrije Universiteit Amsterdam; Amsterdam Movement Sciences, Rehabilitation & Development); Waterval, Niels F.J. (Vrije Universiteit Amsterdam; Universiteit van Amsterdam; Amsterdam Movement Sciences, Rehabilitation & Development); Geijtenbeek, T. (TU Delft Biomechatronics & Human-Machine Control); Walsh, H. P.John (Griffith University; Queensland Children's Hospital); Harlaar, J. (TU Delft Biomechatronics & Human-Machine Control; Erasmus MC); Buizer, Annemieke I. (Vrije Universiteit Amsterdam; Emma Children's Hospital Academic Medical Center, University of Amsterdam; Amsterdam Movement Sciences, Rehabilitation & Development); Lloyd, David G. (Griffith University); Carty, Christopher P. (Griffith University; Queensland Children's Hospital)","","2024","Background: Most cases of toe walking in children are idiopathic. We used pathology-specific neuromusculoskeletal predictive simulations to identify potential underlying neural and muscular mechanisms contributing to idiopathic toe walking. Methods: A musculotendon contracture was added to the ankle plantarflexors of a generic musculoskeletal model to represent a pathology-specific contracture model, matching the reduced ankle dorsiflexion range-of-motion in a cohort of children with idiopathic toe walking. This model was employed in a forward dynamic simulation controlled by reflexes and supraspinal drive, governed by a multi-objective cost function to predict gait patterns with the contracture model. We validated the predicted gait using experimental gait data from children with idiopathic toe walking with ankle contracture, by calculating the root mean square errors averaged over all biomechanical variables. Findings: A predictive simulation with the pathology-specific model with contracture approached experimental ITW data (root mean square error = 1.37SD). Gastrocnemius activation was doubled from typical gait simulations, but lacked a peak in early stance as present in electromyography. This synthesised idiopathic toe walking was more costly for all cost function criteria than typical gait simulation. Also, it employed a different neural control strategy, with increased length- and velocity-based reflex gains to the plantarflexors in early stance and swing than typical gait simulations. Interpretation: The simulations provide insights into how a musculotendon contracture combined with altered neural control could contribute to idiopathic toe walking. Insights into these neuromuscular mechanisms could guide future computational and experimental studies to gain improved insight into the cause of idiopathic toe walking.","Forward dynamics; Neural control; Neuromusculoskeletal modelling; OpenSim; Paediatrics","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:1867c1ac-4b45-4b08-b909-74f85f903556","http://resolver.tudelft.nl/uuid:1867c1ac-4b45-4b08-b909-74f85f903556","Assessment of immersed boundary methods for hypersonic flows with gas–surface interactions","Başkaya, A.O. (TU Delft Aerodynamics); Capriati, Michele (von Karman Institute for Fluid Dynamics; Institut National de Recherche en Informatique et en Automatique (INRIA)); Turchi, Alessandro (von Karman Institute for Fluid Dynamics; Italian Space Agency); Magin, Thierry (von Karman Institute for Fluid Dynamics); Hickel, S. (TU Delft Aerodynamics)","","2024","The efficacy of immersed boundary (IB) methods with adaptive mesh refinement (AMR) techniques is assessed in the context of atmospheric entry applications, including effects of chemical nonequilibrium (CNE) and gas–surface interactions (GSI). We scrutinize a conservative cut-cell IB method and two non-conservative IB methods, comparing their results with analytical solutions, data from the literature, and results obtained with a reference solver that operates on body-fitted grids. All solvers employ the same external thermochemistry library, ensuring that all observed differences can be attributed solely to differences in the underlying numerical methodologies. We present results for eight benchmark cases. Four verification cases verify the implementation of chemistry, transport properties, catalytic boundary conditions, and shock capturing. Four validation cases encompass blunt geometries with adiabatic and isothermal, as well as inert, catalytic and ablative boundary conditions. Overall, the results obtained with the IB solvers are in very good agreement with the reference data. Discrepancies arise in cases with large temperature or concentration gradients at the wall, and these are linked to conservation errors inherent to ghost-cell and interpolation-based IB methods. Only a strictly conservative cut-cell IB method is on par with body-fitted grid methods.","Ablation; Atmospheric entry; Gas–surface interaction; Hypersonic flow; Immersed boundary method; Mass conservation","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:757846e0-68e3-4a52-a8c9-0b9a842af5a4","http://resolver.tudelft.nl/uuid:757846e0-68e3-4a52-a8c9-0b9a842af5a4","Photoactivity of amorphous and crystalline TiO2 nanotube arrays (TNA) films in gas phase CO2 reduction to methane with simultaneous H2 production","Santos, Janaina S. (Chulalongkorn University); Fereidooni, Mohammad (Chulalongkorn University); Márquez, Victor (Chulalongkorn University); Paz-López, Christian V. (Chulalongkorn University); Villanueva, Martin S. (Benemerita Universidad Autonoma de Puebla); Buijnsters, J.G. (TU Delft Micro and Nano Engineering); Praserthdam, Supareak (Chulalongkorn University); Praserthdam, Piyasan (Chulalongkorn University)","","2024","This study assessed the photoactivity of amorphous and crystalline TiO2 nanotube arrays (TNA) films in gas phase CO2 reduction. The TNA photocatalysts were fabricated by titanium anodization and submitted to an annealing treatment for crystallization and/or cathodic reduction to introduce Ti3+ and oxygen vacancies into the TiO2 structure. The cathodic reduction demonstrated a significant effect on the generated photocurrent. The photoactivity of the four TNA catalysts in CO2 reduction with water vapor was evaluated under UV irradiation for 3 h, where CH4 and H2 were detected as products. The annealed sample exhibited the best performance towards methane with a production rate of 78 μmol gcat−1 h−1, followed by the amorphous film, which also exhibited an impressive formation rate of 64 μmol gcat−1 h−1. The amorphous and reduced-amorphous films exhibited outstanding photoactivity regarding H2 production (142 and 144 μmol gcat−1 h−1, respectively). The annealed catalyst also revealed a good performance for H2 production (132 μmol gcat−1 h−1) and high stability up to five reaction cycles. Molecular dynamic simulations demonstrated the changes in the band structure by introducing oxygen vacancies. The topics covered in this study contribute to the Sustainable Development Goals (SDG), involving affordable and clean energy (SDG#7) and industry, innovation, and infrastructure (SDG#9).","Amorphous titania; Anatase; CO photoreduction; Hydrogen generation; Methane; TiO nanotubes","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-15","","","Micro and Nano Engineering","","",""
"uuid:6c694458-9dc3-4af8-a7fb-84fac856cd78","http://resolver.tudelft.nl/uuid:6c694458-9dc3-4af8-a7fb-84fac856cd78","Performance assessment of an eco-friendly tandem solar cell based on double perovskite Cs2AgBiBr6","Chrafih, Younes (Mohammed V University); Al-Hattab, Mohamed (Sultan Moulay Slimane University); El Boubekri, A. (Sultan Moulay Slimane University); Rahmani, Khalid (Ecole Normale Supérieure (ENS), Mohammed V University); Bajjou, Omar (Sultan Moulay Slimane University); Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; Selçuk University)","","2024","Tandem solar cells have a wider photon absorption range, allowing them to provide better efficiency than single-junction SC. The upper cell absorbs high-energy photons, while the lower cell absorbs low-energy filtered photons. However, in order to obtain affordable, efficient, and long-lasting SC, the absorber layers of the top and bottom cells must be integrated with an adequate bandgap. This research suggests tandem perovskite solar cells as upper band active materials in this setting. The Si homojunction solar cell's performance was improved by investigating the thicknesses of the p−type and n−type layers, doping concentrations, and defect densities. The thickness variation of the perovskite solar cell (100−400nm) is then optimized. To precisely replicate the tandem devices, the estimated spectra of the perovskite SC are optically filtered onto the lower cells. Current matching was achieved by adjusting the thickness of the perovskite sub-cell with different bottom layer thicknesses, and the optimized efficiency of 36.26% for the perovskite/Si tandem device was shown. The discoveries will open the door for the upcoming creation of high−efficiency, low-energy solar cells.","CsAgBiBr; DFT; Scaps-1d; Solar cell; Tandem solar cell","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-15","","","Dynamics of Micro and Nano Systems","","",""
"uuid:a516a861-d8b0-47c6-979a-235378ed0587","http://resolver.tudelft.nl/uuid:a516a861-d8b0-47c6-979a-235378ed0587","A directional coupler for partial discharge measurements in gas-insulated substations","Mier Escurra, C. (TU Delft High Voltage Technology Group); Mor, A. R. (Universitat Politécnica de Valencia); Vaessen, P.T.M. (TU Delft High Voltage Technology Group)","","2024","This paper introduces a directional coupler for partial discharge (PD) measurements in gas-insulated substations (GIS). The sensor comprises a combination of magnetic and electric couplers, effectively segregating forward and backward pulses to enhance PD charge estimation and defect location. The sensor's design was supported with finite element method simulations and measurements conducted in a transverse electromagnetic test bench. Comparative analyses were performed against independent magnetic and electric couplers. The charge estimation and the directional coupler's directivity were evaluated in both the test bench and a full-scale GIS with different PD defects. Initially, the combined magnetic and the electric couplers exhibited undesired interactions, prompting corrective measures. Subsequent adjustments included changes to the electric coupler material and modifications to the magnetic coupler construction. The resulting high-voltage directional coupler performed better than the separated couplers in a GIS with discontinuities. This partial discharge sensor emerges as a candidate for future SF6-free alternative GIS.","Calibration; Directional coupler; Gas-insulated; Partial discharges; PD sensors","en","journal article","","","","","","","","","","","High Voltage Technology Group","","",""
"uuid:e2fbd8b6-2a09-4eba-afaa-f5a3126b59f5","http://resolver.tudelft.nl/uuid:e2fbd8b6-2a09-4eba-afaa-f5a3126b59f5","High-resolution turbofan intake flow characterization by automated stereoscopic-PIV in an industrial wind tunnel environment","Ujjaini Kempaiah, K. (TU Delft Aerodynamics; LaVision); Piovesan, Tommaso (Cranfield University); Zachos, Pavlos K. (Cranfield University); Michaelis, Dirk (LaVision); Gebbink, Roy (German-Dutch Wind Tunnels); van Rooijen, Bart (German-Dutch Wind Tunnels); Prieto, Daniel Gil (Rolls-Royce plc); MacManus, David (Cranfield University); Sciacchitano, A. (TU Delft Aerodynamics); Sheaf, Christopher (Rolls-Royce plc)","","2024","Unsteady inlet flow distortion can influence the stability and performance of any propulsion system, in particular for more novel, short and slim intakes of future aero-engine configurations. As such, the requirement for measurement methods able to provide high spatial resolution data is important to aid the understanding of these flow fields. This work presents flow field characterisations at a crossflow plane within a short aeroengine intake using stereoscopic particle image velocimetry (SPIV). A series of tests were conducted across a range of crosswind and high angle of attack conditions for a representative short and slim aspirated intake configuration at two operating points in terms of mass flow rate. The velocity maps were measured at a crossflow plane within the intake at an axial position L/D = 0.058 from where a fan is expected to be installed. The diameter of the measurement plane was 250 mm, and the final spatial resolution of the velocity fields had a vector pitch of 1.5 mm which is at least two orders of magnitude richer than conventional pressure-based distortion measurements. The work demonstrates the ability to perform robust non-intrusive flow measurements within modern intake systems in an industrial wind tunnel environment across a wide range of operating conditions; hence, it is suggested that SPIV can potentially become part of standard industrial testing. The results provide rich datasets that can notably improve our understanding of unsteady distortions and influence the design of novel, closely coupled engine-intake systems.","industrial wind tunnel testing; inlet distortion; inlet testing; non-intrusive measurements; particle image velocimetry; turbofan; ultra high bypass ration engines","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:39016597-6bf1-4636-89c2-96cf9cf1707e","http://resolver.tudelft.nl/uuid:39016597-6bf1-4636-89c2-96cf9cf1707e","An integrated approach to quantitative resilience assessment in process systems","Sun, H. (TU Delft Safety and Security Science; Anhui University of Technology; China University of Petroleum (East China)); Yang, M. (TU Delft Safety and Security Science); Wang, Haiqing (China University of Petroleum (East China))","","2024","Chemical process systems are becoming more automated and complex, which leads to increased interaction and interdependence between the human and technical elements of process systems. This urges the need for updating the safety assessment method by treating “safety” as an emergent property of a system. Uncertainty comes together with complexity. To enhance system ability of dealing with uncertain disruptions, this paper proposes a quantitative resilience assessment method by modeling the failure propagation (initiated by a disruption) across the functional units of a system. The Functional Resonance Analysis Method (FRAM) is utilized to model the system operation to represent the relationship among its function units and to consider the interactions among human-technical factors. Then, a Cascading Failure Propagation Model (CFPM) is developed to quantify the fault propagation process and reflect the system functionality changes over time for resilience assessment. The proposed method is applied to a propane-feeding control system. The results show that it can help practitioners understand the process of fault propagation and risk increase, identify potential ways to design a more resilient system to respond to uncertain disruptions/attacks, and provide a real-time dynamic resilience profile to support decision-making.","Human-technical systems, FRAM, resilience; Process safety","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-13","","","Safety and Security Science","","",""
"uuid:d7b524bf-ebcb-4b06-8fe8-6841e00e78cd","http://resolver.tudelft.nl/uuid:d7b524bf-ebcb-4b06-8fe8-6841e00e78cd","X-ray tomography for fully-3D time-resolved reconstruction of bubbling fluidized beds","Graas, Adriaan B.M. (Centrum Wiskunde & Informatica (CWI)); Wagner, E.C. (TU Delft ChemE/O&O groep); van Leeuwen, Tristan (Centrum Wiskunde & Informatica (CWI)); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering); Batenburg, K. Joost (Universiteit Leiden); Lucka, Felix (Centrum Wiskunde & Informatica (CWI)); Portela, L. (TU Delft ChemE/Transport Phenomena)","","2024","A new X-ray computed tomography technique for the purpose of imaging fluidized beds is presented. It consists of an experimental set-up with three stationary X-ray source and flat panel detector pairs, a geometric calibration and data processing workflow, and an image reconstruction algorithm. The technique enables sparse-angular tomographic reconstruction in large 3D regions of fluidized beds at framerates up to 200 Hz, and therefore images bubbles along their whole trajectories through the volume. It allows for a unique analysis of bubble dynamics in fluidized beds, including bubble velocities, bubble transformations, i.e., time evolution of the bubble distributions in space, and bubble–bubble interactions. In this article, we first analyze the main limitation of the technique, the sparse angular resolution, through numerical simulations. We then test the experimental set-up through imaging a series of phantoms. Lastly, we demonstrate results from a Geldart B bubbling fluidized bed.","Computed Tomography; Dynamic imaging; Fluidized beds; Gas–solids; Iterative image reconstruction; X-ray imaging set-up","en","journal article","","","","","","","","","","","ChemE/O&O groep","","",""
"uuid:9f779f6b-bf1a-42cd-8610-8bf9b565f44e","http://resolver.tudelft.nl/uuid:9f779f6b-bf1a-42cd-8610-8bf9b565f44e","From metagenomes to metabolism: Systematically assessing the metabolic flux feasibilities for “Candidatus Accumulibacter” species during anaerobic substrate uptake","Páez Watson, T.W. (TU Delft BT/Environmental Biotechnology); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Wahl, S.A. (TU Delft BT/Industriele Microbiologie)","","2024","With the rapid growing availability of metagenome assembled genomes (MAGs) and associated metabolic models, the identification of metabolic potential in individual community members has become possible. However, the field still lacks an unbiassed systematic evaluation of the generated metagenomic information to uncover not only metabolic potential, but also feasibilities of these models under specific environmental conditions. In this study, we present a systematic analysis of the metabolic potential in species of ""Candidatus Accumulibacter"", a group of polyphosphate-accumulating organisms (PAOs). We constructed a metabolic model of the central carbon metabolism and compared the metabolic potential among available MAGs for “Ca. Accumulibacter” species. By combining Elementary Flux Modes Analysis (EFMA) with max-min driving force (MDF) optimization, we obtained all possible flux distributions of the metabolic network and calculated their individual thermodynamic feasibility. Our findings reveal significant variations in the metabolic potential among “Ca. Accumulibacter” MAGs, particularly in the presence of anaplerotic reactions. EFMA revealed 700 unique flux distributions in the complete metabolic model that enable the anaerobic uptake of acetate and its conversion into polyhydroxyalkanoates (PHAs), a well-known phenotype of “Ca. Accumulibacter”. However, thermodynamic constraints narrowed down this solution space to 146 models that were stoichiometrically and thermodynamically feasible (MDF > 0 kJ/mol), of which only 8 were strongly feasible (MDF > 7 kJ/mol). Notably, several novel flux distributions for the metabolic model were identified, suggesting putative, yet unreported, functions within the PAO communities. Overall, this work provides valuable insights into the metabolic variability among ""Ca. Accumulibacter"" species and redefines the anaerobic metabolic potential in the context of phosphate removal. More generally, the integrated workflow presented in this paper can be applied to any metabolic model obtained from a MAG generated from microbial communities to objectively narrow the expected phenotypes from community members.","Elementary flux modes; Metabolic predictions; Metagenome-assembled genomes; Pathway thermodynamics","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:29167aa8-16b8-4564-bb4e-cd5d44e1829f","http://resolver.tudelft.nl/uuid:29167aa8-16b8-4564-bb4e-cd5d44e1829f","Estimating the effect of assumed initial damage to the hydraulic stability of pattern-placed revetments on dikes using finite element modeling","van der Vegt, N. (TU Delft Hydraulic Structures and Flood Risk; HKV Consultants); Klerk, W.J. (TU Delft Hydraulic Structures and Flood Risk; Deltares); Peters, D.J. (TU Delft Hydraulic Structures and Flood Risk); van Gent, M.R.A. (TU Delft Coastal Engineering; Deltares); Hofland, Bas (TU Delft Hydraulic Structures and Flood Risk)","","2024","Initial damage, caused by previous wave loading or other events, might affect the hydraulic stability of pattern-placed revetments. Three common types of damage are considered in this study. The effect of this assumed initial damage on the hydraulic stability and failure probability of revetments is quantified using a FEM model. This model is developed using data from large-scale flume and field experiments. Using results from the FEM model, surrogate models are created to predict the effect of each type of initial damage on the hydraulic stability and failure probability. Through the use of these surrogate models, it is demonstrated that S-shaped deformation caused by filter migration around the wave impact zone has the largest effect on the hydraulic stability decreasing up to 30%, and failure probability per year increasing up to 10,000 times. When the granular filling between the joints of the columns is washed-out, the stability decreases up to 29% and the failure probability increases up to 700 times. A missing column has a limited effect on the hydraulic stability and failure probability when there is no other (structural) damage. However, if it originates from underlying damage, it might be an initial sign of total failure of the revetment. This study demonstrates the effectiveness of finite element modeling for studying (damaged) revetments, which can be used to complement flume experiments. The results can be used to prioritize maintenance efforts in risk-based maintenance of pattern-placed revetments.","Dikes; Finite element modeling; Flood defenses; Pattern-placed revetment; Reliability analysis; Risk-based maintenance; Surrogate modeling; Vulnerability; Wave impact","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:c4a44233-af1b-479d-830f-d0bef8f10fb9","http://resolver.tudelft.nl/uuid:c4a44233-af1b-479d-830f-d0bef8f10fb9","Role of the composition of humic substances formed during thermal hydrolysis process on struvite precipitation in reject water from anaerobic digestion","Pavez Jara, J.A. (TU Delft Sanitary Engineering); Iswarani, W.P. (TU Delft Water Resources; TU Delft Support Water Management; Wetsus, Centre for Sustainable Water Technology); van Lier, J.B. (TU Delft Sanitary Engineering); de Kreuk, M.K. (TU Delft Water Management)","","2024","Thermal hydrolysis process (THP) is a widely used pre-treatment method in the anaerobic digestion (AD) of waste municipal sewage sludge. A post AD dewatering step of the digestate produces a liquid stream called reject water. THP increases the concentration of humic substances (HSs) and nutrients in the produced reject water. Struvite precipitation is a widely used technique to remove and (potentially) recover PO43− -P and the corresponding amount of total ammoniacal nitrogen from reject water. The chemical characteristics of the THP-produced HSs influence reaction yields and morphology of struvite. In our current study, struvite batch precipitation experiments were conducted at different pHs, and different concentrations of HSs, consisting of either melanoidins or humic acids. Our results showed that at pH 6.5 struvite precipitation was severely retarded. However, increased concentrations of melanoidins at pH 6.5 enhanced struvite precipitation. Batch experiments conducted at pH 7.25 and 8 with increased melanoidins concentrations showed PO43−-P precipitation yields over 86 %. Humic acids negatively impacted struvite precipitation at all analysed pH values, presumably because of Mg2+ complexation. Morphological analysis showed that the presence of both HSs affected Feret diameters, aspect ratio, and cleavage pattern of struvite. Also, HSs rendered coloured crystals. Overall, our results showed that struvite precipitation is affected by HSs intrinsic characteristics, affecting yield, morphology, and colour of the formed precipitates.","Humic acid; Melanoidins; Phosphate recovery; Struvite; Thermal hydrolysis process","en","journal article","","","","","","","","","","Water Management","Sanitary Engineering","","",""
"uuid:d51ff694-d672-4d8c-b7dd-7e60fbd38bb6","http://resolver.tudelft.nl/uuid:d51ff694-d672-4d8c-b7dd-7e60fbd38bb6","Repeatability of beach morphology change under identical wave forcing","Blenkinsopp, Chris E. (University of Bath); Hunter, Alan J. (University of Bath); Baldock, Tom E. (University of Queensland); Bayle, P.B. (TU Delft Environmental Fluid Mechanics; University of Bath); Bosboom, J. (TU Delft Coastal Engineering); Conley, Daniel (Plymouth University); Masselink, Gerd (Plymouth University)","","2024","Laboratory investigations of beach morphology change under wave action are undertaken to gain insight into coastal processes, design coastal structures and validate the predictions of numerical models. For the results of such experiments to be reliable, it is necessary that they are repeatable. The equilibrium beach concept, that beach morphology will evolve to a quasi-static equilibrium shape for a given forcing suggests that experiments should be repeatable to some degree. However, sediment transport in turbulent breaking and broken waves is complex and highly variable and the level of repeatability at different temporal and spatial scales is challenging to measure, as such, previous work has restricted comparisons to small numbers of waves. Here we use the results of two identical, 20-h large-scale wave flume experiments to investigate the repeatability of sediment transport and beach morphology change under waves at timescales down to individual swash events. It is shown that while flow characteristics from identical swash events are very repeatable, the sediment transported can be very different in both magnitude and direction due to differences in turbulence, sediment advection and morphological feedback. Over longer periods containing multiple matching swash events however, the beach responds in a very similar manner, with the level of morphological repeatability increasing with time. The results also demonstrate that gross swash zone sediment transport remains high even as a beach profile approaches quasi-equilibrium, but the proportion of individual swash events that cause large sediment fluxes (>±7.5 kg/event/m) reduces with time. The results of this laboratory study indicate that beach morphology change has a level of determinism over timescales of several minutes and longer, giving confidence in the results from physical modelling studies. However, the large differences in sediment transport from apparently identical swash events questions the value in pursuing numerical predictions of sediment transport at the wave-by-wave timescale unless the reversals in sediment transport between apparently near identical swash events can also be predicted.","","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:d485dc1e-2235-44f3-8429-c01a03404dbd","http://resolver.tudelft.nl/uuid:d485dc1e-2235-44f3-8429-c01a03404dbd","Alkali-Activated Foams Coated with Colloidal Ag for Point-of-Use Water Disinfection","Bhuyan, Mohammad Amzad Hossain (University of Oulu); Karkman, Antti (University of Helsinki); Prokkola, Hanna (University of Oulu); Chen, B. (TU Delft Materials and Environment); Perumal, Priyadharshini (University of Oulu); Luukkonen, Tero (University of Oulu)","","2024","Alkali-activated foams are ceramic-like materials prepared at near-ambient temperature. This study investigates them for point-of-use water disinfection, thus providing an alternative to ceramic filters fired at a high temperature. Alkali-activated foams with different compositions were characterized for the porosity, mechanical strength, shrinkage, and microstructure. The optimized foam, employing metakaolin as the raw material, was coated with a colloidal Ag solution. The disinfection performance and leaching behavior of the foams was followed in a continuous 10 week experiment, where clean water with a weekly pulse of contaminated water was distributed through the foam. The average inactivation of Escherichia coli with the Ag-coated foam was 2.84 log10, which was 1.27 units higher compared to foam without Ag. A quantitative polymerase chain reaction analysis and metagenomic sequencing verified that foams with and without Ag were both capable of reducing the microbial load. Furthermore, the changes induced by the foam with Ag on the microbial community composition, antibiotic resistome, and metal and biocide resistomes were significant. The leached concentrations of Ag, Na, Si, and Al were in accordance with the drinking water guidelines. Finally, a life cycle assessment indicated the possibility of reducing the global warming potential and the total embodied energy in comparison with a conventional ceramic filter.","alkali-activated materials; geopolymers; point-of-use water treatment; water disinfection; water supply","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:668f4221-3a32-4176-89d0-dc1c2830a851","http://resolver.tudelft.nl/uuid:668f4221-3a32-4176-89d0-dc1c2830a851","Air entrapment modelling during pipe filling based on SWMM","Ferreira, J.P. (TU Delft Sanitary Engineering); Ferràs, David (IHE Delft Institute for Water Education); Covas, Dídia I.C. (University of Lisbon); van der Werf, Job (TU Delft Sanitary Engineering); Kapelan, Z. (TU Delft Sanitary Engineering)","","2024","The paper proposes a novel methodology to locate and quantify entrapped air pockets created during pipe-filling events often found in intermittent water supply systems. Different filling conditions were tested in an experimental pipe with a high point. Measurements were taken and video recordings were carried out to assess air pocket volumes for different air release conditions at the downstream end of the pipe. The stochastic nature of air pocket creation resulted in varying air volumes. A new numerical model capable of simulating the air pocket creation, dragging and entrainment has been proposed. The new model, AirSWMM, was implemented as an extension of the Stormwater Management Model (SWMM) with stochasticity of air pocket formation reproduced by simulations with different air entrainment rates. The obtained numerical results show that the proposed model, even though based on a single-phase one-dimensional flow, can accurately locate and approximately quantify the entrapped air pocket volumes.","air pocket entrapment; Air–water interface interaction; flow visualization and imaging; intermittent water supply; SWMM; water pipelines","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:361ebc5f-5249-43e0-a8a5-ae38cddbec68","http://resolver.tudelft.nl/uuid:361ebc5f-5249-43e0-a8a5-ae38cddbec68","The importance of time-varying, non-tidal currents in modelling in-situ sand wave dynamics","Overes, P.H.P. (TU Delft Applied Mechanics; University of Twente); Borsje, B. W. (University of Twente); Luijendijk, Arjen (TU Delft Coastal Engineering); Hulscher, S. J.M.H. (University of Twente)","","2024","Sand waves are found on shallow, sandy seabeds throughout the world and their dynamics may pose an imminent threat to offshore construction. Therefore, there is a pressing need to understand bed level dynamics in sand wave areas. These bed level dynamics lead to variations in sand wave shape and migration rate over time. However, these variations cannot be explained with the present-day process-based sand wave models, which all include a purely periodic tidal forcing. To explain these fluctuations a more intricate description of the hydrodynamics is necessary. The aim of this study is to explore the importance of time-varying, non-tidal currents for sand wave dynamics in the North Sea. We adopted the three-dimensional Delft3D-Flexible Mesh model, and were able to reconstruct time-varying, non-tidal currents on top of the periodic tidal forcing, while significantly reducing computation times. The simulated currents and water levels showed a good agreement with in-situ measurements. Compared to the situation with only tidal forcing, the simulated sedimentation and erosion rates were amplified up to 15 times due to time-varying, non-tidal currents. Additionally, periods of net erosion were found at locations in the sand wave transect where tidally forced models only showed net-sedimentation. It is therefore important to consider time-varying, non-tidal currents when predicting future sand wave dynamics in the field.","ADCP field measurements; Delft3D Flexible Mesh; Hydrodynamic modelling; Marine dunes; Sediment transport modelling; Tidal sand waves","en","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:179cab11-2b3a-4d78-b841-7ccb54345d96","http://resolver.tudelft.nl/uuid:179cab11-2b3a-4d78-b841-7ccb54345d96","Transfer learning for improved generalizability in causal physics-informed neural networks for beam simulations","Kapoor, T. (TU Delft Railway Engineering); Wang, H. (TU Delft Railway Engineering); Nunez, Alfredo (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering)","","2024","This paper proposes a novel framework for simulating the dynamics of beams on elastic foundations. Specifically, partial differential equations modeling Euler–Bernoulli and Timoshenko beams on the Winkler foundation are simulated using a causal physics-informed neural network (PINN) coupled with transfer learning. Conventional PINNs encounter challenges in handling large space–time domains, even for problems with closed-form analytical solutions. A causality-respecting PINN loss function is employed to overcome this limitation, effectively capturing the underlying physics. However, it is observed that the causality-respecting PINN lacks generalizability. We propose using solutions to similar problems instead of training from scratch by employing transfer learning while adhering to causality to accelerate convergence and ensure accurate results across diverse scenarios. The primary contribution of this paper lies in introducing a causality-respecting PINN loss function in the context of structural engineering and coupling it with transfer learning to enhance the generalizability of PINNs in simulating the dynamics of beams on elastic foundations. Numerical experiments on the Euler–Bernoulli beam highlight the efficacy of the proposed approach for various initial conditions, including those with noise in the initial data. Furthermore, the potential of the proposed method is demonstrated for the Timoshenko beam in an extended spatial and temporal domain. Several comparisons suggest that the proposed method accurately captures the inherent dynamics, outperforming the state-of-the-art physics-informed methods under standard L2-norm metric and accelerating convergence.","Biharmonic equations; Causality; Elastic foundation; Euler–Bernoulli beam; Physics-informed neural networks (PINNs); Timoshenko beam; Transfer learning","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:95ba2a4b-52d9-4aa5-a41a-6c608a13e176","http://resolver.tudelft.nl/uuid:95ba2a4b-52d9-4aa5-a41a-6c608a13e176","Measuring the impacts of human and organizational factors on human errors in the Dutch construction industry using structured expert judgement","Ren, X. (TU Delft Safety and Security Science); Nane, G.F. (TU Delft Applied Probability); Terwel, K.C. (TU Delft Applied Mechanics); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science)","","2024","This study focuses on measuring the influence of critical Human and Organizational Factors (HOFs) on human error occurrence in structural design and construction tasks within the context of the Dutch construction industry. The primary research question addressed in this paper concerns the extent of HOFs’ contribution to human error occurrence. To answer this question, the Classical Model for Structured Expert Judgement (SEJ) is employed, enabling experts to provide their judgments on task Human Error Probability (HEP) influenced by different HOFs, which are subsequently aggregated mathematically. SEJ is chosen as a suitable approach due to the limited availability of applicable data in the construction sector. As a result, the impacts of HOFs are quantified as multipliers, representing the ratio between the observed or evaluated task HEP and its baseline value. These multipliers are then compared with corresponding multipliers from existing Human Reliability Analysis methods and studies. The findings reveal that fitness-for-duty, organizational characteristics and fragmentation exhibit the most pronounced negative effects, whereas complexity, attitude and fitness-for-duty demonstrate the most significant positive impacts on task performance. These results offer valuable insights that can be applied to enhance structural safety assurance practices.","Classical model; Human and organizational factors; Human error probability; Structural safety; Structured expert judgement","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-24","","","Safety and Security Science","","",""
"uuid:7bacb3b9-e1bc-4dab-b6a1-9069f6a03221","http://resolver.tudelft.nl/uuid:7bacb3b9-e1bc-4dab-b6a1-9069f6a03221","Transport of Magma in Granitic Mush Systems; an Example From the Götemar Pluton, Sweden","Mattsson, Tobias (Stockholm University; University of St Andrews); McCarthy, William (University of St Andrews); Schmiedel, T. (TU Delft Resource Engineering; Uppsala University)","","2024","Granitic magma bodies form in the ephemeral part of magma mush systems and are emplaced by a variety of mechanisms in different tectonic settings. This study investigates how granitic magma emplacement processes and tectonomagmatic interactions assert control over the architecture of mush state pluton-scale magma transport pathways. The 1.45 Ga shallow-crustal Götemar pluton is a 4.5 km diameter circular pluton that consists of three granite units: a coarse-grained red granite, a medium-grained pale to red granite, and fine-grained pale microgranite sheets. We employed geological mapping supported by Anisotropy of Magnetic Susceptibility (AMS) to examine the magmatic and regional tectonic controls on late-stage magma transport in the Götemar granitic magma mush system. Multiple parallel arcuate subhorizontal microgranite and medium-grained granite sheets (from 0.1 to 10s of meters thick) were mapped within the pluton. The arcuate sheets pinch out from the northern part of the pluton toward the SE inferring magma propagation direction. A dominant set of vertical granitic sheets within the granite body strikes NW-SE. The AMS fabrics are contact-parallel in the main medium-grained granite body and indicate inflation. Within the microgranite sheets, the AMS fabrics are parallel to the sheet strike and support a sheet propagation direction to the SE. The Götemar pluton displays a clear link between arcuate (concentric) magma-transporting sheets and concentric strain-partitioning related to the intrusion of medium-grained granite magma. The vertical magma sheet orientations are consistent with an NE-SW extensional stress field that is associated with the extensional back-arc stress regime of the contemporary Hallandian Orogen.","granite; magma mush; magma sheets; melt migration; pluton emplacement","en","journal article","","","","","","","","","","","Resource Engineering","","",""
"uuid:52df0c69-3208-4d29-a461-c6afaf3213e0","http://resolver.tudelft.nl/uuid:52df0c69-3208-4d29-a461-c6afaf3213e0","Hamiltonian Monte Carlo to Characterize Induced Earthquakes: Application to a ML 3.4 Event in the Groningen Gas Field and the Role of Prior","Masfara, La ODE Marzujriban (TU Delft Applied Geophysics and Petrophysics; Royal Netherlands Meteorological Institute (KNMI)); Weemstra, C. (TU Delft Applied Geophysics and Petrophysics; Royal Netherlands Meteorological Institute (KNMI))","","2024","The Hamiltonian Monte Carlo algorithm is known to be highly efficient when sampling high-dimensional model spaces due to Hamilton's equations guiding the sampling process. For weakly non-linear problems, linearizing the forward problem enhances this efficiency. This study integrates this linearization with geological prior knowledge for optimal results. We test this approach to estimate the source parameters of a 3.4 magnitude induced event that originated in the Groningen gas field in 2019. The source parameters are the event's centroid (three components), its moment tensor (six components), and its origin time. In terms of prior knowledge, we tested two sets of centroid priors. The first set exploits the known fault geometry of the Groningen gas field, whereas the second set is generated by placing initial centroid priors on a uniform horizontal grid at a depth of 3 km (the approximate depth of the gas reservoir). As for the forward problem linearization, we use an approach in which the linearization is run iteratively in tandem with updates of the centroid prior. We demonstrate that, in the absence of a sufficiently accurate initial centroid prior, the linearization of the forward model necessitates multiple initial centroid priors. Eventually, both prior sets yield similar posteriors. Most importantly, however, they agree with the geological knowledge of the area: the posterior peaks for model vectors containing a centroid near a major fault and a moment tensor that corresponds to normal faulting along a plane with a strike almost aligning with that of the major fault.","Bayesian; Groningen; induced seismicity; inversion; moment tensor; probabilistic","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:6da9cf1d-1a6e-4ca7-8253-d809acf56066","http://resolver.tudelft.nl/uuid:6da9cf1d-1a6e-4ca7-8253-d809acf56066","Mode I fracture behavior of glass fiber composite-steel bonded interface: Experiments and CZM","He, P. (TU Delft Steel & Composite Structures); Moreira Arouche, M. (TU Delft Steel & Composite Structures); Koetsier, M. (TU Delft Steel & Composite Structures); Pavlovic, M. (TU Delft Steel & Composite Structures)","","2024","Debonding is characterized as the governing failure mode in the innovative wrapped composite joints made with glass fiber composite material wrapped around steel hollow sections without welding. The prerequisite for predicting debonding failure of wrapped composite joints is to obtain fracture behavior of the composite-steel bonded interface. The mode I fracture behavior of the bonded interface was experimentally investigated using glass fiber composite-steel double cantilever beam (DCB) specimens. The crack length a and the crack tip opening displacement (CTOD) during the test were accurately measured by analyzing the digital image correlation (DIC) data while the strain energy release rate (SERR) was calculated through the extended global method (EGM). The cohesive zone modeling (CZM) was utilized in the finite element model with the proposal of a four-linear traction-separation law to simulate the mode I fracture process. An approach is introduced to determine the critical stages of the proposed four-linear cohesive law by combining accurate measurements of crack length a and CTOD, along with SERR values. The validity of the four-linear cohesive law and the introduced approach to determine the critical stages were confirmed by good agreement in both global and local behavior between the testing and the FEA results.","Composite-steel bonded interface; CZM; DCB; DIC; Four-linear traction-separation law; mode I fracture behavior","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:d466d4b0-2c3b-4257-afd9-234ecfeec0e6","http://resolver.tudelft.nl/uuid:d466d4b0-2c3b-4257-afd9-234ecfeec0e6","A global analysis of how human infrastructure squeezes sandy coasts","Lansu, Eva M. (NIOZ Royal Netherlands Institute for Sea Research; University Medical Center Groningen); Reijers, Valérie C. (Universiteit Utrecht); Höfer, Solveig (NIOZ Royal Netherlands Institute for Sea Research; University Medical Center Groningen); Luijendijk, Arjen (TU Delft Coastal Engineering; Deltares); Rietkerk, Max (Universiteit Utrecht); Wassen, Martin J. (Universiteit Utrecht); Lammerts, Evert Jan (Staatsbosbeheer); van der Heide, Tjisse (NIOZ Royal Netherlands Institute for Sea Research; University Medical Center Groningen)","","2024","Coastal ecosystems provide vital services, but human disturbance causes massive losses. Remaining ecosystems are squeezed between rising seas and human infrastructure development. While shoreline retreat is intensively studied, coastal congestion through infrastructure remains unquantified. Here we analyse 235,469 transects worldwide to show that infrastructure occurs at a median distance of 392 meter from sandy shorelines. Moreover, we find that 33% of sandy shores harbour less than 100 m of infrastructure-free space, and that 23–30% of this space may be lost by 2100 due to rising sea levels. Further analyses show that population density and gross domestic product explain 35–39% of observed squeeze variation, emphasizing the intensifying pressure imposed as countries develop and populations grow. Encouragingly, we find that nature reserves relieve squeezing by 4–7 times. Yet, at present only 16% of world’s sandy shores have a protected status. We therefore advocate the incorporation of nature protection into spatial planning policies.","","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:ba125b1b-145c-4d70-a678-c921d0e2a800","http://resolver.tudelft.nl/uuid:ba125b1b-145c-4d70-a678-c921d0e2a800","Catalytic pre-coat on ceramic nanofiltration membranes for segregation and Fenton cleaning of high-resistance colloids in direct surface water treatment","Lin, B. (TU Delft Sanitary Engineering; Nanjing Tech University); Heijman, Sebastiaan (TU Delft Sanitary Engineering); Rietveld, L.C. (TU Delft Sanitary Engineering)","","2024","Ceramic nanofiltration (NF) is a promising alternative for direct surface water treatment, but is hampered for full-scale applications by fouling and a lack of eco-friendly cleaning regimes. In this work, an innovative reactive pre-coat layer, consisting of an iron oxychloride catalyst, was constructed on top of commercial ceramic NF membranes, for segregating a large-sized colloid fraction in canal water and Fenton cleaning with a hydrogen peroxide (H2O2) solution. The large-sized colloids (3−30 μm) were identified as dominant substances fouling the TiO2 separation layer of the pristine membranes, leading to a fast increase in their filtration resistance, in contrast to the small-sized colloids (<0.04 μm) and natural organic matter (NOM). As a consequence, the catalyst pre-coat layer with a pore size of 0.1–0.5 μm was able to segregate the large-sized colloids from the TiO2 separation layer during direct filtration of the raw water. Moreover, filtration under an acceptable flux of around 23 L m−2 h−1 did not cause pore clogging in the catalyst pre-coat. In addition, Fenton oxidation initiated by the catalytic pre-coat efficiently restored the filtration resistance, whereas sole H2O2 flush of the pristine membrane was not effective. In the meantime, the TiO2 separation layer of the membrane exerted a high NOM rejection of approximately 90%, measured as dissolved organic carbon, while the catalyst pre-coat on the membrane remained active in Fenton cleaning, over five one-day cycles. The findings of this work may provide guidance on the structural and functional design of a catalytic pre-coat layer for a dual purpose of foulant segregation and oxidative removal, particularly in response to key fouling-causing substances, during membrane-based treatment of real water matrices.","Catalytic pre-coat; Ceramic nanofiltration membrane; Fenton cleaning; Membrane fouling; Surface water","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:d4911200-ed9b-42fd-abfd-6f923fddc871","http://resolver.tudelft.nl/uuid:d4911200-ed9b-42fd-abfd-6f923fddc871","Two-phase flow metering of maldistribution inside a header by means of venturi flowmeter solely","Lecardonnel, A. (TU Delft Flight Performance and Propulsion; von Karman Institute for Fluid Dynamics); de Servi, C.M. (TU Delft Flight Performance and Propulsion); Colonna, Piero (TU Delft Flight Performance and Propulsion); Laboureur, D. (von Karman Institute for Fluid Dynamics)","","2024","In evaporators, the distribution of the liquid and vapor phases among the channels is a convoluted problem, depending on a wide range of parameters. However, maldistribution causes important losses of performance. Due to their complexity, the accurate modeling of such two-phase flows is difficult to handle. Hence, experimental studies are still of great importance to help the understanding of maldistribution behaviors inside evaporators. Most of the experimental investigations of two-phase flow distribution are measuring the liquid and vapor quantities in the channels through a phase separation process, increasing the test duration and complexity. As a consequence, the number of parameters investigated is usually limited. Therefore, a new inline instrumentation method would allow for a more complete study by simplifying the measurement process. In the present work, an isothermal air/water mixture was used as fluid. The distribution of the two phases in eight channels of 10-mm I.D. connected to a simplified header was investigated. The inlet mass flow rates considered ranged from 0 to 0.025 kg/s for the water, and from 0 to 0.022 kg/s for the air. Consequently, qualities x up to 0.7 and void fractions ® up to 0.9 were reached. All the tests were carried at a pressure condition of 7 bar to reach a liquid to vapor density ratio similar to what is encountered for traditional refrigerant. Finally, to allow a continuous measurement process, the mass flow rates in each of the 10-mm I.D. channel were measured using a flowmeter calibrated on a separate line. Since no void fraction meter was coupled, a new iterative methodology, based on the Venturi pressure drops measurement solely, was developed and is proposed here. It proved to successfully predict the vapor and liquid phase flow rates in each channel.","evaporators; experimental; heat exchanger; liquid-gas two-phase flow; maldistribution; metering instrumentation; Venturi","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Flight Performance and Propulsion","","",""
"uuid:2568936b-2f64-4f89-8d08-41b38e949bc0","http://resolver.tudelft.nl/uuid:2568936b-2f64-4f89-8d08-41b38e949bc0","Investigation of the first quasi-rectangular metro tunnel constructed by the 0−θ method","Li, Peinan (Donghua University); Liu, Xue (Donghua University); Jiang, Xi (University of Tennessee); Zhang, X. (TU Delft Geo-engineering); Wu, Jun (Shanghai University of Engineering Science); Chen, Peixin (Shanghai Tunnel Engineering Co. Ltd.)","","2024","Quasi-rectangular shield tunneling is a cutting-edge trenchless method for constructing metro tunnels with double tubes, owing to its advantages in saving underground space and reducing ground disturbance. However, the conventional quasi-rectangular shield tunneling method is not applicable when constructing a tunnel without a center pillar, such as a scissor crossover section of a metro line. Therefore, the 0−θ tunneling method, which combines the quasi-rectangular shield and pipe jacking methods, was investigated in this study to solve the aforementioned construction challenges. This study presents a case study of the Sijiqing Station of the Hangzhou Metro Line 9 in China, in which the 0−θ method was first proposed and applied. Key techniques such as switching between two types of tunneling modes and the tunneling process control in complex construction environments were investigated. The results demonstrated that the 0−θ method can address the technical challenges presented by the post-transition line with a high curvature and a scissors crossover line. In addition, the adoption of the 0−θ method ensured that the transformation between shield tunneling and pipe jacking was safe and efficient. The ground settlement monitoring results demonstrated that the disturbance to the surrounding environment can be limited to a safe level. This case study contributes to the construction technology for a metro tunnel containing both post-transition lines with a small turning radius and a scissors crossover line. A practical construction experience and theoretical guidance were provided in this study, which are of significance for both the industry and academia.","0−θ method; pipe jacking; quasi-rectangular tunnel; shield tunneling; underground space","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-11","","","Geo-engineering","","",""
"uuid:12acb63e-81f0-4df1-8095-a767ad8b46ee","http://resolver.tudelft.nl/uuid:12acb63e-81f0-4df1-8095-a767ad8b46ee","Corrigendum to “Estimating bridge criticality due to extreme traffic loads in highway networks” [Eng. Struct. vol. 300, 1 February 2024, 117172] (Engineering Structures (2024) 300, (S0141029623015870), (10.1016/j.engstruct.2023.117172))","Mendoza Lugo, M.A. (TU Delft Hydraulic Structures and Flood Risk); Nogal Macho, M. (TU Delft Integral Design & Management); Morales Napoles, O. (TU Delft Hydraulic Structures and Flood Risk)","","2024","The authors regret the acknowledgments in the published article are incomplete. The complete acknowledgments are as follows: “This research was supported by the Mexican National Council for Science and Technology (CONACYT) under project number 2019-000021-01EXTF-00564 CVU 784544. The authors would like to thank Dr. Andrés Antonio Torres Acosta, Research Professor at the Department of Sustainable and Civil Technologies, School of Engineering and Sciences, Tecnológico de Monterrey for his contributions to this research.” The authors would like to apologise for any inconvenience caused. ____________________________.","","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:180bcc96-99e1-4d0a-83bf-e04a527bd8ad","http://resolver.tudelft.nl/uuid:180bcc96-99e1-4d0a-83bf-e04a527bd8ad","Microwave spectroscopy of interacting Andreev spins","Wesdorp, J.J. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Vaartjes, A. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Grünhaupt, L. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Roelofs, S.R. (TU Delft QRD/Goswami Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Splitthoff, L.J. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Pita-Vidal, Marta (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bargerbos, A. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Andersen, C.K. (TU Delft Andersen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2024","Andreev bound states are fermionic states localized in weak links between superconductors which can be occupied with spinful quasiparticles. Microwave experiments using superconducting circuits with InAs/Al nanowire Josephson junctions have recently enabled probing and coherent manipulation of Andreev states but have remained limited to zero or small magnetic fields. Here, we use a flux-tunable superconducting circuit compatible in magnetic fields up to 1T to perform spectroscopy of spin-polarized Andreev states up to ∼250mT, beyond which the spectrum becomes gapless. We identify singlet and triplet states of two quasiparticles occupying different Andreev states through their dispersion in magnetic field. These states are split by exchange interaction and couple via spin-orbit coupling, analogously to two-electron states in quantum dots. We also show that the magnetic field allows to drive a direct spin-flip transition of a single quasiparticle trapped in the junction. Finally, we measure a gate- and field-dependent anomalous phase shift of the Andreev spectrum, of magnitude up to ∼0.7π. Our observations demonstrate alternative ways to manipulate Andreev states in a magnetic field and reveal spin-polarized triplet states that carry supercurrent.","","en","journal article","","","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:a439dbf6-4244-4181-b411-030505028d5d","http://resolver.tudelft.nl/uuid:a439dbf6-4244-4181-b411-030505028d5d","Efficient and robust estimation of many-qubit Hamiltonians","Stilck França, Daniel (University of Copenhagen; Ecole Normale Supérieure de Lyon); Markovich, L. (TU Delft QID/Borregaard Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; Universiteit Leiden); Dobrovitski, V.V. (TU Delft QID/Dobrovitski Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Werner, Albert H. (University of Copenhagen); Borregaard, J. (TU Delft QN/Borregaard groep; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; Harvard University)","","2024","Characterizing the interactions and dynamics of quantum mechanical systems is an essential task in developing quantum technologies. We propose an efficient protocol based on the estimation of the time-derivatives of few qubit observables using polynomial interpolation for characterizing the underlying Hamiltonian dynamics and Markovian noise of a multi-qubit device. For finite range dynamics, our protocol exponentially relaxes the necessary time-resolution of the measurements and quadratically reduces the overall sample complexity compared to previous approaches. Furthermore, we show that our protocol can characterize the dynamics of systems with algebraically decaying interactions. The implementation of the protocol requires only the preparation of product states and single-qubit measurements. Furthermore, we improve a shadow tomography method for quantum channels that is of independent interest and discuss the robustness of the protocol to various errors. This protocol can be used to parallelize the learning of the Hamiltonian, rendering it applicable for the characterization of both current and future quantum devices.","","en","journal article","","","","","","","","","","","QID/Borregaard Group","","",""
"uuid:525f7406-6a57-4981-80bd-4f41a94e684f","http://resolver.tudelft.nl/uuid:525f7406-6a57-4981-80bd-4f41a94e684f","Magnetic hybrid Pd/Fe-oxide nanoparticles meet the demands for ablative thermo-brachytherapy","van Oossanen, R. (TU Delft RST/Medical Physics & Technology; Erasmus MC); Maier, A. (TU Delft BT/Biocatalysis); Godart, Jérémy (Erasmus MC); Pignol, Jean Philippe (Erasmus MC); Denkova, A.G. (TU Delft RST/Applied Radiation & Isotopes); van Rhoon, Gerard C. (Erasmus MC); Djanashvili, K. (TU Delft BT/Biocatalysis)","","2024","Objective: To investigate the potential of hybrid Pd/Fe-oxide magnetic nanoparticles designed for thermo-brachytherapy of breast cancer, considering their specific loss power (SLP) and clinical constraints in the applied magnetic field. Methods: Hybrid nanoparticles consisting of palladium-core and iron oxide shell of increasing thickness, were suspended in water and their SLPs were measured at varying magnetic fields (12–26 mT peak) and frequencies (50–730 kHz) with a commercial alternating magnetic field generator (magneTherm™ Digital, nanoTherics Ltd.). Results: Validation of the heating device used in this study with commercial HyperMag-C nanoparticles showed a small deviation (±4%) over a period of 1 year, confirming the reliability of the method. The integration of dual thermometers, one in the center and one at the bottom of the sample vial, allowed monitoring of homogeneity of the sample suspensions. SLPs measurements on a series of nanoparticles of increasing sizes showed the highest heating for the diameter of 21 nm (SLP = 225 W/g) at the applied frequencies of 346 and 730 kHz. No heating was observed for the nanoparticles with the size <14 nm, confirming the importance of the size-parameter. The heating ability of the best performing Pd/Fe-oxide-21 was calculated to be sufficient to ablate tumors with a radius ±4 and 12 mm using 10 and 1 mg/mL nanoparticle concentration, respectively. Conclusions: Nanoparticles consisting of non-magnetic palladium-core and magnetic iron oxide shell are suitable for magnetic hyperthermia/thermal ablation under clinically safe conditions of 346 kHz and 19.1 mT, with minimal eddy current effects in combination with maximum SLP.","breast cancer; magnetic hyperthermia; Palladium iron/oxide nanoparticles; thermal ablation; thermo-brachytherapy","en","journal article","","","","","","","","","","","RST/Medical Physics & Technology","","",""
"uuid:e581d782-eaaf-421f-8633-524a0fa9fbf0","http://resolver.tudelft.nl/uuid:e581d782-eaaf-421f-8633-524a0fa9fbf0","Stability of rock-armoured mild slopes","Jumelet, Daan (DEME); van Gent, M.R.A. (TU Delft Coastal Engineering; Deltares); Hofland, Bas (TU Delft Hydraulic Structures and Flood Risk); Kuiper, C. (TU Delft Coastal Engineering; Witteveen+Bos)","","2024","Physical model tests have been performed to study static stability of rock-armoured mild slopes. Current stability design formulae for steeper rock-armoured slopes focus on plunging and surging waves. Slopes of 1:6 and milder usually have more spilling breakers which decreases the load. Also, on mild slopes displaced rocks more often remain present in the wave attack zone, which increases the strength. These aspects lead to an overdesigned structure when existing formulae for steep rock-armoured slopes are used. The present wave flume tests were used to understand the processes and develop a design formula for rock-armoured mild slopes with an impermeable core. These tests were performed for statically stable rock-armoured slopes of 1:6 to 1:10. The tests confirmed that not all existing damage parameters are able to accurately describe the static stability on milder slopes. For mild slopes it is more accurate to describe the damage based on the eroded depth rather than on the eroded area or number of moved stones. In this study, a design formula and guidelines are provided for practicing engineers that design or evaluate the stability of mild rock-armoured slopes.","Armour stability; Coastal structures; Damage characterisation; Design guidelines; Erosion; Mild slopes; Physical model tests; Rock slopes; Slope protection; Wave loading","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:1e058c45-670b-48bb-8645-9ad735386b97","http://resolver.tudelft.nl/uuid:1e058c45-670b-48bb-8645-9ad735386b97","Quantifying the heterogeneous impacts of the urban built environment on traffic carbon emissions: New insights from machine learning techniques","Zhi, Danyue (Beijing Jiaotong University; Technische Universität München); Zhao, Hepeng (China Mobile Group Design Institute Co., Ltd.); Chen, Yan (Beijing Jiaotong University); Song, Weize (Tsinghua University); Song, Dongdong (Beijing Jiaotong University); Yang, Y. (TU Delft Transport and Planning; Beijing Jiaotong University)","","2024","The configuration of the urban built environment is critical for promoting sustainability and achieving carbon neutrality. However, existing studies mostly use linear and spatial econometric models to investigate the relationship between urban built environments and traffic carbon dioxide (CO2) emissions, in-depth studies exploring the heterogeneous impacts of related features on traffic CO2 emission by interpretive machine learning models are scarce. Hence, we extract four dimensionless features to depict the size, compactness, irregularity, and isolation of built-up areas, and road network-related features (i.e., average cluster coefficient, road topological density, and road geometric density), respectively. Subsequently, we develop an interpretive machine learning framework based on the extracted features related to the urban built-up areas and road networks. The interpretive results of the proposed framework uncover that urban morphological features, especially population density (POP), GDP per capita (GDPpc), and urban physical compactness (UPC), have a heterogeneous impact on the per capita traffic emission (PCCE) across different cities. GDPpc is more like a linear relationship with PCCE, and UPC has a significant influence on PCCE when its value is between 62% and 78%. Our results also reveal the nonlinear relationships and interactive effects between these features, providing the implications of urban morphological planning and carbon emission reduction.","Heterogeneous impact; Machine learning; Traffic carbon; Urban built environment","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-24","","","Transport and Planning","","",""
"uuid:591a60f3-f137-419c-9642-1de3fa2861f3","http://resolver.tudelft.nl/uuid:591a60f3-f137-419c-9642-1de3fa2861f3","Modeling the effect of prior austenite grain size on bainite formation kinetics","dos Santos Avila, D. (TU Delft Team Maria Santofimia Navarro); Offerman, S.E. (TU Delft Team Erik Offerman); Santofimia, Maria Jesus (TU Delft Team Maria Santofimia Navarro)","","2024","While experiments show that refining the prior austenite grain size can either accelerate or decelerate bainite formation in steels, kinetic models based on the successive nucleation of bainitic ferrite subunits can only predict an acceleration. In this work we develop a physically-based model for bainite kinetics assuming a displacive growth mechanism which is able to reproduce both faster and slower bainite formation kinetics induced by austenite grain refinement. A theoretical analysis of the model and comparison against published experimental data show that slower kinetics for smaller grains is favored as the difference between the activation energy for grain boundary and autocatalytic nucleation of bainite increases, and as the austenite grain refinement results in finer bainite sub-units. We also theoretically analyze the density of initially present potential nucleation sites for bainite and show that the values of density used in other published bainite nucleation models are mostly underestimated. After using physically consistent values for the density of potential nucleation sites, we were able to calculate the apparent lengthening rate of bainite sheaves which were in line with experimentally measured lengthening rates.","Bainite; grain size; nucleation; phase transformation kinetics; theory and modeling","en","journal article","","","","","","","","","","","Team Maria Santofimia Navarro","","",""
"uuid:76603547-882b-4fd6-8249-d980d3860596","http://resolver.tudelft.nl/uuid:76603547-882b-4fd6-8249-d980d3860596","Control-relevant neural networks for feedforward control with preview: Applied to an industrial flatbed printer","Aarnoudse, Leontine (Eindhoven University of Technology); Kon, Johan (Eindhoven University of Technology); Ohnishi, Wataru (University of Tokyo); Poot, Maurice (Eindhoven University of Technology); Tacx, Paul (Eindhoven University of Technology); Strijbosch, Nard (Eindhoven University of Technology); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2024","The performance of feedforward control depends strongly on its ability to compensate for reproducible disturbances. The aim of this paper is to develop a systematic framework for artificial neural networks (ANN) for feedforward control. The method involves three aspects: a new criterion that emphasizes the closed-loop control objective, inclusion of preview to deal with delays and non-minimum phase dynamics, and enabling the use of an iterative learning algorithm to generate training data in view of addressing generalization errors. The approach is illustrated through simulations and experiments on an industrial flatbed printer.","Feedforward control; Iterative learning control; Neural networks","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:6d851c18-203b-4c97-a56c-99ba178cbcbd","http://resolver.tudelft.nl/uuid:6d851c18-203b-4c97-a56c-99ba178cbcbd","Gas bubble removal from a zero-gap alkaline electrolyser with a pressure swing and why foam electrodes might not be suitable at high current densities","Bleeker, J.J. (TU Delft ChemE/Transport Phenomena); van Kasteren, Celine (Student TU Delft); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering); Vermaas, D.A. (TU Delft ChemE/Transport Phenomena)","","2024","To make green hydrogen more economically attractive, the energy losses in alkaline electrolysis need to be minimized while operating at high current densities (1 A cm−2). At these current densities the ohmic resistance and gas bubbles effects contribute largely to the energy losses. To mitigate the gas bubbles losses, we demonstrate, for the first time, a pressure swing to remove gas bubbles in a zero-gap alkaline water electrolyzer. The pressure swing leverages the ideal gas law to increase the volume of gas in the system periodically, for a short duration (<2 s). This temporal volume increase effectively removes bubbles from the electrolyzer. We show that pressure swing can be used to measure the effect of bubbles on the ohmic resistance (RBubbles). Our results reveal that foam electrodes have a significantly larger RBubbles than perforated plate electrodes (1.8 Ω cm2 vs 0.3 Ω cm2). The time-averaged cell voltage reduces by 170 mV when applying pressure swings to an electrolyzer operating at 200 mA cm−2 in 1 M KOH with foam electrodes. The bubble resistance further depends on the electrolyte conductivity (inversely proportional) and is only moderately affected by operating pressure (25 % lower when increasing pressure amplitude from 1–2 to 1–5 bar). By implementing these findings in a model, we estimate that the pressure swing could reduce the cell voltage by ∼0.1 V for an electrolyzer operating at industrial conditions (6 M KOH, 80 °C, 1 A cm−2) for foam electrodes. For perforated plate electrodes, however, the reduced cell voltage is lower and does not outweigh the additional compression energy.","Alkaline water electrolysis; Gas bubbles; Hydrogen; Pressurized; Zero-gap","en","journal article","","","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:da4cbf15-21c8-474a-b79e-47e3a067778d","http://resolver.tudelft.nl/uuid:da4cbf15-21c8-474a-b79e-47e3a067778d","Effects of Grain Boundaries and Surfaces on Electronic and Mechanical Properties of Solid Electrolytes","Xie, Weihang (National University of Singapore); Deng, Zeyu (National University of Singapore); Liu, Zhengyu (National University of Singapore); Famprikis, T. (TU Delft RST/Storage of Electrochemical Energy); Butler, Keith T. (University College London (UCL)); Canepa, Pieremanuele (National University of Singapore; University of Houston)","","2024","Extended defects, including exposed surfaces and grain boundaries (GBs), are critical to the properties of polycrystalline solid electrolytes in all-solid-state batteries (ASSBs). These defects can alter the mechanical and electronic properties of solid electrolytes, with direct manifestations in the performance of ASSBs. Here, by building a library of 590 surfaces and grain boundaries of 11 relevant solid electrolytes—including halides, oxides, and sulfides— their electronic, mechanical, and thermodynamic characteristics are linked to the functional properties of polycrystalline solid electrolytes. It is found that the energy required to mechanically “separate” grain boundaries can be significantly lower than in the bulk region of materials, which can trigger preferential cracking of solid electrolyte particles in the grain boundary regions. The brittleness of ceramic solid electrolytes, inferred from the predicted low fracture toughness at the grain boundaries, contributes to their cracking under local pressure imparted by lithium (sodium) penetration in the grain boundaries. Extended defects of solid electrolytes introduce new electronic interfacial states within bandgaps of solid electrolytes. These states alter and possibly increase locally the availability of free electrons and holes in solid electrolytes. Factoring effects arising from extended defects appear crucial to explain electrochemical and mechanical observations in ASSBs.","electronic properties; first-principles calculations; grain boundaries; mechanical properties; solid electrolytes; surfaces","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:bd34c470-c2b0-40a3-bfbf-b3fdfa0c23b0","http://resolver.tudelft.nl/uuid:bd34c470-c2b0-40a3-bfbf-b3fdfa0c23b0","A retrofit sensing strategy for soft fluidic robots","Zou, Shibo (Institute for Atomic and Molecular Physics); Picella, Sergio (Institute for Atomic and Molecular Physics; Eindhoven University of Technology); de Vries, Jelle (Institute for Atomic and Molecular Physics); Kortman, V.G. (TU Delft Medical Instruments & Bio-Inspired Technology); Sakes, A. (TU Delft Medical Instruments & Bio-Inspired Technology); Overvelde, Johannes T.B. (Institute for Atomic and Molecular Physics; Eindhoven University of Technology)","","2024","Soft robots are intrinsically capable of adapting to different environments by changing their shape in response to interaction forces. However, sensory feedback is still required for higher level decisions. Most sensing technologies integrate separate sensing elements in soft actuators, which presents a considerable challenge for both the fabrication and robustness of soft robots. Here we present a versatile sensing strategy that can be retrofitted to existing soft fluidic devices without the need for design changes. We achieve this by measuring the fluidic input that is required to activate a soft actuator during interaction with the environment, and relating this input to its deformed state. We demonstrate the versatility of our strategy by tactile sensing of the size, shape, surface roughness and stiffness of objects. We furthermore retrofit sensing to a range of existing pneumatic soft actuators and grippers. Finally, we show the robustness of our fluidic sensing strategy in closed-loop control of a soft gripper for sorting, fruit picking and ripeness detection. We conclude that as long as the interaction of the actuator with the environment results in a shape change of the interval volume, soft fluidic actuators require no embedded sensors and design modifications to implement useful sensing.","","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:c450abb3-38bd-4f3b-9600-909b67c29d8a","http://resolver.tudelft.nl/uuid:c450abb3-38bd-4f3b-9600-909b67c29d8a","Anionic extracellular polymeric substances extracted from seawater-adapted aerobic granular sludge","Chen, L.M. (TU Delft BT/Environmental Biotechnology); Beck, Paula (Student TU Delft); van Ede, J.M. (TU Delft BT/Environmental Biotechnology); Pronk, M. (TU Delft BT/Environmental Biotechnology; Royal HaskoningDHV); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Lin, Y. (TU Delft Environmental Fluid Mechanics)","","2024","Abstract: Anionic polymers, such as heparin, have been widely applied in the chemical and medical fields, particularly for binding proteins (e.g., fibroblast growth factor 2 (FGF-2) and histones). However, the current animal-based production of heparin brings great risks, including resource shortages and product contamination. Recently, anionic compounds, nonulosonic acids (NulOs), and sulfated glycoconjugates were discovered in the extracellular polymeric substances (EPS) of aerobic granular sludge (AGS). Given the prevalence of anionic polymers, in marine biofilms, it was hypothesized that the EPS from AGS grown under seawater condition could serve as a raw material for producing the alternatives to heparin. This study aimed to isolate and enrich the anionic fractions of EPS and evaluate their potential application in the chemical and medical fields. The AGS was grown in a lab-scale reactor fed with acetate, under the seawater condition (35 g/L sea salt). The EPS was extracted with an alkaline solution at 80 °C and fractionated by size exclusion chromatography. Its protein binding capacity was evaluated by native gel electrophoresis. It was found that the two highest molecular weight fractions (438– > 14,320 kDa) were enriched with NulO and sulfate-containing glycoconjugates. The enriched fractions can strongly bind the two histones involved in sepsis and a model protein used for purification by heparin-column. These findings demonstrated possibilities for the application of the extracted EPS and open up a novel strategy for resource recovery. Key points: • High MW EPS from seawater-adapted AGS are dominant with sulfated groups and NulOs • Fifty-eight percent of the EPS is high MW of 68–14,320 kDa • EPS and its fractions can bind histones and fibroblast growth factor 2 Graphical Abstract: [Figure not available: see fulltext.]","EPS; Granular sludge; Nonulosonic acids; Protein binding; Sulfated glycoconjugates","en","journal article","","","","","","","","2024-07-17","","","BT/Environmental Biotechnology","","",""
"uuid:d2658035-ffdc-409f-a029-c64475a077ab","http://resolver.tudelft.nl/uuid:d2658035-ffdc-409f-a029-c64475a077ab","Towards interactive explanation-based nutrition virtual coaching systems","Buzcu, Berk (Özyeğin University; University of Applied Sciences and Arts Western Switzerland); Tessa, Melissa (High National School of Computer Science ESI ex-INI, Algiers); Tchappi, Igor (University of Luxembourg); Najjar, Amro (Luxembourg Institute of Science and Technology; University of Luxembourg); Hulstijn, Joris (University of Luxembourg); Calvaresi, Davide (University of Applied Sciences and Arts Western Switzerland); Aydoğan, Reyhan (TU Delft Interactive Intelligence; Özyeğin University; Universidad de Alcalá)","","2024","The awareness about healthy lifestyles is increasing, opening to personalized intelligent health coaching applications. A demand for more than mere suggestions and mechanistic interactions has driven attention to nutrition virtual coaching systems (NVC) as a bridge between human–machine interaction and recommender, informative, persuasive, and argumentation systems. NVC can rely on data-driven opaque mechanisms. Therefore, it is crucial to enable NVC to explain their doing (i.e., engaging the user in discussions (via arguments) about dietary solutions/alternatives). By doing so, transparency, user acceptance, and engagement are expected to be boosted. This study focuses on NVC agents generating personalized food recommendations based on user-specific factors such as allergies, eating habits, lifestyles, and ingredient preferences. In particular, we propose a user-agent negotiation process entailing run-time feedback mechanisms to react to both recommendations and related explanations. Lastly, the study presents the findings obtained by the experiments conducted with multi-background participants to evaluate the acceptability and effectiveness of the proposed system. The results indicate that most participants value the opportunity to provide feedback and receive explanations for recommendations. Additionally, the users are fond of receiving information tailored to their needs. Furthermore, our interactive recommendation system performed better than the corresponding traditional recommendation system in terms of effectiveness regarding the number of agreements and rounds.","Explainable AI; Interactive; Nutrition virtual coach; Recommender systems","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:3178d527-9b0f-4996-8fcb-e324c74f9925","http://resolver.tudelft.nl/uuid:3178d527-9b0f-4996-8fcb-e324c74f9925","A real-world dataset of group emotion experiences based on physiological data","Bota, Patrícia (Instituto de Telecomunicações); Brito, Joana (Instituto de Telecomunicações); Fred, Ana (Instituto de Telecomunicações; Lisbon Technical University); Cesar, Pablo (TU Delft Multimedia Computing; Centrum Wiskunde & Informatica (CWI)); Silva, Hugo (Instituto de Telecomunicações)","","2024","Affective computing has experienced substantial advancements in recognizing emotions through image and facial expression analysis. However, the incorporation of physiological data remains constrained. Emotion recognition with physiological data shows promising results in controlled experiments but lacks generalization to real-world settings. To address this, we present G-REx, a dataset for real-world affective computing. We collected physiological data (photoplethysmography and electrodermal activity) using a wrist-worn device during long-duration movie sessions. Emotion annotations were retrospectively performed on segments with elevated physiological responses. The dataset includes over 31 movie sessions, totaling 380 h+ of data from 190+ subjects. The data were collected in a group setting, which can give further context to emotion recognition systems. Our setup aims to be easily replicable in any real-life scenario, facilitating the collection of large datasets for novel affective computing systems.","","en","journal article","","","","","","","","","","","Multimedia Computing","","",""
"uuid:0f97b7aa-151b-4060-97a0-c88849e9b3c2","http://resolver.tudelft.nl/uuid:0f97b7aa-151b-4060-97a0-c88849e9b3c2","Predicting willingness to pay and implement different rooftop strategies to characterize social perception of climate change mitigation and adaptation","Untereiner, Erin (Universitat Autònoma de Barcelona); Toboso Chavero, S.T.C.H. (TU Delft Integral Design & Management; Universitat Autònoma de Barcelona; Erasmus Universiteit Rotterdam); Fariñas, Ana Vázquez (Universitat Autònoma de Barcelona); Madrid-Lopez, Cristina (Universitat Autònoma de Barcelona); Villalba, Gara (Universitat Autònoma de Barcelona); Durany, Xavier Gabarrell (Universitat Autònoma de Barcelona)","","2024","With the latest IPCC report, dramatic global climate action must be taken immediately to limit global warming to 1.5 °C, or face more frequent and extreme weather events with catastrophic implications. Cities must invest in climate resilience development; however, government policies are only effective if they are supported by the society in which they serve. As such, this study aims to characterize the social perception of climate resilience development, in particular the implementation of sustainable urban rooftop strategies, to support policy makers and enable individual action. This was accomplished through the analysis of 1,100 answered surveys in Cerdanyola del Vallès (Spain), to assess one’s willingness to pay (WTP) and willingness to implement (WTI) rooftop strategies according to: 1. socio-demographical characteristics; 2. social perceptions and beliefs; and 3. surrounding land use and land cover, and vulnerabilities identified through temperature and normalized difference vegetation index (NDVI) maps. The results of this study found age played a significant role in predictability, with 18-39-year-olds being the most willing to pay and implement the various rooftop scenarios. However, our results uncovered societal inequality as those 85+ were the second group most interested in rooftop agriculture but the most financially restricted. Belief in the viability of rooftop strategies increased respondents WTP and WTI while having access to ones’ rooftop increased willingness to partake in rooftop food cultivation and enhance rooftop greenery. A new finding presented by this study is the quantifiable impact that urban greenery plays on increasing survey respondents WTP and WTI.","circular cities; public perception; socio-ecological systems; urban agriculture; urban ecology; urban green infrastructure","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:69f49e60-87d7-4f4e-aa8b-967c69a00277","http://resolver.tudelft.nl/uuid:69f49e60-87d7-4f4e-aa8b-967c69a00277","Dispersion and deformation of molecular patterns written in turbulent air","van de Water, W. (TU Delft Fluid Mechanics); Dam, Nico (Radboud Universiteit Nijmegen; Eindhoven University of Technology); Calzavarini, Enrico (Université de Lille)","","2024","Molecular tagging is used to study the dispersion and deformation of patterns written in turbulent air. The writing is done by fusing O2 and N2 molecules into NO in the focus of a strong ultraviolet laser beam. By crossing several of these laser beams, patterns that have both small and large scales can be painted. The patterns are visualized a while later by inducing fluorescence of the NO molecules with a second UV laser and registering the image. The width of the lines that make the pattern is approximately 50μm, a few times the Kolmogorov length η, the smallest length scale in turbulence, while the largest size of the patterns (≈4mm) is inside the inertial range of the used turbulent jet flow. At small scales molecular clouds disperse under the joint action of molecular diffusion and turbulence. The experiments reveal this highly nontrivial interaction. At inertial-range scales (≈200η) we verify the Batchelor dispersion of objects whose size is inside the inertial range. Patterns are compressible objects and spontaneously develop concentration fluctuations. We show for the first time the nontrivial statistical properties of these fluctuations. Finally, we use the information in written and deformed lines to quantify turbulent intermittency, obtaining results that agree with the established scaling anomaly of velocity structure functions.","","en","journal article","","","","","","","","","","","Fluid Mechanics","","",""
"uuid:a30614f0-a4de-4403-b8be-2033add13679","http://resolver.tudelft.nl/uuid:a30614f0-a4de-4403-b8be-2033add13679","Development and experiment of an intelligent connected cooperative vehicle infrastructure system based on multiple V2I modes and BWM-IGR method","Li, Chunjie (Southeast University); Xu, Chengcheng (Southeast University); Chen, Y. (TU Delft Transport and Planning); Li, Zhibin (Southeast University)","","2024","To increase the efficiency and safety of expressway, this paper constructed a new intelligent connected cooperative vehicle infrastructure system and its effectiveness was verifid from both data and practical applications. Firstly, considering the convenience of using intelligent networking systems for public transportation, a new intelligent connected cooperative vehicle infrastructure system architecture was proposed by incorporating mobile communication methods. Then, the new system was illustrated from road side unit (RSU), on board unit (OBU) and data interaction. Additionally, to verify the effectiveness of the system, this paper proposes a two-stage model named Transformer Embedded Clustering- Hierarchical Density-Based Spatial Clustering of Applications with Noise (TEC-HDBSCAN) model to identify outliers in the trajectory data of vehicles collected by the system and obtain the speed sequence of the vehicle. Finally, data from actual testing scenarios was collected and a Best Worst Method-Improved Gray Relational (BWM-IGR) model was built to verify the effectiveness of the system. The results show that the established intelligent networked transportation system can effectively guide vehicles and collect data with high accuracy.","Abnormal data recognition; Comprehensive evaluation; Effectiveness testing; Intelligent connected cooperative vehicle infrastructure system","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-06","","","Transport and Planning","","",""
"uuid:76accbdf-6bc7-4841-ad12-39de813cdbb3","http://resolver.tudelft.nl/uuid:76accbdf-6bc7-4841-ad12-39de813cdbb3","Experimentally informed modeling of the early-age stress evolution in cementitious materials using exponential conversion from creep to relaxation","Liang, M. (TU Delft Materials and Environment); Luzio, Giovanni Di (Politecnico di Milano); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2024","This study presents comprehensive numerical modeling methods for simulating early-age stress (EAS) relaxation in cementitious materials, based on the autogenous deformation (AD), elastic modulus, creep, and stress continuously tested by a mini temperature stress testing machine (Mini-TSTM) and a mini AD testing machine from a very early age (i.e., from a few hours to a week). Four methods for converting creep compliance to relaxation modulus were discussed in detail and used for the one-dimensional (1D) and three-dimensional (3D) simulation of stress evolution in the Mini-TSTM test. Furthermore, virtual creep and relaxation tests were conducted using an exponential algorithm with either the Kelvin or Maxwell chains to show their applicability in simulating the viscoelastic behavior of early-age cementitious materials. The results showed that the exponential algorithm with the Maxwell chain using an exponential conversion function from creep to relaxation obtains good prediction accuracy of EAS in 3D analysis. The numerical solutions of the Volterra integral of creep compliance can lead to a negative relaxation modulus, thus introducing stress calculation errors in both 1D and 3D analysis.","","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:414f6c87-d627-4054-8023-bbb2fd5dc9b5","http://resolver.tudelft.nl/uuid:414f6c87-d627-4054-8023-bbb2fd5dc9b5","The use of additive manufacturing in self-healing cementitious materials: A state-of-the-art review","Wan, Z. (TU Delft Materials and Environment); Xu, Y. (TU Delft Materials and Environment); He, S. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2024","This paper presents a state-of-the-art review on the application of additive manufacturing (AM) in self-healing cementitious materials. AM has been utilized in self-healing cementitious materials in three ways: (1) concrete with 3D-printed capsules/vasculatures; (2) 3D concrete printing (3DCP) with fibers or supplementary cementitious materials (SCMs); and (3) a combination of (1) and (2). 3D-printed capsules/vascular systems are the most extensively investigated, which are capable of housing larger volumes of healing agents. However, due to the dimension restraints of printers, most of the printed vasculatures/capsules are in small scale, making them difficult for upscaling. Meanwhile, 3DCP shows great potential to lower the environmental footprint of concrete construction. Incorporation of fibers and SCMs helps improve the autogenous healing performance of 3DCP. Besides, 3D-printed concrete with hollow channels as the vasculature could further improve the autonomous healing and scalability of self-healing cementitious materials. Finally, possible directions for future research are discussed.","3D concrete printing; Additive manufacturing; Capsule; Self-healing cementitious materials; Vascular system","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:f06e8f3c-895d-4cf2-b4df-327543c98cb0","http://resolver.tudelft.nl/uuid:f06e8f3c-895d-4cf2-b4df-327543c98cb0","An adaptive route choice model for integrated fixed and flexible transit systems","Leffler, David (KTH Royal Institute of Technology); Burghout, Wilco (KTH Royal Institute of Technology); Cats, O. (TU Delft Transport and Planning; KTH Royal Institute of Technology); Jenelius, Erik (KTH Royal Institute of Technology)","","2024","Over the past decade, there has been a surge of interest in the application of agent-based simulation models to evaluate flexible transit solutions characterized by different degrees of short-term flexibility in routing and scheduling. A central modelling decision in the development is how one chooses to represent the mode- and route-choices of travellers. The real-time adaptive behaviour of travellers is important to model in the presence of a flexible transit service, where the routing and scheduling of vehicles is highly dependent on supply-demand dynamics at a near real-time temporal resolution. We propose a utility-based transit route-choice model with representation of within-day adaptive travel behaviour and between-day learning where station-based fixed-transit, flexible-transit, and active-mode alternatives may be dynamically combined in a single path. To enable experimentation, this route-choice model is implemented within an agent-based dynamic public transit simulation framework. We first explore model properties in a choice between fixed- and flexible-transit modes for a toy network. The adaptive route choice framework is then applied to a case study based on a real-life branched transit service in Stockholm, Sweden. This case study illustrates level-of-service trade-offs, in terms of waiting times and in-vehicle times, between passenger groups and analyzes traveller mode choices within a mixed fixed- and flexible transit system. Results show that the proposed framework is capable of capturing dynamic route choices in mixed flexible and fixed transit systems and that the day-to-day learning model leads to stable fixed-flexible mode choices.","agent-based simulation; flexible transit; Public transit; route choice; transit assignment","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:7673786b-4af7-4299-9339-cfc3a3657972","http://resolver.tudelft.nl/uuid:7673786b-4af7-4299-9339-cfc3a3657972","Investigation of non-ideal effects in compressible boundary layers of dense vapors through direct numerical simulations","Tosto, F. (TU Delft Flight Performance and Propulsion); Wheeler, Andrew (University of Cambridge); Pini, M. (TU Delft Flight Performance and Propulsion)","","2024","In this work, we present an investigation about the sources of dissipation in adiabatic boundary layers of non-ideal compressible fluid flows. Direct numerical simulations (DNS) of transitional, zero-pressure gradient boundary layer flows are performed for two fluids characterized by different complexity of the fluid molecules, namely, “air” and siloxane MM. Different sets of thermodynamic free-stream boundary conditions are selected to evaluate the influence of the fluid state on both the frictional loss and the dissipation mechanisms. The thermophysical properties of siloxane MM are calculated with a state-of-the-art equation of state. Results show that the dissipation due to both time-mean strain field, irreversible heat transfer, and turbulent dissipation differs significantly depending on both the molecular complexity of the fluid and its thermodynamic state. The dissipation coefficient calculated from the DNS results is then compared against the one obtained using a reduced-order model (ROM), which solves the two-dimensional boundary layer flow equations for an arbitrary fluid [M. Pini and C. De Servi, “Entropy generation in laminar boundary layers of non-ideal fluid flows,” in 2nd International Seminar on Non-Ideal Compressible Fluid Dynamics for Propulsion and Power (Springer, 2020), pp. 104-117]. Results from both the DNS and the ROM show that low values of the overall dissipation are observed in the case of fluids made of simple molecules, e.g., air, and if the fluid is at a thermodynamic state in the proximity of that of the vapor-liquid critical point.","","en","journal article","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:74e721e7-c964-4100-9061-c7c3ddbfa873","http://resolver.tudelft.nl/uuid:74e721e7-c964-4100-9061-c7c3ddbfa873","Numerical modelling and quantification of coastal urban compound flooding","Yuan, Jiao (Zhejiang University); Zheng, Feifei (Zhejiang University); Duan, Huan Feng (The Hong Kong Polytechnic University); Deng, Zhengzhi (Zhejiang University); Kapelan, Z. (TU Delft Sanitary Engineering); Savic, Dragan (KWR Water Research Institute; University of Exeter; University of Belgrade); Shao, Tan (Guangdong Hydrological Bureau); Huang, Wei Min (Guangdong Hydrological Bureau); Zhao, Tongtiegang (Sun Yat-sen University); Chen, Xiaohong (Sun Yat-sen University)","","2024","Low-lying coastal cities are vulnerable to compound floods caused by many factors including river flows, tides and local rainfall. Many previous studies focus on the impacts of rainfall and tidal levels (two driving factors) on estuaries or regions near the main single river, while research about the three influencing factors on the floods for complex urban river networks remain relatively scarce. This paper proposes a framework to simulate the coastal compound flooding process, with a series of scenarios designed to explore the main flooding drivers and their worst possible combination. The approach is used to quantify the induced flooding consequences, in which a two-dimensional model is employed to conduct a case study for a city with complex river networks. The Qianshan River basin in Zhuhai City, located in the Guangdong-Hong Kong-Macao Greater Bay Area (GBA) of China is used as the case study. Application results show that: (i) the occurrence and properties of flooding in this coastal area are jointly affected by upstream river flows, downstream tidal levels and local rainfall intensity with different contribution levels, (ii) compared to tidal levels, local rainfall intensity has a larger impact on the flooding levels of the study region, and (iii) the worst scenario where a 50-year return period upstream river flow co-occurring with high tide and a 100-year return period rainfall can produce a flooding area up to 50 km2 for the study region, with an average of 1.3 m flooded depth. The proposed framework with its findings offers an in-depth understanding and quantification of coastal urban flood characteristics within the GBA of China.","Complex river network; Compound flooding; Numerical modelling; Scenario analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-26","","","Sanitary Engineering","","",""
"uuid:a97d32fe-e891-4424-a238-4916a7254d5a","http://resolver.tudelft.nl/uuid:a97d32fe-e891-4424-a238-4916a7254d5a","Structural evolution of calcium sodium aluminosilicate hydrate (C-(N-)A-S-H) gels induced by water exposure: The impact of Na leaching","Liu, C. (TU Delft Materials and Environment); Li, Zhenming (Harbin Institute of Technology; University of Sheffield); Nie, Shuai (Aarhus University); Skibsted, Jørgen (Aarhus University); Ye, G. (TU Delft Materials and Environment)","","2024","Calcium sodium aluminosilicate hydrate C-(N-)A-S-H gels, formed through the alkali-activation of calcium silicate-based materials, may exhibit greater susceptibility to aqueous environments when compared to traditional C-(A-)S-H phases formed by hydration of blended Portland cements. This study investigates structural changes in synthesized C-(N-)A-S-H gels triggered by water immersion. Three gels have been examined, each with stoichiometrically controlled ratios of Ca/Si (0.8 and 1.2), Al/Si (0.1 and 0.3), and Na/Si (0.1, 0.2, and 0.3). The gel with a higher Ca/Si ratio demonstrated enhanced resistance to water leaching and only experienced marginal decalcification whereas the gels with lower Ca/Si ratios exhibited more pronounced effects including leaching losses of Si. Notably, all gels displayed rapid and substantial sodium leaching, contributing to an increased degree of polymerization for the aluminosilicate tetrahedra in the gels. A plausible mechanism for this change is that Na leaches out from the interlayer and Ca ions progressively take over the role of charge compensators in the interlayer of the C-(N-)A-S-H structure.","Na, Al and Si NMR; C-(N-)A-S-H gel; Leaching; Structural evolution; Water immersion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-28","","","Materials and Environment","","",""
"uuid:6f94c28c-fbae-458e-a757-6331d1561b25","http://resolver.tudelft.nl/uuid:6f94c28c-fbae-458e-a757-6331d1561b25","Incorporating strontium enriched amorphous calcium phosphate granules in collagen/collagen-magnesium-hydroxyapatite osteochondral scaffolds improves subchondral bone repair","Xu, J. (Erasmus MC); Vecstaudza, Jana (Riga Technical University); Wesdorp, Marinus A. (Erasmus MC); Labberté, Margot (University College Dublin); Salerno, Manuela (Rizzoli Orthopaedic Institute); Kok, Joeri (Eindhoven University of Technology); van Rietbergen, Bert (Eindhoven University of Technology); van Osch, G.J.V.M. (TU Delft Biomaterials & Tissue Biomechanics; Erasmus MC); Locs, Janis (Riga Technical University); Brama, Pieter A.J. (University College Dublin)","","2024","Osteochondral defect repair with a collagen/collagen-magnesium-hydroxyapatite (Col/Col-Mg-HAp) scaffold has demonstrated good clinical results. However, subchondral bone repair remained suboptimal, potentially leading to damage to the regenerated overlying neocartilage. This study aimed to improve the bone repair potential of this scaffold by incorporating newly developed strontium (Sr) ion enriched amorphous calcium phosphate (Sr-ACP) granules (100–150 μm). Sr concentration of Sr-ACP was determined with ICP-MS at 2.49 ± 0.04 wt%. Then 30 wt% ACP or Sr-ACP granules were integrated into the scaffold prototypes. The ACP or Sr-ACP granules were well embedded and distributed in the collagen matrix demonstrated by micro-CT and scanning electron microscopy/energy dispersive x-ray spectrometry. Good cytocompatibility of ACP/Sr-ACP granules and ACP/Sr-ACP enriched scaffolds was confirmed with in vitro cytotoxicity assays. An overall promising early tissue response and good biocompatibility of ACP and Sr-ACP enriched scaffolds were demonstrated in a subcutaneous mouse model. In a goat osteochondral defect model, significantly more bone was observed at 6 months with the treatment of Sr-ACP enriched scaffolds compared to scaffold-only, in particular in the weight-bearing femoral condyle subchondral bone defect. Overall, the incorporation of osteogenic Sr-ACP granules in Col/Col-Mg-HAp scaffolds showed to be a feasible and promising strategy to improve subchondral bone repair.","Amorphous calcium phosphate; Osteochondral defect; Regenerative medicine; Strontium; Tissue engineering","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:9d8a7d78-d2a6-4575-ba47-2d41516d4ff6","http://resolver.tudelft.nl/uuid:9d8a7d78-d2a6-4575-ba47-2d41516d4ff6","Handling uncertainty in train timetable rescheduling: A review of the literature and future research directions","Zhan, Shuguang (Hefei University of Technology); Xie, Jiemin (Sun Yat-sen University); Wong, S. C. (The University of Hong Kong); Zhu, Y. (TU Delft Transport and Planning; ETH Zürich); Corman, Francesco (ETH Zürich)","","2024","External and internal factors can cause disturbances or disruptions in daily train operations, leading to deviations from official timetables and passenger delays. As a result, efficient train timetable rescheduling (TTR) methods are necessary to restore disrupted train services. Although TTR has been a popular research topic in recent years, the uncertain characteristics of railways have not been sufficiently addressed. This review first identifies the primary uncertainties of TTR and examines their impacts on both TTR and passenger routing during disturbances or disruptions. It finds that only a few uncertainties have been investigated, and the existing solution methods do not adequately meet practical requirements, such as considering the dynamic nature of disturbances or disruptions, which is crucial for real-world applications. Therefore, the review highlights problems associated with TTR uncertainties that need urgent attention and suggests promising methodologies that could effectively address these issues as future research directions. This review aims to help practitioners develop improved automatic train-dispatching systems with better train-rescheduling performance under disturbances or disruptions compared to current systems.","Disruption management; Railways; Stochastic perturbation; Train timetable rescheduling","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:01775ed6-97c1-4264-9ec8-a686e195a771","http://resolver.tudelft.nl/uuid:01775ed6-97c1-4264-9ec8-a686e195a771","A versatile in situ cofactor enhancing system for meeting cellular demands for engineered metabolic pathways","Jaroensuk, Juthamas (Vidyasirimedhi Institute of Science and Technology); Sutthaphirom, Chalermroj (Vidyasirimedhi Institute of Science and Technology); Phonbuppha, Jittima (Vidyasirimedhi Institute of Science and Technology); Chinantuya, Wachirawit (Vidyasirimedhi Institute of Science and Technology; Mahidol University); Kesornpun, Chatchai (Vidyasirimedhi Institute of Science and Technology); Akeratchatapan, Nattanon (Vidyasirimedhi Institute of Science and Technology); Kittipanukul, Narongyot (Vidyasirimedhi Institute of Science and Technology); Phatinuwat, Kamonwan (Chulabhorn Graduate Institute, Bangkok); Hollmann, F. (TU Delft BT/Biocatalysis)","","2024","Cofactor imbalance obstructs the productivities of metabolically engineered cells. Herein, we employed a minimally perturbing system, xylose reductase and lactose (XR/lactose), to increase the levels of a pool of sugar phosphates which are connected to the biosynthesis of NAD(P)H, FAD, FMN, and ATP in Escherichia coli. The XR/lactose system could increase the amounts of the precursors of these cofactors and was tested with three different metabolically engineered cell systems (fatty alcohol biosynthesis, bioluminescence light generation, and alkane biosynthesis) with different cofactor demands. Productivities of these cells were increased 2-4-fold by the XR/lactose system. Untargeted metabolomic analysis revealed different metabolite patterns among these cells, demonstrating that only metabolites involved in relevant cofactor biosynthesis were altered. The results were also confirmed by transcriptomic analysis. Another sugar reducing system (glucose dehydrogenase) could also be used to increase fatty alcohol production but resulted in less yield enhancement than XR. This work demonstrates that the approach of increasing cellular sugar phosphates can be a generic tool to increase in vivo cofactor generation upon cellular demand for synthetic biology.","alkane; bioluminescence; fatty alcohol; metabolic engineering; sugar phosphate; synthetic biology; xylose reductase","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:a1fb547a-c028-405f-a402-47c0fd8a4273","http://resolver.tudelft.nl/uuid:a1fb547a-c028-405f-a402-47c0fd8a4273","Scaling ice-induced vibrations by combining replica modeling and preservation of kinematics","Hammer, T.C. (TU Delft Offshore Engineering); Puolakka, Otto (Aalto University); Hendrikse, H. (TU Delft Offshore Engineering)","","2024","A modeling approach to simulate ice-induced vibrations of vertically sided offshore structures in ice tank experiments is presented. The technique combines replica modeling with the preservation of kinematics during ice-structure interaction. The technique was chosen based on the theoretical understanding that ice-induced vibrations are caused by an energy exchange between the structure and the ice. The mechanism is controlled by primarily four aspects: the kinematics during ice-structure interaction, the degree to which the ice can resist higher loading at low velocities prior to failure (velocity effect), the existence of a transition speed from ductile-to-brittle failure, and the mean ice load level. A model ice type which resulted in a velocity effect and provided a transition speed comparable to that of sea ice was developed and used during ice tank experiments. A scaling factor, derived from the comparison between the mean brittle crushing ice load of the full-scale event and the in-situ measured mean brittle crushing model ice load, was applied to scale structure properties of a numerical model. This model was implemented during real-time hybrid simulations in model ice to preserve kinematics during the ice-structure interaction. To verify the proposed scaling approach, rigid indenter experiments covering velocities from 0.1 mm s−1 to 500 mm s−1 and dynamic ice-induced vibration experiments of structures with varying aspect ratios (8 and 12) and shapes (cylindrical and rectangular) were conducted. Neither the aspect ratio nor shape appeared to influence the development of ice-induced vibrations significantly. The approach was qualitatively validated by reproducing full-scale ice-induced vibrations as experienced by the Molikpaq platform and Norströmsgrund lighthouse.","Crushing; Hybrid test setup; Ice tank experiments; Model tests; Offshore structures","en","journal article","","","","","","","","","","","Offshore Engineering","","",""
"uuid:a59f944e-3bd9-47a8-a739-01cd9c97b757","http://resolver.tudelft.nl/uuid:a59f944e-3bd9-47a8-a739-01cd9c97b757","Limitations of a biokinetic model to predict the seasonal variations of nitrous oxide emissions from a full-scale wastewater treatment plant","Seshan, S. (TU Delft Sanitary Engineering; KWR Water Research Institute); Poinapen, Johann (KWR Water Research Institute); Zandvoort, Marcel H. (Waternet); van Lier, J.B. (TU Delft Sanitary Engineering); Kapelan, Z. (TU Delft Sanitary Engineering)","","2024","A biokinetic model based on BioWin's Activated Sludge Digestion Model (ASDM) coupled with a nitrous oxide (N2O) model was setup and calibrated for a full-scale wastewater treatment plant (WWTP) Amsterdam West, in the Netherlands. The model was calibrated using one year of continuous data to predict the seasonal variations of N2O emissions in the gaseous phase. This, according to our best knowledge, is the most complete full-scale data set used to date for this purpose. The results obtained suggest that the currently available biokinetic model predicted the winter, summer, and autumn N2O emissions well but failed to satisfactorily simulate the spring peak. During the calibration process, it was found that the nitrifier denitrification pathway could explain the observed emissions during all seasons while a combination of the nitrifier denitrification and incomplete heterotrophic denitrification pathways seemed to be dominant during the emissions peak observed during the spring season. Specifically, kinetic parameters related to free nitrous acid (FNA) displayed significant sensitivity leading to increased N2O production. The obtained values of two kinetic parameters, i.e., the FNA half-saturation during ammonia oxidising bacteria (AOB) denitrification and the FNA inhibition concentration related to heterotrophic denitrification, suggested a strong influence of the FNA bulk concentration on the N2O emissions and the observed seasonal variations. Based on the suboptimal performance and limitations of the biokinetic model, further research is needed to better understand the biochemical processes behind the seasonal peak and the influence of FNA.","Biokinetic modelling; Free nitrous acid; Nitrous oxide; Production pathways; Seasonal variations","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:40986389-2340-4a5f-b8e9-e74cf5e24ccd","http://resolver.tudelft.nl/uuid:40986389-2340-4a5f-b8e9-e74cf5e24ccd","Precursory Signals to Injection Induced Fault Reactivation in the Laboratory Using Active Ultrasonic Monitoring Methods","Veltmeijer, A.V. (TU Delft Applied Geophysics and Petrophysics); Naderloo, M. (TU Delft Reservoir Engineering); Pluymakers, Anne (TU Delft Applied Geophysics and Petrophysics); Barnhoorn, A. (TU Delft Applied Geophysics and Petrophysics)","","2024","Induced earthquakes are still highly unpredictable, and often caused by variations in pore fluid pressure. Monitoring and understanding the mechanisms of fluid-induced fault slip is essential for seismic risk mitigation and seismicity forecasting. Fluid-induced slip experiments were performed on critically stressed faulted sandstone samples, and the evolution of the actively sent ultrasonic waves throughout the experiment was measured. Two different fault types were used: smooth saw-cut fault samples at a 35° angle, and a rough fault created by in situ faulting of the samples. Variations in the seismic slip velocity and friction along the fault plane were identified by the coda of the ultrasonic waves. Additionally, ultrasonic amplitudes show precursory signals to laboratory fault reactivation. Our results show that small and local variations in stress before fault failure can be inferred using coda wave interferometry for time-lapse monitoring, as coda waves are more sensitive to small perturbations in a medium than direct waves. Hence, these signals can be used as precursors to laboratory fault slip and to give insight into reactivation mechanisms. Our results show that time-lapse monitoring of coda waves can be used to monitor local stress changes associated with fault reactivation in this laboratory setting of fluid-induced fault reactivation. This is a critical first step toward a method for continuous monitoring of natural fault zones, contributing to seismic risk mitigation of induced and natural earthquakes.","coda wave interferometry; fault mechanics; injection-induced seismicity; ultrasonic monitoring","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:ff86bb56-92b5-4ea4-ad79-aeb194dab0ce","http://resolver.tudelft.nl/uuid:ff86bb56-92b5-4ea4-ad79-aeb194dab0ce","Influence of Peripheral Alkyl Groups on Junction Configurations in Single-Molecule Electronics","Ornago, L. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Zwick, Patrick (University of Basel); van der Poel, S. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Brandl, Thomas (University of Basel); El Abbassi, M. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Perrin, Mickael L. (ETH Zürich); Dulić, Diana (Universidad de Chile); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Mayor, Marcel (University of Basel; Karlsruhe Institut für Technologie; Sun Yat-sen University)","","2024","The addition of a lateral alkyl chain is a well-known strategy to reduce π-stacked ensembles of molecules in solution, with the intention to minimize the interactions between the molecules’ backbones. In this paper, we study whether this concept generalizes to single-molecule junctions by using a combination of mechanically controllable break junction (MCBJ) measurements and clustering-based data analysis with two small series of model compounds decorated with various bulky groups. The systematic study suggests that introducing alkyl side chains also favors the formation of electrode-molecule configurations that are not observed in their absence, thereby inducing broadening of the conductance peak in the one-dimensional histograms. Thus, the introduction of alkyl chains in aromatic compounds for molecular electronics must be carefully designed and optimized for the specific purpose, balancing between increased solubility and the possibility of additional junction configurations.","","en","journal article","","","","","","","","","","","QN/van der Zant Lab","","",""
"uuid:d62eeec3-6eac-4465-9302-ecbf8af35f03","http://resolver.tudelft.nl/uuid:d62eeec3-6eac-4465-9302-ecbf8af35f03","Precision and bias in dynamic light scattering optical coherence tomography measurements of diffusion and flow","Cheishvili, K. (TU Delft ImPhys/Kalkman group); Rieger, B. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Rieger group); Kalkman, J. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Kalkman group)","","2024","We quantify the precision and bias of dynamic light scattering optical coherence tomography (DLS-OCT) measurements of the diffusion coefficient and flow speed for first and second-order normalized autocovariance functions. For both diffusion and flow, the measurement precision and accuracy are severely limited by correlations between the errors in the normalized autocovariance function. We demonstrate a method of mixing statistically independent normalized autocovariance functions at every time delay for removing these correlations. The mixing method reduces the uncertainty in the obtained parameters by a factor of two but has no effect on the standard error of the mean. We find that the precision in DLS-OCT is identical for different averaging techniques but that the lowest bias is obtained by averaging the measured correlation functions before fitting the model parameters. With our correlation mixing method, it is possible to quantify the precision in DLS-OCT and verify whether the Cramer-Rao bound is reached.","","en","journal article","","","","","","","","","","","ImPhys/Kalkman group","","",""
"uuid:a33618f1-35f0-4a33-8a2c-0e2657f5b40c","http://resolver.tudelft.nl/uuid:a33618f1-35f0-4a33-8a2c-0e2657f5b40c","A new Bayesian approach for managing bathing water quality at river bathing locations vulnerable to short-term pollution","Seis, W.A.A. (TU Delft Sanitary Engineering; Kompetenzzentrum Wasser Berlin); ten Veldhuis, Marie-claire (TU Delft Water Resources); Rouault, Pascale (Kompetenzzentrum Wasser Berlin); Steffelbauer, D.B. (Kompetenzzentrum Wasser Berlin); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute)","","2024","Short-term fecal pollution events are a major challenge for managing microbial safety at recreational waters. Long turn-over times of current laboratory methods for analyzing fecal indicator bacteria (FIB) delay water quality assessments. Data-driven models have been shown to be valuable approaches to enable fast water quality assessments. However, a major barrier towards the wider use of such models is the prevalent data scarcity at existing bathing waters, which questions the representativeness and thus usefulness of such datasets for model training. The present study explores the ability of five data-driven modelling approaches to predict short-term fecal pollution episodes at recreational bathing locations under data scarce situations and imbalanced datasets. The study explicitly focuses on the potential benefits of adopting an innovative modeling and risk-based assessment approach, based on state/cluster-based Bayesian updating of FIB distributions in relation to different hydrological states. The models are benchmarked against commonly applied supervised learning approaches, particularly linear regression, and random forests, as well as to a zero-model which closely resembles the current way of classifying bathing water quality in the European Union. For model-based clustering we apply a non-parametric Bayesian approach based on a Dirichlet Process Mixture Model. The study tests and demonstrates the proposed approaches at three river bathing locations in Germany, known to be influenced by short-term pollution events. At each river two modelling experiments (“longest dry period”, “sequential model training”) are performed to explore how the different modelling approaches react and adapt to scarce and uninformative training data, i.e., datasets that do not include event pollution information in terms of elevated FIB concentrations. We demonstrate that it is especially the proposed Bayesian approaches that are able to raise correct warnings in such situations (> 90 % true positive rate). The zero-model and random forest are shown to be unable to predict contamination episodes if pollution episodes are not present in the training data. Our research shows that the investigated Bayesian approaches reduce the risk of missed pollution events, thereby improving bathing water safety management. Additionally, the approaches provide a transparent solution for setting minimum data quality requirements under various conditions. The proposed approaches open the way for developing data-driven models for bathing water quality prediction against the reality that data scarcity is common problem at existing and prospective bathing waters.","Dirichlet Process Mixture Model; Probabilistic modelling; Recreational waters","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:4b85c22f-4ed0-4fe1-a137-b064a60b0768","http://resolver.tudelft.nl/uuid:4b85c22f-4ed0-4fe1-a137-b064a60b0768","Adsorption characteristics and mechanisms of water-soluble polymers (PVP and PEG) on kaolin and montmorillonite minerals","Wang, Xintu (Guilin University of Technology; Chinese Academy of Sciences); Xu, Yanghui (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Ou, Q. (TU Delft Sanitary Engineering; Chinese Academy of Sciences); Chen, Wenwen (Guilin University of Technology); van der Meer, W.G.J. (University of Twente; Oasen); Liu, G. (TU Delft Sanitary Engineering; Chinese Academy of Sciences; University of Chinese Academy of Sciences)","","2024","The excessive use and accumulation of water-soluble polymers (WSPs, known as “liquid plastics”) in the environment can pose potential risks to both ecosystems and human health, but the environmental fate of WSPs remains unclear. Here, the adsorption behavior of WSPs with different molecular weight on kaolinite (Kaol) and montmorillonite (Mt) were examined. The results showed that the adsorption of PEG and PVP on minerals were controlled by hydrogen bond and van der Waals force. The Fourier transform infrared (FTIR) spectra and two-dimensional correlation spectroscopy (2D-COS) analysis revealed that there were interactions between the Al-O and Si-O groups of the minerals and the polar O- or N-containing functional groups as well as the alkyl groups of PEG and PVP. The adsorption characteristics of WSPs were closely related to their molecular weight and the pore size of minerals. Due to the relatively large mesopore size of Kaol, both PEG and PVP were absorbed into inner spaces, for which the adsorption capacity increased with molecular weight of the polymers. For Mt, all types of PEG could enter its micropores, while PVP with larger molecular weights appeared to be confined externally, leading to a decrease in the adsorption capacity of PVP with increasing molecular weight. The findings of this study provide a theoretical basis for scientific evaluation of environmental processes of WSPs.","Adsorption; Hydrogen bond; Minerals; Molecular weight; Water-soluble polymers","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:939f4600-e00b-49eb-9820-a96134b3f765","http://resolver.tudelft.nl/uuid:939f4600-e00b-49eb-9820-a96134b3f765","Experimental study on drag coefficient of flexible vegetation under non-breaking waves","Reis, Rui A. (Lisbon Technical University; National Laboratory of Civil Engineering (LNEC)); Fortes, Conceição J.E.M. (National Laboratory of Civil Engineering (LNEC)); Rodrigues, José A. (Instituto Politécnico de Lisboa; CIMA); Hu, Zhan (Sun Yat-sen University; Ministry of Education, Zhuhai; Southern Marine Science and Engineering Guangdong Laboratory (Zhuhai)); Suzuki, T. (TU Delft Environmental Fluid Mechanics; Flanders Hydraulics Research)","","2024","Laboratory experiments of wave propagation over rigid and flexible vegetation fields, with the same configurations, were conducted to understand the effect of vegetation flexibility on the drag coefficient (CD). The direct method and the least squares method (LSM), based on force and flow measurements, are applied to calculate the CD in the experimental conditions. The formulations of both methods are extended to estimate the CD for flexible vegetation cases. A video analysis was performed to account for the swaying motion. Typically, wave dissipation is lower for flexible than for rigid vegetation of the same configuration, under the same flow condition. Therefore, a proportional effect in the corresponding CD results, obtained from common CD calibration to wave dissipation without considering vegetation motion, is usually observed. However, the present results show that although the wave dissipation was 34% lower for flexible relative to rigid vegetation, the respective CD values were close. CD estimations considering vegetation motion and inertia suggest that CD of flexible vegetation was up to 13% higher relative to rigid vegetation. Accounting for inertia reduced the CD for rigid vegetation up to 7%, while raised the CD for flexible vegetation up to 13%.","Drag coefficient; Flexible vegetation; Inertia; Vegetation motion; Wave dissipation","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:fe4e08a8-628d-422b-9c71-25d5c4366c17","http://resolver.tudelft.nl/uuid:fe4e08a8-628d-422b-9c71-25d5c4366c17","Bichromatic Rabi Control of Semiconductor Qubits","John, V. (TU Delft QCD/Veldhorst Lab; Kavli institute of nanoscience Delft; QuTech); Borsoi, F. (TU Delft QCD/Veldhorst Lab; Kavli institute of nanoscience Delft; QuTech); György, Zoltán (Eötvös University); Wang, C.A. (TU Delft QCD/Veldhorst Lab; Kavli institute of nanoscience Delft; QuTech); Széchenyi, Gábor (Eötvös University); van Riggelen, F. (TU Delft QCD/Veldhorst Lab; Kavli institute of nanoscience Delft; QuTech); Lawrie, W.I.L. (TU Delft QCD/Veldhorst Lab; Kavli institute of nanoscience Delft; QuTech); Hendrickx, N.W. (TU Delft QCD/Veldhorst Lab; Kavli institute of nanoscience Delft; QuTech); Sammak, A. (TU Delft BUS/TNO STAFF; QuTech); Scappucci, G. (TU Delft QCD/Scappucci Lab; Kavli institute of nanoscience Delft; QuTech); Pályi, András (Budapest University of Technology and Economics); Veldhorst, M. (TU Delft QN/Veldhorst Lab; Kavli institute of nanoscience Delft; QuTech)","","2024","Electrically driven spin resonance is a powerful technique for controlling semiconductor spin qubits. However, it faces challenges in qubit addressability and off-resonance driving in larger systems. We demonstrate coherent bichromatic Rabi control of quantum dot hole spin qubits, offering a spatially selective approach for large qubit arrays. By applying simultaneous microwave bursts to different gate electrodes, we observe multichromatic resonance lines and resonance anticrossings that are caused by the ac Stark shift. Our theoretical framework aligns with experimental data, highlighting interdot motion as the dominant mechanism for bichromatic driving.","","en","journal article","","","","","","","","","","","QCD/Veldhorst Lab","","",""
"uuid:fdc7c6ef-1cbf-4af0-84ff-6053b719b87a","http://resolver.tudelft.nl/uuid:fdc7c6ef-1cbf-4af0-84ff-6053b719b87a","State-of-the-art of Longitudinal Travel Surveys - A Comparison of the MOP and MPN","De Haas, Mathijs (KiM Netherlands Institute for Transport Policy Analysis); Ecke, Lisa (Karlsruhe Institut für Technologie); Chlond, Bastian (Karlsruhe Institut für Technologie); Hoogendoorn-Lanser, S. (TU Delft Corporate Innovations); Vortisch, Peter (Karlsruhe Institut für Technologie)","","2024","Longitudinal travel surveys are needed to capture individual travel behaviour changes. Only two longitudinal tavel surveys of national relevance are currently in operation, the German Mobility Panel (MOP) since 1994 and the Netherlands Mobility Panel (MPN) since 2013. This paper provides an overview of both panels' differences and similarities in design and data collection. Furthermore, representativeness, diary fatigue and non-random attrition are assessed in both panels to show the challenges panel surveys have to deal with. Overall, this paper shows important aspects of a panel survey that should be considered when designing a new longitudinal travel survey.","Data collection; German Mobility Panel (MOP); Longitudinal travel survey; Netherlands Mobility Panel (MPN); Panel data; Travel behaviour","en","journal article","","","","","","","","","","","Corporate Innovations","","",""
"uuid:916e8ee4-3025-4cb7-b80b-6e14f6b6a1ae","http://resolver.tudelft.nl/uuid:916e8ee4-3025-4cb7-b80b-6e14f6b6a1ae","The effect of micromechanical stresses on vacancy formation and stress-driven mass-transport in polycrystalline Fe–Au alloy","Hussein, Abdelrahman (Universiteit Gent; Korea University); van der Zwaag, S. (TU Delft Group Garcia Espallargas); Kim, Byungki (Korea University)","","2024","In recent years, a new class of super saturated binary and ternary alloys have demonstrated the ability for the self-healing of creep-induced voids formed at the grain boundaries. However, a clear understanding of the parameters affecting the self-healing mechanism is still not yet complete. One of the main challenges is understanding the effect of microstructure and micromechanical stresses on the redistribution of the healing-solute and vacancies. To this end, we address this issue using a CALPHAD-informed diffusion model coupled with crystal plasticity. In principle, the approach is general and can be used for any binary Fe–X alloy, but in this work Fe–Au binary system is used since it experimentally showed the best healing efficiency. First, we present a multicomponent diffusion model considering cross and stress-driven diffusion. The effect of stress was also considered on the equilibrium vacancy concentration. To investigate the effect of the micromechanical stresses, a representative volume element (RVE) was obtained using the phase-field method. The results showed that the maximum vacancy concentration is at the grain boundaries (GBs) with the highest hydrostatic tensile stresses. These were also the regions of the highest Au enrichment. A crucial factor to achieve this is the high diffusivity of Au compared to the Fe matrix. Increasing the stresses, lead to an increase both in vacancy and Au concentration. The accompanying increased stress triaxiality is suggested to be the reason for the reduced self-healing efficiency observed in previous experimental studies.","CALPHAD; Creep-voids; Cross diffusion; Crystal plasticity; Self-healing; Vacancies","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-01","","","Group Garcia Espallargas","","",""
"uuid:cb6307f0-68a4-49b4-82e6-cb63fa6d143c","http://resolver.tudelft.nl/uuid:cb6307f0-68a4-49b4-82e6-cb63fa6d143c","Functional grading of low alloy steel to 316 L by wire arc additive manufacturing – Microstructural and mechanical characterization of bi-metal interface","Galán Argumedo, J.L. (TU Delft Team Vera Popovich); Mahmoudiniya, M. (Universiteit Gent); Reinton, T.E. (TU Delft Team Vera Popovich); Kestens, L.A.I. (TU Delft Team Maria Santofimia Navarro; Universiteit Gent); Hermans, M.J.M. (TU Delft Team Marcel Hermans); Popovich, V. (TU Delft Team Vera Popovich)","","2024","This study examines the interface layer between a high-strength low-alloy steel and an overlaying austenitic stainless steel as deposited through wire arc additive manufacturing in a bi-metal block. By utilizing optical and electron microscopy techniques, and accompanied by phenomenological and thermodynamic modeling, the work elucidates on the nature of the distinct microstructural features at a new level of detail. Results showcase martensite in the form of a band along the fusion line of the first dissimilar layer, as well as in segregated islands. Within the same bead, yet away from the fusion line, an austenite matrix is identified alongside a large phase fraction of primary ferrite and sparse bainite. These findings enhance our understanding of the nature of the heterogeneous microstructure at the interface of a bi-metal build and establish empirical evidence for future modeling of microstructural development. Supplementary characterization reveals the impact of these microstructural heterogeneities on bulk mechanical performance. Hardness indents exhibit varied results along the interface, peaking at martensite islands with values up to 370HV0.2, surpassing the neighboring matrix by 50%. Under quasi-static tensile loading, bi-metallic specimens display strain partitioning along the fusion boundary, as confirmed by Digital Image Correlation. When compared to the adjoining stainless steel, the diluted interface layer exhibits superior strength (σy: 411 MPa) and comparable ductility (24%), leading to necking and failure away from this region. These results help predict the structural performance of bi-metal parts, and build a base for further research in more intricate loading scenarios, such as crack propagation processes.","Bi-metal interface; Functionally graded material; Microstructural characterization; Wire arc additive manufacturing","en","journal article","","","","","","","","","","","Team Vera Popovich","","",""
"uuid:3d56ecc0-51f7-44c2-82fb-93562942298b","http://resolver.tudelft.nl/uuid:3d56ecc0-51f7-44c2-82fb-93562942298b","Energy-stable discretization of the one-dimensional two-fluid model","Buist, J.F.H. (TU Delft Fluid Mechanics; Centrum Wiskunde & Informatica (CWI)); Sanderse, B. (Centrum Wiskunde & Informatica (CWI)); Dubinkina, S. (Vrije Universiteit Amsterdam); Oosterlee, C. W. (Universiteit Utrecht); Henkes, R.A.W.M. (TU Delft Fluid Mechanics)","","2024","In this paper we present a complete framework for the energy-stable simulation of stratified incompressible flow in channels, using the one-dimensional two-fluid model. Building on earlier energy-conserving work on the basic two-fluid model, our new framework includes diffusion, friction, and surface tension. We show that surface tension can be added in an energy-conserving manner, and that diffusion and friction have a strictly dissipative effect on the energy. We then propose spatial discretizations for these terms such that a semi-discrete model is obtained that has the same conservation properties as the continuous model. Additionally, we propose a new energy-stable advective flux scheme that is energy-conserving in smooth regions of the flow and strictly dissipative where sharp gradients appear. This is obtained by combining, using flux limiters, a previously developed energy-conserving advective flux with a novel first-order upwind scheme that is shown to be strictly dissipative. The complete framework, with diffusion, surface tension, and a bounded energy, is linearly stable to short wavelength perturbations, and exhibits nonlinear damping near shocks. The model yields smoothly converging numerical solutions, even under conditions for which the basic two-fluid model is ill-posed. With our explicit expressions for the dissipation rates, we are able to attribute the nonlinear damping to the different dissipation mechanisms, and compare their effects.","Dissipation; Energy conservation; Energy-stable scheme; Stability; Surface tension; Two-phase pipe flow","en","journal article","","","","","","","","","","","Fluid Mechanics","","",""
"uuid:36bef5f6-0b74-4d92-87af-519a06f42f43","http://resolver.tudelft.nl/uuid:36bef5f6-0b74-4d92-87af-519a06f42f43","Enhancing performance of polar InGaN-based thin film solar cells through intrinsic layer impact optimization: Numerical modeling","El Ghazi, Haddou (Hassan II University of Casablanca); Eker, Yasin Ramazan (Necmettin Erbakan University); En-nadir, Redouane (University of Sidi Mohamed Ben Abdullah); Ahmed, Shrouk Eid Zaki (TU Delft ImPhys/Esmaeil Zadeh group); Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; Selçuk University; National Research Institute of Astronomy and Geophysics)","","2024","The paper deals with the conception and feasibility of the device structure based on the optimized PIN-(In, Ga)N homojunction solar cells. A new and efficient model combining the most realistic ones considering the impacts of band gap narrowing, collection efficiency, Shockley-Read-Hall recombination, and interface polarization is proposed to examine the solar cells' performance numerically. The functioning processes of n-In0.42Ga0.58N/i-(In, Ga)N/p-In0.42Ga0.58N solar cells at room temperature were investigated by calculating their characteristics for the AM1.5D, AM1.5G, and AM0 American Society for Testing and Materials experimental data. Our results show that the indium content, thickness, and defect density of the intrinsic layer strongly influence the characteristics of the InGaN solar cells. As the In-mole fraction increases, Voc, FF and efficiency diminish to reach an independent regime for high In-content. A higher-quality 2μm−In0.43Ga0.57N for 1014cm−3 defect concentration can exhibit as high an efficiency as ≅11.3%, dropping to ≅4.12% for 1016cm−3 one.","(In, Ga)N; Intrinsic layer; Performance; Photovoltaic; PIN; Solar cell","en","journal article","","","","","","","","","","","ImPhys/Esmaeil Zadeh group","","",""
"uuid:b9603c2c-ab8c-4120-8913-df209ca6a628","http://resolver.tudelft.nl/uuid:b9603c2c-ab8c-4120-8913-df209ca6a628","Pre-transplant kidney quality evaluation using photoacoustic imaging during normothermic machine perfusion","Nikolaev, Anton V. (Erasmus MC); Fang, Yitian (Erasmus MC); Essers, Jeroen (Erasmus MC); Panth, Kranthi M. (Erasmus MC); Ambagtsheer, Gisela (Erasmus MC); Clahsen-van Groningen, Marian C. (Erasmus MC); Minnee, Robert C. (Erasmus MC); van Soest, G. (TU Delft Biomechanical Engineering; Erasmus MC; Massachusetts General Hospital); de Bruin, Ron W.F. (Erasmus MC)","","2024","Due to the shortage of kidneys donated for transplantation, surgeons are forced to use the organs with an elevated risk of poor function or even failure. Although the existing methods for pre-transplant quality evaluation have been validated over decades in population cohort studies across the world, new methods are needed as long as delayed graft function or failure in a kidney transplant occurs. In this study, we explored the potential of utilizing photoacoustic (PA) imaging during normothermic machine perfusion (NMP) as a means of evaluating kidney quality. We closely monitored twenty-two porcine kidneys using 3D PA imaging during a two-hour NMP session. Based on biochemical analyses of perfusate and produced urine, the kidneys were categorized into ‘non-functional’ and ‘functional’ groups. Our primary focus was to quantify oxygenation (sO2) within the kidney cortical layer of depths 2 mm, 4 mm, and 6 mm using two-wavelength PA imaging. Next, receiver operating characteristic (ROC) analysis was performed to determine an optimal cortical layer depth and time point for the quantification of sO2 to discriminate between functional and non-functional organs. Finally, for each depth, we assessed the correlation between sO2 and creatinine clearance (CrCl), oxygen consumption (VO2), and renal blood flow (RBF). We found that hypoxia of the renal cortex is associated with poor renal function. In addition, the determination of sO2 within the 2 mm depth of the renal cortex after 30 min of NMP effectively distinguishes between functional and non-functional kidneys. The non-functional kidneys can be detected with the sensitivity and specificity of 80% and 85% respectively, using the cut-off point of sO2 < 39%. Oxygenation significantly correlates with RBF and VO2 in all kidneys. In functional kidneys, sO2 correlated with CrCl, which is not the case for non-functional kidneys. We conclude that the presented technique has a high potential for supporting organ selection for kidney transplantation.","Kidney; Normothermic machine perfusion; Oxygen saturation; Oxygenation; Photoacoustics; Pre-transplant kidney quality; Transplantation","en","journal article","","","","","","","","","","Biomechanical Engineering","","","",""
"uuid:2551cd3b-50f1-4ee4-b48a-2966629e4a51","http://resolver.tudelft.nl/uuid:2551cd3b-50f1-4ee4-b48a-2966629e4a51","Clouds dissipate quickly during solar eclipses as the land surface cools","Trees, V.J.H. (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI)); de Roode, S.R. (TU Delft Atmospheric Remote Sensing); Wiltink, Job I. (Royal Netherlands Meteorological Institute (KNMI); Wageningen University & Research); Meirink, Jan Fokke (Royal Netherlands Meteorological Institute (KNMI)); Wang, P. (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI)); Stammes, Piet (Royal Netherlands Meteorological Institute (KNMI)); Siebesma, A.P. (TU Delft Atmospheric Remote Sensing)","","2024","Clouds affected by solar eclipses could influence the reflection of sunlight back into space and might change local precipitation patterns. Satellite cloud retrievals have so far not taken into account the lunar shadow, hindering a reliable spaceborne assessment of the eclipse-induced cloud evolution. Here we use satellite cloud measurements during three solar eclipses between 2005 and 2016 that have been corrected for the partial lunar shadow together with large-eddy simulations to analyze the eclipse-induced cloud evolution. Our corrected data reveal that, over cooling land surfaces, shallow cumulus clouds start to disappear at very small solar obscurations (~15%). Our simulations explain that the cloud response was delayed and was initiated at even smaller solar obscurations. We demonstrate that neglecting the disappearance of clouds during a solar eclipse could lead to a considerable overestimation of the eclipse-related reduction of net incoming solar radiation. These findings should spur cloud model simulations of the direct consequences of sunlight-intercepting geoengineering proposals, for which our results serve as a unique benchmark.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:95734e12-75b6-49a9-8ecd-876845ce83f0","http://resolver.tudelft.nl/uuid:95734e12-75b6-49a9-8ecd-876845ce83f0","Strain engineering of nonlinear nanoresonators from hardening to softening","Li, Z. (TU Delft Dynamics of Micro and Nano Systems); Xu, M. (TU Delft QN/Otte Lab; Kavli institute of nanoscience Delft); Norte, R.A. (TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft); Aragon, A.M. (TU Delft Computational Design and Mechanics); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft); Alijani, F. (TU Delft Dynamics of Micro and Nano Systems)","","2024","Although strain engineering and soft-clamping techniques for attaining high Q-factors in nanoresonators have received much attention, their impact on nonlinear dynamics is not fully understood. In this study, we show that nonlinearity of high-Q Si3N4 nanomechanical string resonators can be substantially tuned by support design. Through careful engineering of support geometries, we control both stress and mechanical nonlinearities, effectively tuning nonlinear stiffness of two orders of magnitude. Our approach also allows control over the sign of the Duffing constant resulting in nonlinear softening of the mechanical mode that conventionally exhibits hardening behavior. We elucidate the influence of support design on the magnitude and trend of the nonlinearity using both analytical and finite element-based reduced-order models that validate our experimental findings. Our work provides evidence of the role of soft-clamping on the nonlinear dynamic response of nanoresonators, offering an alternative pathway for nullifying or enhancing nonlinearity in a reproducible and passive manner.","","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:6b3aa4e4-277b-41c5-b300-4e02114dee51","http://resolver.tudelft.nl/uuid:6b3aa4e4-277b-41c5-b300-4e02114dee51","Estimating Mean Profiles and Fluxes in High-Speed Turbulent Boundary Layers Using Inner/Outer-Layer Scalings","Hasan, A.M. (TU Delft Energy Technology); Larsson, Johan (University of Maryland); Pirozzoli, Sergio (Sapienza University of Rome); Pecnik, Rene (TU Delft Energy Technology)","","2024","","","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:bf965b32-ed0b-4e8a-8bca-10cb860a883b","http://resolver.tudelft.nl/uuid:bf965b32-ed0b-4e8a-8bca-10cb860a883b","Modelling Value Change: An Exploratory Approach","de Wildt, T.E. (TU Delft Ethics & Philosophy of Technology); van de Poel, I.R. (TU Delft Ethics & Philosophy of Technology)","","2024","Value and moral change have increasingly become topics of interest in the philosophical literature. Several theoretical accounts have been proposed. These are usually based on certain theoretical and conceptual assumptions. Their strengths and weaknesses are often difficult to determine and compare because they are based on limited empirical evidence. We propose agent-based modeling to build simulation models that can theoretically help us explore accounts of value change. We can investigate whether a simulation model based on a specific account of value change can reproduce relevant phenomena. To illustrate this approach, we build a model based on the pragmatist account of value change proposed by Van De Poel and Kudina (2022). We show that this model can reproduce four relevant phenomena, namely 1) the inevitability and stability of values, 2) societies differ in openness and resistance to change, 3) moral revolutions, and 4) lock-in. This makes this account promising, although more research is needed to see how well it can explain other relevant phenomena and compare its strengths and weaknesses to other accounts. On a more methodological level, our contribution suggests that simulation models might be useful to theoretically explore accounts of value change and make further progress in this area.","Value Change; Moral Change; Agent-Based Modelling; Exploratory Modelling","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:17a96343-c475-4228-840a-7e9f48f64577","http://resolver.tudelft.nl/uuid:17a96343-c475-4228-840a-7e9f48f64577","Editorial: Modelling Values in Social, Technical, and Ecological Systems","Melnyk, A. (TU Delft Ethics & Philosophy of Technology); Edmonds, Bruce (Manchester Metropolitan University); Ghorbani, Amineh (TU Delft System Engineering); van de Poel, I.R. (TU Delft Ethics & Philosophy of Technology)","","2024","This editorial paper for the special section on “Modelling Values in Socio/Technical/Ecological Systems” introduces interdisciplinary perspectives on values and reflects on growing appeals for modelling values. In public and academic discourses, values typically relate to matters of importance (e.g., beliefs, priorities) and principles about what is considered to be good (e.g., moral values) and are often seen as shaping individual and collective behaviour. As shown by eight contributions to this special section, it is relevant for social simulation modelling to dive deeper into embedding values in models in order to explore behavioural change on different levels and across contexts. Our goal with this special section is to stimulate interest in developing various approaches that study and operationalise values in agent-based models to investigate the complex problems raised in social, socio-technical and socio-ecological systems. We conclude with a call for future research to be explicit in their modelling assumptions, thus fostering a vigorous foundation for scientific discourse.","values; value change; simulation modelling","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:ba0940e6-e49a-4bf2-9b94-e5d174ac651f","http://resolver.tudelft.nl/uuid:ba0940e6-e49a-4bf2-9b94-e5d174ac651f","Polyhydroxyalkanoate production in a biofilm by mixed culture phototrophic bacteria","Hülsen, Tim (University of Queensland); Venturato, Daniel (University of Queensland; Urban Utilities, Brisbane); Chan, Clement (University of Queensland); Vandi, Luigi (University of Queensland); Laycock, Bronwyn (University of Queensland); Pratt, Steven (University of Queensland); Stegman, Samuel (University of Queensland); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Batstone, Damien J. (University of Queensland)","","2024","Anoxygenic purple phototrophic bacteria (PPB) were utilised in an 80 L biofilm flat plate photobioreactor to generate polyhydroxyalkanoate (PHA) over 44 cycles, with acetate as feed. Over the cycles, net PHA yield (growth + accumulation) averaged 21% while accumulation yield averaged 55%. Average PHA content was 35 wt% volatile solids (VS), with the majority (>80%) being harvested from the biofilm at 100 gTotal solids (TS) L−1. The PPB microbial population averaged 45% of total population. Detailed cycle studies indicated that PHA content (and yield) peaked at 0.5–1 d into the accumulation stage (peak of 53 wt% VS), suggesting that cycle time optimisation could improve both yield and selection of PHA accumulators. The resulting polymeric material was comprised of poly(3-hydroxybutyrate-co-3-hydroxyvalerate) with 95.5 mol% 3-hydroxybutyrate and 4.5 mol% 3-hydroxyvalerate content, but the molecular weight, at >1090 kDa, is unusually high for extracted, microbially produced PHA and a feature of this work.","Biofilm; Polyhydroxyalkanoates; Purple phototrophic bacteria; Resource recovery","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:099c731f-c2e1-41a6-95ae-5bf82639b07c","http://resolver.tudelft.nl/uuid:099c731f-c2e1-41a6-95ae-5bf82639b07c","The use of patient reported outcome measures in oncology clinical practice across Australia and New Zealand","Maharaj, A.D. (TU Delft Policy Analysis; Monash University); Roberts, Natasha (University of Queensland); Jefford, Michael (University of Melbourne); Ng, Jerome (The University of Auckland); Rutherford, Claudia (University of Sydney); Koczwara, Bogda (Flinders University of South Australia)","","2024","Background: While there is increasing evidence on the benefits of PROMs in cancer care, the extent of routine collection and use of PROMs in clinical cancer practice across Australia and New Zealand (ANZ) is unknown. This study examined the prevalence and characteristics of PROMs use in routine clinical cancer care in ANZ. Methods: An online survey was designed and disseminated via professional societies and organisations using a snowball sampling approach to clinical and health administration professionals managing cancer care in ANZ. A poster advertising the study was also circulated on professional social media networks via LinkedIn and Twitter inviting health professionals from ANZ to participate if they were using or intending to use PROMs in clinical cancer practice. Responders opted into the survey via the survey link. Results: From 132 survey views, 91(response rate, 69%) respondents from 56 clinical practices across ANZ agreed to participate in the survey, and of these 55 (n = 55/91, 60%) respondents reported collecting PROMs within their clinical practice. The majority of the respondents were from the State of New South Wales in Australia (n = 21/55, 38%), hospital (n = 35/55, 64%), and a public setting (n = 46/55, 83%). PROMs were collected in all cancer types (n = 21/36, 58%), in all stages of the disease (n = 31/36, 86%), in an adult population (n = 33/36, 92%), applied in English (n = 33/36, 92%), and used to facilitate communication with other reasons (27/36, 75%). A geospatial map analysis provided insights into the variation in PROMs uptake between the two countries and in certain jurisdictions within Australia. This study also highlights the limited resources for PROMs implementation, and a lack of systematic priority driven approach. Conclusion: PROM use across Australia and New Zealand seems variable and occurring predominantly in larger metropolitan centres with limited standardisation of approach and implementation. A greater focus on equitable adoption of PROMs in diverse cancer care settings is urgently needed.","Health policy; Health services research; Oncology; Patient-reported outcome measures; Quality of care","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:163dbbc6-eba7-447c-b1a8-61b9ccaad875","http://resolver.tudelft.nl/uuid:163dbbc6-eba7-447c-b1a8-61b9ccaad875","Composition and thermal properties of Ganymede's surface from JWST/NIRSpec and MIRI observations","Bockelée-Morvan, D. (Observatoire de Paris); Lellouch, E. (Observatoire de Paris); Poch, O. (IPAG); Quirico, E. (IPAG); Cazaux, S.M. (TU Delft Planetary Exploration; Universiteit Leiden); de Pater, I. (TU Delft Astrodynamics & Space Missions; University of California); Fouchet, T. (Observatoire de Paris); Fry, P. M. (University of Wisconsin-Madison); Rodriguez-Ovalle, P. (Observatoire de Paris)","","2024","Context. We present the first spectroscopic observations of Ganymede by the James Webb Space Telescope undertaken in August 2022 as part of the proposal ""ERS observations of the Jovian system as a demonstration of JWST's capabilities for Solar System science"". Aims. We aimed to investigate the composition and thermal properties of the surface, and to study the relationships of ice and non-water-ice materials and their distribution. Methods. NIRSpec IFU (2.9-5.3 μm) and MIRI MRS (4.9-28.5 μm) observations were performed on both the leading and trailing hemispheres of Ganymede, with a spectral resolution of ∼2700 and a spatial sampling of 0.1 to 0.17″ (while the Ganymede size was ∼1.68″). We characterized the spectral signatures and their spatial distribution on the surface. The distribution of brightness temperatures was analyzed with standard thermophysical modeling including surface roughness. Results. Reflectance spectra show signatures of water ice, CO2, and H2O2. An absorption feature at 5.9 μm, with a shoulder at 6.5 μm, is revealed, and is tentatively assigned to sulfuric acid hydrates. The CO2 4.26-μm band shows latitudinal and longitudinal variations in depth, shape, and position over the two hemispheres, unveiling different CO2 physical states. In the ice-rich polar regions, which are the most exposed to Jupiter's plasma irradiation, the CO2 band is redshifted with respect to other terrains. In the boreal region of the leading hemisphere, the CO2 band is dominated by a high wavelength component at ∼4.27 μm, consistent with CO2 trapped in amorphous water ice. At equatorial latitudes (and especially on dark terrains), the observed band is broader and shifted toward the blue, suggesting CO2 adsorbed on non-icy materials, such as minerals or salts. Maps of the H2O Fresnel peak area correlate with Bond albedo maps and follow the distribution of water ice inferred from H2O absorption bands. Amorphous ice is detected in the ice-rich polar regions, and is especially abundant on the northern polar cap of the leading hemisphere. Leading and trailing polar regions exhibit different H2O, CO2, and H2O2 spectral properties. However, in both hemispheres the north polar cap ice appears to be more processed than the south polar cap. A longitudinal modification of the H2O ice molecular structure and/or nanometer- and micrometer-scale texture, of diurnal or geographic origin, is observed in both hemispheres. Ice frost is tentatively observed on the morning limb of the trailing hemisphere, which possibly formed during the night from the recondensation of water subliming from the warmer subsurface. Reflectance spectra of the dark terrains are compatible with the presence of Na- and Mg-sulfate salts, sulfuric acid hydrates, and possibly phyllosilicates mixed with fine-grained opaque minerals, with a highly porous texture. Latitude and local time variations of the brightness temperatures indicate a rough surface with mean slope angles of 15° - 25° and a low thermal inertia Γ = 20-40 J m-2 s-0.5 K-1, consistent with a porous surface, with no obvious difference between the leading and trailing sides.","Infrared: planetary systems; Planets and satellites: composition; Planets and satellites: individual: Ganymede","en","journal article","","","","","","","","","","","Planetary Exploration","","",""
"uuid:875f5379-560c-424d-8015-6c3f1dd8d52f","http://resolver.tudelft.nl/uuid:875f5379-560c-424d-8015-6c3f1dd8d52f","Using multi-stakeholder causal mapping to explore priorities for infrastructure resilience to flooding","Pregnolato, M. (TU Delft Hydraulic Structures and Flood Risk; University of Bristol); West, C. (Mott MacDonald Group Limited); Evans, B. (University of Exeter); Lam, Man Yue (Cardiff University); Chen, A. S. (University of Exeter); Ahmadian, R. (Cardiff University); Djordjević, S. (University of Exeter)","","2024","Urban resilience to natural hazards could make our cities less vulnerable to adverse weather events. However, the implementation of resilience actions is currently not effective, as mechanisms to facilitate collaboration among involved stakeholders are missing. This paper for the first time explores causal mapping as a method to disassemble major issues of urban resilience into a more manageable understanding, and thus identify key objectives, barriers and opportunities in thinking “resilient cities”. In this study, a cognitive-mapping-based workshop was held to elicit information from stakeholders in the remit of urban resilience to flooding. The statements and connections identified during the workshop led a consolidated map, analysed using the StrategyFinder software. This analysis highlighted barriers related to data availability, silo-based approaches and lack of funding; it also evidenced shared goals, such as the need to protect the built environment and minimise impact from flooding. Overall, causal mapping resulted a powerful analytical tool for improving understanding of the complex dynamics of urban resilience, identifying key variables and relationships, as well as eliciting information from stakeholders. Furthermore, this approach facilitated systems thinking, communication and collaboration. This enhanced understanding is fundamental for advancing strategies for future planning, contributing to urban sustainability and liveability.","","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:edaba1eb-4d33-4957-b21e-f3b66e584c90","http://resolver.tudelft.nl/uuid:edaba1eb-4d33-4957-b21e-f3b66e584c90","Substrate-Specific Evolution of Amine Dehydrogenases for Accessing Structurally Diverse Enantiopure (R)-β-Amino Alcohols","Yin, Xinjian (Sun Yat-sen University); Gong, Wenzhong (Sun Yat-sen University); Zeng, Yujing (Sun Yat-sen University); Qiu, Hulin (Sun Yat-sen University); Liu, Lan (Sun Yat-sen University); Hollmann, F. (TU Delft BT/Biocatalysis); Chen, Bishuang (Sun Yat-sen University)","","2024","The biocatalytic oxidative deamination of β-amino alcohols holds significant practical potential in kinetic resolution and/or deracemization process to access (R)-β-amino alcohols. This study exemplifies a notable instance of acquisition and utilization of this valuable oxidative deamination activity. Initially, the mutation N261M (M0) was identified to endow a native valine dehydrogenase with oxidative deamination activity toward a few (S)-β-amino alcohols. Subsequently, a phylogenetic analysis-guided, double-code saturation mutagenesis strategy was proposed to engineer M0's side-chain binding site. This strategy facilitated the substrate-specific evolution of M0, resulting in the creation of a panel of mutants (M1-M4) with noteworthy oxidative deamination activity toward structurally diverse (S)-β-amino alcohols. Using these engineered amine dehydrogenases, termed as β-amino alcohol dehydrogenases (β-AADHs), the complete kinetic resolution and even deracemization of a range of β-amino alcohols have been achieved. This work reports distinct biocatalysts and a synthetic strategy for the synthesis of enantiopure (R)-β-amino alcohols and offers an innovative approach for substrate-specificity engineering of enzymes.","amine dehydrogenases; biocatalysis; enantiopure β-amino alcohols; oxidative deamination; protein engineering","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:e80d9d37-309b-414b-83fb-7f37a8516842","http://resolver.tudelft.nl/uuid:e80d9d37-309b-414b-83fb-7f37a8516842","Influences of Nanostructures of Sn and Ir for the Oxygen Evolution Reaction in Polymer Electrolyte Membrane Water Electrolysis","Bunea, S. (TU Delft ChemE/Catalysis Engineering); Li, M. (TU Delft ChemE/Catalysis Engineering); Demiröz, E. (TU Delft ChemE/Catalysis Engineering); Zeng, Peng (ETH Zürich); Willinger, Marc Georg (ETH Zürich); Urakawa, A. (TU Delft ChemE/Catalysis Engineering)","","2024","The influence of nanostructures and interaction of Sn and Ir in oxygen evolution catalysts in a polymer electrolyte membrane electrolyzer were investigated. For this aim, two synthesis methods, namely, the one-step solution combustion method and the precipitation-deposition method with sodium borohydride reduction, were evaluated to prepare distinct nanostructures. Sn addition to Ir-based oxygen evolution reaction catalysts has been reported to yield materials with higher activity; however, in our case, this was observed only for Sn/Ir catalysts prepared by the precipitation-deposition method. The nanolayer of Sn/SnO2 deposited over metallic Ir particles was identified to enhance the interfacial contacts, resulting in synergistic interactions. By deconvolution of the polarization curves into constituting contributions, the performance improvement was attributed to the higher exchange current density of the Sn/Ir powder as a consequence of a higher number of surface reaction sites created by the Sn-Ir interactions.","","en","journal article","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:6b4ae14c-fdd7-46a3-93c1-a51f4c981738","http://resolver.tudelft.nl/uuid:6b4ae14c-fdd7-46a3-93c1-a51f4c981738","FedViT: Federated continual learning of vision transformer at edge","Zuo, Xiaojiang (Beijing Institute of Technology); Luopan, Yaxin (Beijing Institute of Technology); Han, Rui (Beijing Institute of Technology); Zhang, Qinglong (Beijing Institute of Technology); Liu, Chi Harold (Beijing Institute of Technology); Wang, Guoren (Beijing Institute of Technology); Chen, Lydia Y. (TU Delft Data-Intensive Systems)","","2024","Deep Neural Networks (DNNs) have been ubiquitously adopted in internet of things and are becoming an integral part of our daily life. When tackling the evolving learning tasks in real world, such as classifying different types of objects, DNNs face the challenge to continually retrain themselves according to the tasks on different edge devices. Federated continual learning (FCL) is a promising technique that offers partial solutions but yet to overcome the following difficulties: the significant accuracy loss due to the limited on-device processing, the negative knowledge transfer caused by the limited communication of non-IID (non-Independent and Identically Distributed) data, and the limited scalability on the tasks and edge devices. Moreover, existing FCL techniques are designed for convolutional neural networks (CNNs), which have not utilized the full potential of newly emerged powerful vision transformers (ViTs). Considering ViTs depend heavily on training data diversity and volume, we hypothesize ViTs are well-suited for FCL where data arrives continually. In this paper, we propose FedViT, an accurate and scalable federated continual learning framework for ViT models, via a novel concept of signature task knowledge. FedViT is a client-side solution that continuously extracts and integrates the knowledge of signature tasks which are highly influenced by the current task. Each client of FedViT is composed of a knowledge extractor, a gradient restorer and, most importantly, a gradient integrator. Upon training for a new task, the gradient integrator ensures the prevention of catastrophic forgetting and mitigation of negative knowledge transfer by effectively combining signature tasks identified from the past local tasks and other clients’ current tasks through the global model. We implement FedViT in PyTorch and extensively evaluate it against state-of-the-art techniques using popular federated continual learning benchmarks. Extensive evaluation results on heterogeneous edge devices show that FedViT improves model accuracy by 88.61% without increasing model training time, reduces communication cost by 61.55%, and achieves more improvements under difficult scenarios such as large numbers of tasks or clients, and training different complex ViT models.","Catastrophic forgetting; Continual learning; Edge computing; Federated learning; Knowledge transfer negative; Vision transformer","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-22","","","Data-Intensive Systems","","",""
"uuid:9da7e0ad-e8ce-40ed-a915-32ae9749046d","http://resolver.tudelft.nl/uuid:9da7e0ad-e8ce-40ed-a915-32ae9749046d","Multibody dynamic modeling of the behavior of flexible instruments used in cervical cancer brachytherapy","Straathof, R. (TU Delft Medical Instruments & Bio-Inspired Technology; Erasmus MC); Meijaard, J.P. (TU Delft Mechatronic Systems Design); Perez, S.M. (TU Delft Medical Instruments & Bio-Inspired Technology; Erasmus MC); Kolkman-Deurloo, Inger Karine K. (Erasmus MC); Nout, Remi A. (Erasmus MC); Heijmen, Ben J.M. (Erasmus MC); Wauben, L.S.G.L. (TU Delft Medical Instruments & Bio-Inspired Technology); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); van de Berg, N.J. (TU Delft Medical Instruments & Bio-Inspired Technology; Erasmus MC)","","2024","Background: The steep radiation dose gradients in cervical cancer brachytherapy (BT) necessitate a thorough understanding of the behavior of afterloader source cables or needles in the curved channels of (patient-tailored) applicators. Purpose: The purpose of this study is to develop and validate computer models to simulate: (1) BT source positions, and (2) insertion forces of needles in curved applicator channels. The methodology presented can be used to improve the knowledge of instrument behavior in current applicators and aid the development of novel (3D-printed) BT applicators. Methods: For the computer models, BT instruments were discretized in finite elements. Simulations were performed in SPACAR by formulating nodal contact force and motion input models and specifying the instruments’ kinematic and dynamic properties. To evaluate the source cable model, simulated source paths in ring applicators were compared with manufacturer-measured source paths. The impact of discrepancies on the dosimetry was estimated for standard plans. To validate needle models, simulated needle insertion forces in curved channels with varying curvature, torsion, and clearance, were compared with force measurements in dedicated 3D-printed templates. Results: Comparison of simulated with manufacturer-measured source positions showed 0.5–1.2 mm median and <2.0 mm maximum differences, in all but one applicator geometry. The resulting maximum relative dose differences at the lateral surface and at 5 mm depth were 5.5% and 4.7%, respectively. Simulated insertion forces for BT needles in curved channels accurately resembled the forces experimentally obtained by including experimental uncertainties in the simulation. Conclusion: The models developed can accurately predict source positions and insertion forces in BT applicators. Insights from these models can aid novel applicator design with improved motion and force transmission of BT instruments, and contribute to the estimation of overall treatment precision. The methodology presented can be extended to study other applicator geometries, flexible instruments, and afterloading systems.","cervical cancer brachytherapy; finite element modeling of source motion; flexible instrument; multibody dynamics","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:c21148a4-4e92-40cf-b9eb-696a657ea4bd","http://resolver.tudelft.nl/uuid:c21148a4-4e92-40cf-b9eb-696a657ea4bd","Should we care about the level of detail in trees when running urban microscale simulations?","Fu, Runnan (Student TU Delft); Pađen, I. (TU Delft Urban Data Science); Garcia Sanchez, C. (TU Delft Urban Data Science)","","2024","Due to lack of information and long geometry generation times, tree geometries are usually oversimplified or even ignored in Computational Fluid Dynamic (CFD) simulations that predict wind and pollutant dispersion in urban areas. Nevertheless, trees are known to impact local wind patterns and air quality levels. Thus, in this paper we explore the effects that tree models automatically reconstructed at diverse Level of Detail (LoD) (1, 2 and 3) have in numerical wind predictions. We address this by comparing the non-dimensional velocity magnitude differences between simulations with multiple tree LoDs. To further understand these differences in changing environmental contexts we use three morphologies: an isolated tree, an idealized street, canyon, and a real urban geometry from Rotterdam, The Netherlands The numerical results show that the velocity magnitude differences between the cases with LoD1 tree models and those with LoD2 tree models can be over 1.0 m/s while the differences between LoD2 and LoD3 cases are rather limited, usually lower than 0.2 m/s. Consequently, through this study we highlight the importance of using tree models in LoD2 or LoD3 at least for CFD simulations of wind flows in urban areas. To further support this conclusion we also analyze the impact of changing wind directions and tree Leaf Area Density (LAD) values in the impact of tree LoDs on wind. The differences found in this work linked to the level of realism in your tree models can support future studies where researchers want to make an informed choice.","CFD; Level of detail; Tree model; Urban area; Wind flow","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:265ee0f9-203e-42b4-8e44-1c12b4195d45","http://resolver.tudelft.nl/uuid:265ee0f9-203e-42b4-8e44-1c12b4195d45","On the connection between uniqueness from samples and stability in Gabor phase retrieval","Alaifari, Rima (ETH Zürich); Bartolucci, F. (TU Delft Analysis); Steinerberger, Stefan (University of Washington); Wellershoff, Matthias (University of Maryland)","","2024","Gabor phase retrieval is the problem of reconstructing a signal from only the magnitudes of its Gabor transform. Previous findings suggest a possible link between unique solvability of the discrete problem (recovery from measurements on a lattice) and stability of the continuous problem (recovery from measurements on an open subset of R2). In this paper, we close this gap by proving that such a link cannot be made. More precisely, we establish the existence of functions which break uniqueness from samples without affecting stability of the continuous problem. Furthermore, we prove the novel result that counterexamples to unique recovery from samples are dense in L2(R) . Finally, we develop an intuitive argument on the connection between directions of instability in phase retrieval and certain Laplacian eigenfunctions associated to small eigenvalues.","Bargmann transform; Cheeger constant; Counterexamples; Gabor transform; Laplace eigenvalues; Phase retrieval; Poincaré inequality; Sampled Gabor phase retrieval","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:43eefb2e-2a2d-4a0d-8882-5bcd4e44e304","http://resolver.tudelft.nl/uuid:43eefb2e-2a2d-4a0d-8882-5bcd4e44e304","Evaluation and patient experience of wireless noninvasive fetal heart rate monitoring devices","Eenkhoorn, C. (Erasmus MC); Goos, T.G. (TU Delft Medical Instruments & Bio-Inspired Technology; Erasmus MC); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Franx, Arie (Erasmus MC); Eggink, Alex J. (Erasmus MC)","","2024","Introduction: In clinical practice, fetal heart rate monitoring is performed intermittently using Doppler ultrasound, typically for 30 minutes. In case of a non-reassuring heart rate pattern, monitoring is usually prolonged. Noninvasive fetal electrocardiography may be more suitable for prolonged monitoring due to improved patient comfort and signal quality. This study evaluates the performance and patient experience of four noninvasive electrocardiography devices to assess candidate devices for prolonged noninvasive fetal heart rate monitoring. Material and methods: Non-critically sick women with a singleton pregnancy from 24 weeks of gestation were eligible for inclusion. Fetal heart rate monitoring was performed during standard care with a Doppler ultrasound device (Philips Avalon-FM30) alone or with this Doppler ultrasound device simultaneously with one of four noninvasive electrocardiography devices (Nemo Fetal Monitoring System, Philips Avalon-Beltless, Demcon Dipha-16 and Dräger Infinity-M300). Performance was evaluated by: success rate, positive percent agreement, bias, 95% limits of agreement, regression line, root mean square error and visual agreement using FIGO guidelines. Patient experience was captured using a self-made questionnaire. Results: A total of 10 women were included per device. For fetal heart rate, Nemo performed best (success rate: 99.4%, positive percent agreement: 94.2%, root mean square error 5.1 BPM, bias: 0.5 BPM, 95% limits of agreement: −9.7 – 10.7 BPM, regression line: y = −0.1x + 11.1) and the cardiotocography tracings obtained simultaneously by Nemo and Avalon-FM30 received the same FIGO classification. Comparable results were found with the Avalon-Beltless from 36 weeks of gestation, whereas the Dipha-16 and Infinity-M300 performed significantly worse. The Avalon-Beltless, Nemo and Infinity-M300 closely matched the performance of the Avalon-FM30 for maternal heart rate, whereas the performance of the Dipha-16 deviated more. Patient experience scores were higher for the noninvasive electrocardiography devices. Conclusions: Both Nemo and Avalon-Beltless are suitable devices for (prolonged) noninvasive fetal heart rate monitoring, taking their intended use into account. But outside its intended use limit of 36 weeks’ gestation, the Avalon-Beltless performs less well, comparable to the Dipha-16 and Infinity-M300, making them currently unsuitable for (prolonged) noninvasive fetal heart rate monitoring. Noninvasive electrocardiography devices appear to be preferred due to greater comfort and mobility.","Doppler ultrasound; fetal heart rate monitoring; fetal monitoring; noninvasive fetal electrocardiography; prolonged fetal monitoring","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:9beab0e5-fd2d-4541-96ce-1a8cf29a85e7","http://resolver.tudelft.nl/uuid:9beab0e5-fd2d-4541-96ce-1a8cf29a85e7","The utilization of ghost reflections retrieved by seismic interferometry for layer-specific characterization of the shallow subsurface","Shirmohammadi, F. (TU Delft Applied Geophysics and Petrophysics); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics); Ghose, R. (TU Delft Applied Geophysics and Petrophysics)","","2024","Seismic interferometry (SI) retrieves the Green function between two receiver locations using their recordings from a boundary of sources. When using sources and receivers only at the surface, the virtual-source gathers retrieved by SI contain pseudo-physical reflections as well as ghost (non-physical) reflections. These ghost reflections are the results of the cross-correlation or auto-correlation (AC) of primary reflections from two different depth levels, and they contain information about the seismic properties of specific layers in the subsurface. We investigated the application of ghost reflections for layer-specific characterization of the shallow subsurface using SI by AC. First, we showed the technique's potential using synthetic data for a subsurface model with a lateral change in velocity, a gradient in depth for velocity, a thickness change and a velocity change of the target layer. Then, we applied the technique to shallow subsurface field data. We also focused on improving the retrieval of ghost reflections by removing the free-surface multiples and muting undesired events in active-source gathers before applying SI. Our results demonstrate that the ghost reflections can be used advantageously to characterize the layer that causes them to appear in the results of SI. Consequently, they can also provide valuable information for imaging and monitoring shallow subsurface structures.","imaging; reflection; S-wave; seismic; velocity","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:cf6a9d99-851c-4f5a-992b-cd5dc9cd7dfd","http://resolver.tudelft.nl/uuid:cf6a9d99-851c-4f5a-992b-cd5dc9cd7dfd","Magnetocaloric properties of Mn5(Si,P)B2 compounds for energy harvesting applications","Ojiyed, Hamutu (Student TU Delft); van den Berg, Maarten (Student TU Delft); Batashev, I. (TU Delft RST/Fundamental Aspects of Materials and Energy); Shen, Q. (TU Delft RST/Fundamental Aspects of Materials and Energy); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2024","The magnetocaloric properties of Mn5Si1-xPxB2 (0 ≤ x ≤ 1) compounds were studied for energy harvesting applications. The crystal structure and the magnetic structure were characterized by powder X-Ray Diffraction and powder Neutron Diffraction. The results indicate that these magnetocaloric materials crystallize in the tetragonal Cr5B3-type crystal structure. The introduction of P causes a stretching of the c axis and compression of the a-b plane, leading to a decrease in the unit-cell volume V. In the ferromagnetic state the magnetic moments align within the a-b plane, and the magnetic moment of the Mn1 atom on the 16 l site is larger than that of the Mn2 atom on the 4c site. The Curie temperature TC can be adjusted continuously from 305 K (x = 1) to 406 K (x = 0) by replacing Si with P. The corresponding magnetic entropy change varies from 1.90 Jkg−1K−1 (x = 0) to 1.35 Jkg−1K−1 (x = 1) for a magnetic field change of 1 T. The PM-FM transition in these compounds corresponds to a second-order phase transition. Mn5Si1-xPxB2 compounds exhibit a magnetization difference of 28.1 - 31.3 Am2kg−1 for a temperature span of 30 K around TC in an applied magnetic field of 1 T. The considerable change in magnetization, the tunable TC near and above room temperature and the absence of thermal hysteresis make these compounds promising candidates for magnetocaloric energy harvesting materials.","Magnetocaloric effect; Magnetocaloric energy harvesting; Magnetocaloric materials; Mn(Si,P)B compounds; Second-order phase transition","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:08a5c185-f949-4acc-843b-3e7af457d8a0","http://resolver.tudelft.nl/uuid:08a5c185-f949-4acc-843b-3e7af457d8a0","Uncertainty quantification of the wall thickness and stiffness in an idealized dissected aorta","Gheysen, Lise (Universiteit Gent); Maes, Lauranne (Katholieke Universiteit Leuven); Caenen, Annette (Universiteit Gent; Katholieke Universiteit Leuven); Segers, Patrick (Universiteit Gent); Peirlinck, M. (TU Delft Medical Instruments & Bio-Inspired Technology); Famaey, Nele (Katholieke Universiteit Leuven)","","2024","Personalized treatment informed by computational models has the potential to markedly improve the outcome for patients with a type B aortic dissection. However, existing computational models of dissected walls significantly simplify the characteristic false lumen, tears and/or material behavior. Moreover, the patient-specific wall thickness and stiffness cannot be accurately captured non-invasively in clinical practice, which inevitably leads to assumptions in these wall models. It is important to evaluate the impact of the corresponding uncertainty on the predicted wall deformations and stress, which are both key outcome indicators for treatment optimization. Therefore, a physiology-inspired finite element framework was proposed to model the wall deformation and stress of a type B aortic dissection at diastolic and systolic pressure. Based on this framework, 300 finite element analyses, sampled with a Latin hypercube, were performed to assess the global uncertainty, introduced by 4 uncertain wall thickness and stiffness input parameters, on 4 displacement and stress output parameters. The specific impact of each input parameter was estimated using Gaussian process regression, as surrogate model of the finite element framework, and a δ moment-independent analysis. The global uncertainty analysis indicated minor differences between the uncertainty at diastolic and systolic pressure. For all output parameters, the 4th quartile contained the major fraction of the uncertainty. The parameter-specific uncertainty analysis elucidated that the material stiffness and relative thickness of the dissected membrane were the respective main determinants of the wall deformation and stress. The uncertainty analysis provides insight into the effect of uncertain wall thickness and stiffness parameters on the predicted deformation and stress. Moreover, it emphasizes the need for probabilistic rather than deterministic predictions for clinical decision making in aortic dissections.","Aortic dissection; Finite element analysis; Gaussian process regression; Uncertainty quantification; Vascular mechanics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-03","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:b3fcb62f-10be-403c-81a5-d93fcb2c9394","http://resolver.tudelft.nl/uuid:b3fcb62f-10be-403c-81a5-d93fcb2c9394","A multi-objective optimization and multi-attribute decision-making analysis for technical-thermodynamic-economic evaluation considering the rock damage on production performance of hot dry rock geothermal resources","Xu, Fuqiang (China University of Petroleum - Beijing); Song, Xianzhi (China University of Petroleum - Beijing); Li, Shuang (China University of Petroleum - Beijing); Shi, Yu (Southwest Jiaotong University); Song, G. (TU Delft Applied Geology); Lv, Zehao (Petrochina Research Institute of Petroleum Exploration and Development); Yi, Junlin (China University of Petroleum - Beijing)","","2024","In the long-term mining of geothermal resources in hot dry rock (HDR), the change of thermal stress and pore pressure will increase fracture conductivity evolution, further improving production performance. The optimization and decision-making of the development scheme based on the impact of damage from fractures have yet to be reported. The damage to fractures is essential in designing and adjusting geothermal resource development schemes, particularly in selecting optimal schemes. Therefore, the production performances of HDR resources under different parameters are analyzed to establish a database. Then, minimizing flow resistance, maximizing net power, and maximizing economic benefits are set as optimization goals. Various injection-mining parameters and fracture characteristics are treated as decision variables. Multi-objective optimization and multi-attribute decision analysis is conducted to obtain optimal schemes. Finally, optimal schemes are evaluated and compared, considering damage and non-damage scenarios. Results show that the NSGA-II algorithm is more suitable for optimizing geothermal development questions. Net power and economic benefits of the optimal scheme considering damage increase by 45.84 % and 21.35 % compared to the control scheme with damage. For the non-damage scenario, the above values increased by 31.55 % and 5.15 %, respectively. Compared to not considering the damage, higher mass flow and well spacing of optimal scheme can be selected for the case when damaged. Moreover, the parametric design of the optimal scheme becomes more conservative as the production cycle increases.","Damage; Decision-making; Hot dry rocks; Multiple indicators; Optimization; Production performance","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-06","","","Applied Geology","","",""
"uuid:7e81ae4d-e29e-4082-a812-287c01562824","http://resolver.tudelft.nl/uuid:7e81ae4d-e29e-4082-a812-287c01562824","snRNA-seq analysis in multinucleated myogenic FSHD cells identifies heterogeneous FSHD transcriptome signatures associated with embryonic-like program activation and oxidative stress-induced apoptosis","Zheng, Dongxu (Leiden University Medical Center); Wondergem, Annelot (Leiden University Medical Center); Kloet, Susan (Leiden University Medical Center); Willemsen, Iris (Leiden University Medical Center); Balog, Judit (Leiden University Medical Center); Tapscott, Stephen J. (Fred Hutchinson Cancer Research Center); Mahfouz, A.M.E.T.A. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Van Den Heuvel, Anita (Leiden University Medical Center); Van Der Maarel, Silvère M. (Leiden University Medical Center)","","2024","The sporadic nature of DUX4 expression in FSHD muscle challenges comparative transcriptome analyses between FSHD and control samples. A variety of DUX4 and FSHD-associated transcriptional changes have been identified, but bulk RNA-seq strategies prohibit comprehensive analysis of their spatiotemporal relation, interdependence and role in the disease process. In this study, we used single-nucleus RNA-sequencing of nuclei isolated from patient- and control-derived multinucleated primary myotubes to investigate the cellular heterogeneity in FSHD. Taking advantage of the increased resolution in snRNA-sequencing of fully differentiated myotubes, two distinct populations of DUX4-affected nuclei could be defined by their transcriptional profiles. Our data provides insights into the differences between these two populations and suggests heterogeneity in two well-known FSHD-associated transcriptional aberrations: increased oxidative stress and inhibition of myogenic differentiation. Additionally, we provide evidence that DUX4-affected nuclei share transcriptome features with early embryonic cells beyond the well-described cleavage stage, progressing into the 8-cell and blastocyst stages. Altogether, our data suggests that the FSHD transcriptional profile is defined by a mixture of individual and sometimes mutually exclusive DUX4-induced responses and cellular state-dependent downstream effects.","cellular heterogeneity; DUX4; FSHD; muscular dystrophy; single-nucleus RNA-sequencing","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:4baa11ba-4ad6-45e9-90e3-72999baab954","http://resolver.tudelft.nl/uuid:4baa11ba-4ad6-45e9-90e3-72999baab954","Are civilizations destined to collapse?: Lessons from the Mediterranean Bronze Age","Linkov, Igor (U.S. Army Engineer Research and Development Center); Galaitsi, S. E. (U.S. Army Engineer Research and Development Center); Trump, Benjamin D. (U.S. Army Engineer Research and Development Center); Pinigina, Elizaveta (U.S. Army Engineer Research and Development Center); Rand, Krista (U.S. Army Engineer Research and Development Center); Cline, Eric H. (The George Washington University); Kitsak, M.A. (TU Delft Network Architectures and Services)","","2024","As the world faces multiple crises, lessons from humanity's past can potentially suggest ways to decrease disruptions and increase societal resilience. From 1200 to 1100 BCE, several advanced societies in the Eastern Mediterranean suffered dramatic collapse. Though the causes of the Late Bronze Age Collapse are still debated, contributing factors may include a “perfect storm” of multiple stressors: social and economic upheaval, earthquake clusters, climate change, and others. We examined how collapse might have propagated through the societies’ connections by modeling the Eastern Mediterranean Late Bronze Age trade and socio-political networks. Our model shows that the Late Bronze Age societies made a robust network, where any single node's collapse was insufficient to catalyze the regional collapse that historically transpired. However, modeled scenarios indicate that some paired node disruptions could cause cascading failure within the network. Subsequently, a holistic understanding of the region's network incentive structures and feedback loops can help societies anticipate compounding risk conditions that might lead to widespread collapse and allow them to take appropriate actions to mitigate or adapt societal dependencies. Such network analyses may be able to provide insight as to how we can prevent a collapse of socio-political, economic and trade networks similar to what occurred at the end of the Late Bronze Age. Though such data-intensive analytics were unavailable to these Bronze Age regions, modern society may be able to leverage historical lessons in order to foster improved robustness and resilience to compounding threats. Our work shows that civilization collapses are preventable; we are not necessarily destined to collapse.","Bronze age; Geopolitical conflicts; Networks; Resilience; Risk; Societal collapse","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:eff7b1c1-c51b-4034-b835-a3e17354408e","http://resolver.tudelft.nl/uuid:eff7b1c1-c51b-4034-b835-a3e17354408e","Understanding the role of aliovalent cation substitution on the li-ion diffusion mechanism in Li6+xP1−xSixS5Br argyrodites","Schwietert, T.K. (TU Delft RST/Storage of Electrochemical Energy); Gautam, A. (TU Delft RST/Storage of Electrochemical Energy); Lavrinenko, A.K. (TU Delft RST/Storage of Electrochemical Energy); Drost, David (Student TU Delft); Famprikis, T. (TU Delft RST/Storage of Electrochemical Energy); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy); Vasileiadis, A. (TU Delft RST/Storage of Electrochemical Energy)","","2024","Due to their high ionic conductivity, lithium-ion conducting argyrodites show promise as solid electrolytes for solid-state batteries. Aliovalent substitution is an effective technique to enhance the transport properties of Li6PS5Br, where aliovalent Si substitution triples ionic conductivity. However, the origin of this experimentally observed increase is not fully understood. Our density functional theory (DFT) study reveals that Si4+ substitution increases Li diffusion by activating Li occupancy in the T4 sites. Redistribution of Li-ions within the lattice results in a more uniform distribution of Li around the T4 and neighboring T5 sites, flattening the energy landscape for diffusion. Since the T4 site is positioned in the intercage jump pathway, an increase in the intercage jump rate is found, which is directly related to the macroscopic diffusion and bulk conductivity. Analysis of neutron diffraction experiments confirms partial T4 site occupancy, in agreement with the computational findings. Understanding the aliovalent substitution effect on interstitials is crucial for improving solid electrolyte ionic conductivity and advancing solid-state battery performance.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:d79e9540-06ff-4eb6-a567-fde2b8d7991e","http://resolver.tudelft.nl/uuid:d79e9540-06ff-4eb6-a567-fde2b8d7991e","A novel experimental method to determine substrate uptake kinetics of gaseous substrates applied to the carbon monoxide-fermenting Clostridium autoethanogenum","Allaart, M.T. (TU Delft BT/Environmental Biotechnology); Korkontzelos, Charilaos (Student TU Delft); Sousa, Diana Z. (Wageningen University & Research); Kleerebezem, R. (TU Delft BT/Environmental Biotechnology)","","2024","Syngas fermentation has gained momentum over the last decades. The cost-efficient design of industrial-scale bioprocesses is highly dependent on quantitative microbial growth data. Kinetic and stoichiometric models for syngas-converting microbes exist, but accurate experimental validation of the derived parameters is lacking. Here, we describe a novel experimental approach for measuring substrate uptake kinetics of gas-fermenting microbes using the model microorganism Clostridium autoethanogenum. One-hour disturbances of a steady-state chemostat bioreactor with increased CO partial pressures (up to 1.2 bar) allowed for measurement of biomass-specific CO uptake- and CO2 production rates ((Formula presented.), (Formula presented.)) using off-gas analysis. At a pCO of 1.2 bar, a (Formula presented.) of −119 ± 1 mmol g−1X h−1 was measured. This value is 1.8–3.5-fold higher than previously reported experimental and kinetic modeling results for syngas fermenters. Analysis of the catabolic flux distribution reveals a metabolic shift towards ethanol production at the expense of acetate at pCO (Formula presented.) 0.6 atm, likely to be mediated by acetate availability and cellular redox state. We characterized this metabolic shift as acetogenic overflow metabolism. These results provide key mechanistic understanding of the factors steering the product spectrum of CO fermentation in C. autoethanogenum and emphasize the importance of dedicated experimental validation of kinetic parameters.","acetogen; chemostat; metabolic shift; overflow metabolism; pulse feeding; syngas","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:f6a54572-b462-4df9-8a57-5a4645ad5b3c","http://resolver.tudelft.nl/uuid:f6a54572-b462-4df9-8a57-5a4645ad5b3c","Vanadium-Containing Chloroperoxidase-Catalyzed Versatile Valorization of Phenols and Phenolic Acids","Li, Huanhuan (Xi’an Jiaotong University); Duan, Peigao (Xi’an Jiaotong University); Huang, Yawen (Chinese Academy of Sciences); Cui, Chengsen (Chinese Academy of Sciences); Hollmann, F. (TU Delft BT/Biocatalysis); Ma, Yunjian (South China University of Technology); Wang, Yonghua (South China University of Technology); Zhang, Jie (Chinese Academy of Sciences); Liu, Weidong (Chinese Academy of Sciences); Zhang, Wuyuan (Chinese Academy of Sciences)","","2024","The downstream product transformation of lignin depolymerization is of great interest in the production of high-value aromatic chemicals. However, this transformation is often impeded by chemical oxidation under harsh reaction conditions. In this study, we demonstrate that hypohalites generated in situ by the vanadium-containing chloroperoxidase from Curvularia inaequalis (CiVCPO) can halogenate various electron-rich and electron-poor phenol and phenolic acid substrates. Specifically, CiVCPO enabled decarboxylative halogenation, deformylative halogenation, halogenation, and direct oxidation reactions. The versatile transformation routes for the valorization of phenolic compounds showed up to 99% conversion and 99% selectivity, with a turnover number of 60,700 and a turnover frequency of 60 s-1 for CiVCPO. This study potentially expands the biocatalytic toolbox for lignin valorization.","biocatalysis; decarboxylation; halogenation; lignin valorization; vanadium-containing chloroperoxidase","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-18","","","BT/Biocatalysis","","",""
"uuid:30cc5e36-4388-4cd5-b158-cb8eb0927aba","http://resolver.tudelft.nl/uuid:30cc5e36-4388-4cd5-b158-cb8eb0927aba","Paving the road towards automated homogeneous catalyst design","Kalikadien, A.V. (TU Delft ChemE/Inorganic Systems Engineering); Mirza, Adrian (Student TU Delft); Hossaini, Aydin Najl (Student TU Delft); Sreenithya, Avadakkam (Student TU Delft); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering)","","2024","In the past decade, computational tools have become integral to catalyst design. They continue to offer significant support to experimental organic synthesis and catalysis researchers aiming for optimal reaction outcomes. More recently, data-driven approaches utilizing machine learning have garnered considerable attention for their expansive capabilities. This Perspective provides an overview of diverse initiatives in the realm of computational catalyst design and introduces our automated tools tailored for high-throughput in silico exploration of the chemical space. While valuable insights are gained through methods for high-throughput in silico exploration and analysis of chemical space, their degree of automation and modularity are key. We argue that the integration of data-driven, automated and modular workflows is key to enhancing homogeneous catalyst design on an unprecedented scale, contributing to the advancement of catalysis research.","automation; catalysis; cheminformatics; machine learning; quantum chemistry","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:48f00b43-2f12-4a5e-b657-f4f59289eda9","http://resolver.tudelft.nl/uuid:48f00b43-2f12-4a5e-b657-f4f59289eda9","Neural inverse procedural modeling of knitting yarns from images","Trunz, Elena (Universität Bonn); Klein, Jonathan (Universität Bonn; King Abdullah University of Science and Technology); Müller, Jan (Universität Bonn); Bode, Lukas (Universität Bonn); Sarlette, Ralf (Universität Bonn); Weinmann, M. (TU Delft Computer Graphics and Visualisation); Klein, Reinhard (Universität Bonn)","","2024","We investigate the capabilities of neural inverse procedural modeling to infer high-quality procedural yarn models with fiber-level details from single images of depicted yarn samples. While directly inferring all parameters of the underlying yarn model based on a single neural network may seem an intuitive choice, we show that the complexity of yarn structures in terms of twisting and migration characteristics of the involved fibers can be better encountered in terms of ensembles of networks that focus on individual characteristics. We analyze the effect of different loss functions including a parameter loss to penalize the deviation of inferred parameters to ground truth annotations, a reconstruction loss to enforce similar statistics of the image generated for the estimated parameters in comparison to training images as well as an additional regularization term to explicitly penalize deviations between latent codes of synthetic images and the average latent code of real images in the encoder's latent space. We demonstrate that the combination of a carefully designed parametric, procedural yarn model with respective network ensembles as well as loss functions even allows robust parameter inference when solely trained on synthetic data. Since our approach relies on the availability of a yarn database with parameter annotations and we are not aware of such a respectively available dataset, we additionally provide, to the best of our knowledge, the first dataset of yarn images with annotations regarding the respective yarn parameters. For this purpose, we use a novel yarn generator that improves the realism of the produced results over previous approaches.","Inverse procedural modeling; Model fitting; Neural networks; Yarn modeling","en","journal article","","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:7664983e-1c4f-4488-ae8b-38cc4e019108","http://resolver.tudelft.nl/uuid:7664983e-1c4f-4488-ae8b-38cc4e019108","The role of water-treated municipal solid waste incineration (MSWI) bottom ash in microstructure formation and strength development of blended cement pastes","Chen, B. (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment; Universiteit Gent)","","2024","This research explored the microstructure formation and strength development of blended cement pastes prepared with municipal solid waste incineration (MSWI) bottom ash. A new sample preparation approach involving water treatment of MSWI bottom ash was developed to prevent sample cracking caused by the presence of metallic aluminum (Al) in bottom ash. The result showed that ions released during water treatment of MSWI bottom ash delayed cement hydration but promoted ettringite formation in blended cement pastes during the first day. Due to water treatment, the compressive strength of MSWI bottom ash blended cement paste increased to a level similar to that of Class F coal fly blended cement paste after 28 days. Blending water-treated MSWI bottom ash (WMBA) with cement promoted clinker hydration at later stages. The reaction products of WMBA in blended cement system were C-S-H gel and sodicgedrite, which contributed to strength development by filling the capillary pores.","Blended cement; Hydration; Municipal solid waste incineration bottom ash; Supplementary cementitious materials; Water treatment","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:093875bc-da1d-4727-8798-2c3740cb2628","http://resolver.tudelft.nl/uuid:093875bc-da1d-4727-8798-2c3740cb2628","Contactless control of suspended loads for offshore installations: Proof of concept using magnetic interaction","Atzampou, P. (TU Delft Dynamics of Structures); Meijers, P.C. (TU Delft Hydraulic Engineering); Tsouvalas, A. (TU Delft Dynamics of Structures; TU Delft Offshore Engineering); Metrikine, A. (TU Delft Hydraulic Engineering; TU Delft Engineering Structures)","","2024","Current offshore wind turbine installation and positioning methods require mechanical equipment attached on the lifted components and human intervention. The present paper studies the development of a contactless motion compensation technique by investigating a magnetically controlled pendulum. The technique involves the interaction of a magnetic pendulum with an electromagnetic actuator. Two control modes are considered: the imposition of a desired motion to the mass and the motion attenuation of a prescribed pivot excitation. The numerical model is validated and calibrated against experiments and demonstrates excellent predictive capabilities. The control exerted is effective for a broad range of excitation frequencies and amplitudes. Important parameters associated with the performance of the technique such as the separation distance of the magnets and the saturation of the controller are identified. The controllability regions for effective control depending on the characteristics of the excitation are derived. The force amplitude of the contactless actuator is comparable to currently-used active tugger line control systems, but with the additional advantage of both attractive and repulsive forces. The findings of this paper illuminate the path for the further development of a non-contact control technique which has the potential to increase the efficiency of offshore wind installations.","Active vibration control; Contactless control; Magnetic pendulum; Motion manipulation; Offshore wind installation; PID control","en","journal article","","","","","","","","","","Hydraulic Engineering","Dynamics of Structures","","",""
"uuid:b1f93931-ae59-4584-8a5f-25a0a560241f","http://resolver.tudelft.nl/uuid:b1f93931-ae59-4584-8a5f-25a0a560241f","Experimental investigation and thermodynamic assessment of the BaCl2–CeCl3 system","Alders, D.C. (TU Delft RST/Reactor Physics and Nuclear Materials); Vlieland, J. (TU Delft RST/Technici Pool); Thijs, M.A. (TU Delft RID/TS/Technici Pool); Konings, R. (TU Delft RST/Reactor Physics and Nuclear Materials); Smith, A.L. (TU Delft RST/Reactor Physics and Nuclear Materials)","","2024","The thermodynamic and thermo-physical properties of the molten salt system [Formula presented] have been investigated using an experimental and modelling approach. This molten salt system includes a single intermediate compound [Formula presented], whose structure has been investigated using X-ray and neutron diffraction. Furthermore, this system exhibits solubility of [Formula presented] in [Formula presented] at high temperatures up to a concentration of around 25% [Formula presented] at 1060 K. Additionally, our measurements show solubility of [Formula presented] in [Formula presented] up to about 5% [Formula presented] at 973 K. The investigation of these solid solutions has been performed using quenching experiments and subsequent post-characterisation by X-ray diffraction (XRD). Phase diagram equilibria have also been investigated using differential scanning calorimetry (DSC). Using the aforementioned information on phase transitions, intermediate compound formation, and mutual solid solubility, a thermodynamic assessment of the system has been performed using the CALPHAD method. The model for the Gibbs energy of the liquid solution is the quasi-chemical formalism in the quadruplet approximation, while the model for the Gibbs energy of the solid solutions is a two-sublattice polynomial model.","Barium chloride; CALPHAD; Cerium chloride; Chloride salts; Molten salts","en","journal article","","","","","","","","","","","RST/Reactor Physics and Nuclear Materials","","",""
"uuid:5800bca7-69b7-4c26-bde5-414940de6ff3","http://resolver.tudelft.nl/uuid:5800bca7-69b7-4c26-bde5-414940de6ff3","Automatic patient-ventilator asynchrony detection framework using objective asynchrony definitions","van de Kamp, Lars (Eindhoven University of Technology; Demcon Life Sciences and Health); Reinders, Joey (Demcon Life Sciences and Health); Hunnekens, Bram (Demcon Life Sciences and Health); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology); van de Wouw, Nathan (Eindhoven University of Technology)","","2024","Patient-ventilator asynchrony is one of the largest challenges in mechanical ventilation and is associated with prolonged ICU stay and increased mortality. The aim of this paper is to automatically detect and classify the different types of patient-ventilator asynchronies during a patient's breath using the typically available data on commercially available ventilators. This is achieved by a detection and classification framework using an objective definition of asynchrony and a supervised learning approach. The achieved detection performance of the near-real time framework on a clinical dataset is a significant improvement over current clinical practice, therewith and, this framework has the potential to significantly improve the patient comfort and treatment outcomes.","Classification; Detection; Mechanical ventilation; Patient-ventilator asynchrony; Recurrent neural networks; Supervised learning","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:4ed7a015-d243-48a5-aada-4087f58f473f","http://resolver.tudelft.nl/uuid:4ed7a015-d243-48a5-aada-4087f58f473f","Impact of oxygen transfer dynamics on the performance of an aerobic granular sludge reactor","Strubbe, Laurence (Universiteit Gent); van Dijk, E.J.H. (TU Delft BT/Environmental Biotechnology; Royal HaskoningDHV); Carrera, Paula (Universiteit Gent); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Volcke, Eveline I.P. (Universiteit Gent)","","2024","The aerobic granular sludge (AGS) process treats wastewater with a significantly lower footprint and energy consumption compared to conventional activated sludge systems. Nevertheless, there is still potential for optimizing its performance, and mathematical models are most valuable tools to this end. Aeration energy consumption deserves particular attention, as it is the largest remaining operating cost for AGS systems. Batch-wisely operated reactors show an increasing oxygen transfer efficiency during aeration, which translates into a dynamic alpha factor. However, the dynamic nature of alpha is neglected in current models. The impact of this simplification on the operating performance was addressed for the first time in this study. Through the development of a novel 1-D biofilm reactor model, calibrated to a full-scale AGS plant, it was shown that the alpha dynamics affect both model structure and calibration, as well as the process performance. The description of the dynamic nature of alpha through the empirical relationship with the soluble biodegradable organic carbon required the addition of the state variable representing soluble slowly biodegradable organic carbon (SCB) to the biokinetic ASM2d model. Simulation results showed that alpha dynamics significantly influences simultaneous nitrification and denitrification and therefore need to be included in mathematical models to optimize AGS process performance. Different process variables such as volume exchange ratio, aeration capacity and granule size can be manipulated to improve reactor design and performance. The practical application of these new insights were discussed regarding the optimization of AGS systems, as well as other batch-wisely operated aerobic wastewater treatment systems.","aerobic granular sludge (AGS); Alpha factor; Modelling and simulation; Oxygen transfer efficiency; Simultaneous nitrification denitrification; Wastewater treatment","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-15","","","BT/Environmental Biotechnology","","",""
"uuid:188f5611-d9ec-44f6-9b08-e847f8e351ae","http://resolver.tudelft.nl/uuid:188f5611-d9ec-44f6-9b08-e847f8e351ae","Economy-wide impacts of socio-politically driven net-zero energy systems in europe","Mayer, Jakob (Karl-Franzens-Universitat Graz); Süsser, Diana (Institute for Advanced Sustainability Studies; Institute for European Energy and Climate Policy); Pickering, Bryn (ETH Zürich); Bachner, Gabriel (Karl-Franzens-Universitat Graz); Sanvito, F.D. (TU Delft Energie and Industrie)","","2024","Net-zero energy system configurations can be met in numerous ways, implying diverse economic effects. However, what is usually ignored in techno-economic and economy-wide analysis are the distinct social-political drivers and barriers, which might constrain certain elements of future energy systems. We thus apply a model ensemble that defines social-political storylines which constrain feasible net-zero configurations of the European energy system. Using these configurations in a macroeconomic general equilibrium model allows us to explore economy-wide effects and ultimately the cost-effectiveness of different systems. We find that social-political storylines provide valuable boundary conditions for feasible net-zero designs of the energy system and that the costliest energy sector configuration in fact leads to the highest European-wide welfare levels. This result originates in indirect effects, particularly positive employment effects, covered by the macroeconomic model. However, adverse public budget effects on the transition to net-zero energy may limit the willingness of policymakers who focus on shorter time-horizons to foster such a development. Our results highlight the relevance of considering the interaction of energy system-changes with labor, emission allowance and capital markets, as well as considering long-term perspectives.","Climate change mitigation; Computable general equilibrium; Cost-effectiveness; Energy system design; Social-political storylines","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:d2c306d4-fdf4-49c5-ac54-b09b7d3796c9","http://resolver.tudelft.nl/uuid:d2c306d4-fdf4-49c5-ac54-b09b7d3796c9","Transfer learning-based methodologies for Dynamic Thermal Rating of transmission lines","Paldino, Gian Marco (Vrije Universiteit Brussel); De Caro, Fabrizio (Vrije Universiteit Brussel; Università degli Studi del Sannio); De Stefani, J. (TU Delft Information and Communication Technology; Vrije Universiteit Brussel); Vaccaro, Alfredo (Università degli Studi del Sannio); Bontempi, Gianluca (Vrije Universiteit Brussel)","","2024","Dynamic Thermal Rating (DTR) enhances grid flexibility by adapting line capabilities to weather conditions. For this purpose, DTR-based technologies require reliable and continuous measurement of the conductor temperature along the line route, which could hinder their wide-scale deployment due to the prohibitively high number of required sensors. Existing machine learning-based DTR methods infer conductor temperature from weather variables avoiding using complex and expensive measurement techniques, but their estimation accuracy greatly relies on the availability of a comprehensive set of measured data. To face these issues, this paper proposes the usage of transfer learning, a data-driven technique allowing the reduction of the number of sensors by transferring knowledge from a single calibrated source sensor to many target sensors. To the best of the author's knowledge, at the time of writing, the proposed approach is the first application of Transfer Learning in the domain of DTR which is validated on real transmission lines data. Experimental results from several real transmission lines equipped with self-organizing sensors-based DTR architecture show that transfer learning enhances the conductor temperature estimation reliability and accuracy of machine learning-based DTR techniques, suggesting the potential for practical applications, and reducing costs without losing accuracy for practitioners and system operators.","Dynamic Thermal Rating; Power system operation and control; Temperature estimation; Transfer learning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-03","","","Information and Communication Technology","","",""
"uuid:e56707db-7f4f-4000-826d-81d3da05caa9","http://resolver.tudelft.nl/uuid:e56707db-7f4f-4000-826d-81d3da05caa9","School participation of autistic youths: The influence of youth, family and school factors","Li, B. (TU Delft Design Conceptualization and Communication; Universiteit Leiden); Heyne, David (Deakin University); Scheeren, Anke (Vrije Universiteit Amsterdam); Blijd-Hoogewys, Els (INTER-PSY; Rijksuniversiteit Groningen); Rieffe, Carolien (Universiteit Leiden; University of Twente; University College London (UCL))","","2024","Many autistic youths experience restricted school participation. The present study investigated the influences of youth, family and school factors on autistic youths’ school participation. Parents of 200 Dutch autistic youths (age range: 4–16 years, Mage = 12.23 years, SDage = 2.93 years) filled in questionnaires in a national survey for autistic individuals, reporting the school participation, age and autistic traits of their child, parents’ education level and self-efficacy for supporting their child’s schoolwork, and the impact of problems their child experienced with the physical and social environments of the school. Multivariate linear regression analysis using imputed data revealed that among the six predictor variables, only the impact of problems autistic youths experienced with the physical environment of school was negatively associated with their school participation. This study provided support for the essential role of the school environment in predicting autistic youths’ school participation, indicating that problematic aspects in the school environment could have a greater impact on autistic youths’ school participation than youth factors or family factors. This highlights the need to create a more accommodating environment at school, where autistic youths can participate easily and comfortably. Lay abstract: School-aged youths have a basic human right to participate in educational and recreational activities at school. Yet, autistic youths are at high risk of being excluded from school and from school-based activities. It is important to understand how this occurs, to ensure that all autistic youths have opportunities to participate in school activities that are equal to the opportunities of their non-autistic peers. The present study investigated multiple influences on the school participation of autistic youths, including youth factors (age and autistic traits), family factors (parent education level and parental self-efficacy for supporting their child’s schoolwork) and school factors (the impact of problems autistic youths experienced with the physical and social environments of school). Using an online survey, we gathered the views and experiences of the parents of 200 autistic youths aged between 4 and 16 years, in the Netherlands. We found that among the factors, only the impact of problems that autistic youths experienced with the physical environment of school was associated with their school participation. In particular, autistic youths who experienced greater difficulties with the physical environment of school had lower levels of school participation. Our findings highlight the pressing need to modify school environments to better accommodate the needs of autistic youths so that they can participate easily and comfortably.","autistic traits; autistic youth; physical environment; school participation; social environment","en","journal article","","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:828b2425-e579-4ebd-b83a-b283f2d2342a","http://resolver.tudelft.nl/uuid:828b2425-e579-4ebd-b83a-b283f2d2342a","Wind-solar technological, spatial and temporal complementarities in Europe: A portfolio approach","López Prol, Javier (Yonsei University); de Llano Paz, Fernando (Universidade da Coruña); Calvo-Silvosa, Anxo (Universidade da Coruña); Pfenninger, Stefan (TU Delft Energie and Industrie); Staffell, Iain (Imperial College London)","","2024","Climate change and geopolitical risks call for the rapid transformation of electricity systems worldwide, with Europe at the forefront. Wind and solar are the lowest cost, lowest risk, and cleanest energy sources, but their variability poses integration challenges. Combining both technologies and integrating regions with dissimilar generation patterns optimizes the trade-off between maximizing energy output and minimizing its variability, which respectively give the lowest levelized cost and lowest integration cost. We apply the Markowitz mean-variance framework to a rich multi-decade dataset of wind and solar productivity to quantify the potential benefits of spatially integration of renewables across European countries at hourly, daily and monthly timescales. We find that optimal cross-country coordination of wind and solar capacities across Europe's integrated electricity system increases capacity factor by 22% while reducing hourly variability by 26%. We show limited benefits to solar integration due to consistent output profiles across Europe. Greater wind integration yields larger benefits due to the diversity of regional weather patterns. This framework shows the importance of considering renewable projects not in isolation, but as interconnected parts of a pan-continental system. Our results can guide policymakers towards strategic energy plans that reduce system-wide costs of renewable electricity, accelerating the clean energy transition.","Decarbonization; Energy transition; Integration costs; Integration of electricity markets; Inttermitency; LCOE; Solar; Variability; Variable renewable energy; Wind","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:f1e04a82-8256-4a32-8f73-2a2033bf6661","http://resolver.tudelft.nl/uuid:f1e04a82-8256-4a32-8f73-2a2033bf6661","Contested port cities: Logistical frictions and civic mobilization in Genoa and Venice","Savoldi, F. (TU Delft History, Form & Aesthetics)","","2024","This article examines the increasingly conflictual relationship between ports and their surrounding communities at a time of wide-reaching infrastructural expansion. It highlights how the centralization of power and logistical gigantism produce deterritorializing frictions, decoupling inhabitants from their territories and creating the conditions for social contestation. It calls for a rethinking of the role of communities in contemporary port-city governance, with an emphasis on imaginaries of re-territorialization produced through social mobilization. I frame the increasing contestation in port cities through a critical approach to logistics, arguing that citizen engagement holds the potential to drastically readdress the port-city relationship. It examines the cases of Genoa and Venice using ethnographic methods and reconstructs a historically in-depth counter-narrative of interactions between port, city and citizen. I contextualize specific frictions between port and city through the rise in social mobilizations. The article shows how social mobilization challenges the status quo in different ways, producing changes and illuminating pathways toward more sustainable forms of coexistence between ports and cities.","contestation; counter-logistics; frictions; port cities; social mobilisation","en","journal article","","","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:2b447c46-3922-4fd3-9ead-d960025e3fce","http://resolver.tudelft.nl/uuid:2b447c46-3922-4fd3-9ead-d960025e3fce","Experimental study on workability and permeability of sandy soils conditioned with thickened foam","Feng, Zhiyao (Central South University China); Wang, Shuying (Central South University China); Qu, Tongming (The Hong Kong University of Science and Technology); Zheng, X. (TU Delft Geo-engineering; Central South University China); Ling, Fanlin (Central South University China)","","2024","Water spewing and muck plugging often occur during earth pressure balance (EPB) shield machines tunnelling in water-rich sandy strata, even though the conventional foam has been employed to condition sandy soils. In this study, a novel thickened foaming agent suitable for EPB shield tunnelling in water-rich sandy strata is developed. In contrast to conventional foam-conditioned sands, the thickened foam-conditioned sand has a low permeability due to the consistent filling of soil pores with the thickened foam, and the initial permeability coefficient decreases by approximately two orders of magnitude. It also exhibits a suitable workability, which is attributed to the enhanced capability of the thickened foam to condition sandy soils. In addition, the effect of concentration on the stability of the foam is explained by the Gibbs-Marangoni effect, and conditioning mechanisms for the thickened foam on sands are discussed from the evolution of foam bubbles.","Earth pressure balance (EPB) shield; Foam-conditioned sand; Permeability; Thickened foam; Workability","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:d9e88bd8-9212-4f52-a622-c1067662484c","http://resolver.tudelft.nl/uuid:d9e88bd8-9212-4f52-a622-c1067662484c","Studying the Pedestrian Level-of-Service (PLoS): Lessons regarding the combination of survey and monitoring data","Duives, D.C. (TU Delft Transport and Planning); Ton, Danique (NS Stations)","","2024","Crowding is often analyzed using crowd dynamics variables. Yet, it is questionable whether quantitative variables fully describe the perception of crowdedness. This paper presents four case studies into the Pedestrian Level-of-Service (PLoS), featuring a 1) mass event, 2) shopping environment, 3) festival, and 4) touristic hotspot. The relation between the PLoS and the crowds' movement dynamics is studied using a combination of survey and monitoring data. This study establishes that the perception of LoS is partly related to the crowds' dynamics, and that the combination of in-situ surveys and monitoring data provides more comprehensive insights w.r.t. pedestrians' perceptions of space.","Crowd dynamics; Crowd management; Crowd monitoring; Pedestrian Level-of-Service; Safety; Survey","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:4edf0169-a558-4a8b-815a-88781b85c314","http://resolver.tudelft.nl/uuid:4edf0169-a558-4a8b-815a-88781b85c314","Cretaceous climate change evidenced in the Senegalese rock record, NW Africa","Pearson, M. (The University of Manchester; British Geological Survey); Casson, M. (The University of Manchester; Equinor ASA); Millar, I. (Kingsley Dunham Centr, Nottingham); Charton, R.J.G. (TU Delft Applied Geology; The University of Manchester); Redfern, J. (The University of Manchester)","","2024","Climate change directly impacts the source, mode and volume of sediment generation which can be observed in the rock record. To accurately model source to sink systems, in addition to hinterland geology, tectonics and transport distance, a thorough comprehension of the climate is essential. In this study we evaluate the role of climate on Cretaceous sediment delivery into the Senegal Basin, NW Africa, using data recorded from extensive sampling of basinal sediments. This is achieved through the mineralogical characterisation by X-ray diffraction and 146Nd/144Nd and 86Sr/88Sr isotopic analyses, which are correlated against existing, climate, tectonic and oceanographic models. Examples of climatic indicators include the change from predominantly smectitic deep marine basinal-clays recorded from the Cretaceous in DSDP wells 367 and 368 to clays with increased illite and kaolinite content, observed during the Albian and Cenomanian-Turonian, interpreted to be representative of higher humidity following the kaolinisation of hinterland source-rocks. Another climate indicator is the observation of palygorskite in deep-marine sediments, noted to be indicative of ocean anoxia related to the authigenesis of marine-smectite, a product of warm saline bottom waters and increased abundancy of silicon. The increase in salinity is interpreted to be a biproduct of elevated temperatures throughout the Cenomanian and increased denudation of the North Atlantic circumjacent continental evaporite-belts. Increase in silicon (biogenic) is related to a result of ocean-wide mass extinction of foraminifera during OAE2 triggered by the eruption of the Caribbean large igneous province. The results suggest that Cretaceous climate evolution of Senegal can be divided into four stages: 1. Berriasian-Barremian; an arid-period with monsoonal weather producing modest fluvial systems restricted to coastal regions. 2. Aptian-Albian; the establishment of a paleo-Intertropical Convergence Zone began to increase global temperature and humidity as recognised by the increase in kaolinite content. 3. Cenomanian-Turonian; the Cretaceous Thermal Maximum hothouse period incurring exceptional temperatures and humidity. This is represented as an antithetical shift in clay mineralogy from chlorite-illite to smectite-kaolinite throughout most of the onshore and nearshore basinal sediments. 4. Coniacian-Maastrichtian; transitional from tropical-to-tropical swamp-like conditions evidenced by increased onshore basin sediment capture and a shift in vegetation to aquatic-fern species. The impact of climate change throughout the Cretaceous produced dynamic shifts in both river size and source-catchment, witnessing exception rates of denudation during the hotter and more humid periods, which climaxed during the Cenomanian and Turonian as a result of the Cretaceous Thermal Maximum. This eroded sediment was deposited in both the onshore and offshore basins during the mid-late Cretaceous but became increasingly restricted to the onshore segment of the basin during the Late Cretaceous.","Clay-minerals; Climate; Cretaceous; NW-Africa; Ocean-anoxia; Senegal","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-25","","","Applied Geology","","",""
"uuid:1748f6c4-08e2-4124-aee3-68398205bfaf","http://resolver.tudelft.nl/uuid:1748f6c4-08e2-4124-aee3-68398205bfaf","Tunable visible emission and persistent luminescence of BaGa2O4:Cu2+","Wang, Lei (Hefei University of Technology); Zhao, Ning (Hefei University of Technology); Zhu, Changrui (Hefei University of Technology); Chen, Lei (Hefei University of Technology); Jiang, Yang (Hefei University of Technology); Zhou, Rulong (Hefei University of Technology); Liu, Yanfang (Hefei University of Technology); Qu, Bingyan (Hefei University of Technology); Hintzen, H.T.J.M. (TU Delft RST/Luminescence Materials)","","2024","In the field of solid-state luminescence, Cu2+ has long been widely acknowledged for its capacity to emit infrared light. However, the occurrence of visible emission from Cu2+ ions had been infrequently observed and reported. In this study, we made an intriguing discovery by examining the behavior of Cu2+ within an irregular coordination environment of Ba in BaGa2O4. When excited by UV light, Cu2+ unexpectedly gave a vibrant yellow–red emission, covering a wavelength range spanning from 500 to 750 nm. More noteworthy, by simply manipulating the excitation wavelength or adjusting the temperature, the peak wavelength of the emission could be effectively tuned from approximately 600 to 660 nm, which could be attributed to the luminescence nature of the charge transfer (CT) between O2− and Cu2+. Moreover, the phosphor material displayed a remarkable persistent luminescence (PerL) lasting up to 12 h after UV light excitation. Through thermoluminescence (TL) measurements and first-principle calculations, we found that the intrinsic defects, such as vacancies of oxygen and gallium (VO and VGa″), played important roles for the PerL phenomena. These findings highlighted the exceptional tunability and PerL properties of BaGa2O4:Cu2+. Our study provided a new potential guideline for the design of Cu2+-activated phosphors in visible region, and opened up new avenues for the research in related functional luminescence materials.","Cu luminescence; Mechanism; Persistent luminescence; Phosphors; Tunable emission","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-01","","","RST/Luminescence Materials","","",""
"uuid:a311fa47-f645-4c2e-b5b4-0c80c4518777","http://resolver.tudelft.nl/uuid:a311fa47-f645-4c2e-b5b4-0c80c4518777","Influence of geometrical imperfections and residual stresses on the reliability of high strength steel welded I-section columns using Monte Carlo simulation","Ferreira Filho, José Osvaldo (Universidade de Coimbra); da Silva, Luís Simões (Universidade de Coimbra); Tankova, T. (TU Delft Steel & Composite Structures); Carvalho, Hermes (Universidade Federal de Minas Gerais; Universidade de São Paulo)","","2024","This paper aims to assess the influence of geometrical imperfections and residual stresses on the reliability of the stability design rules for steel columns in Eurocode 3 considering a full probabilistic approach and further validate the new buckling curves in the scope of the ongoing revision of the Structural Eurocodes. A reliability assessment of major- and minor-axis flexural buckling of high-strength steel (HSS) welded I-section columns was performed, considering all basic variables as random, including the geometrical and material imperfections, in addition to the material properties of steel and the geometry of the cross-section. An advanced finite element model calibrated with experimental test results is used to perform a very large (290,126 simulations) parametric study covering the majority of practical geometries. Subsequently, Monte Carlo simulation is used to estimate the design values of the buckling resistance that correspond to the target probability of failure of the Eurocodes. Finally, these values are compared to the proposed buckling curves for HSS columns, showing good agreement and supporting their adoption in the revised EN 1993–1-1. It is also concluded that it is on the safe side to carry out a reliability assessment with deterministic reference values for structural imperfections.","Buckling resistance of columns; Eurocode 3; High strength steel; Monte Carlo simulation; Reliability analysis","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:04060e07-4148-49e2-999d-d18c3e9610fa","http://resolver.tudelft.nl/uuid:04060e07-4148-49e2-999d-d18c3e9610fa","Mechanical properties of 3D printed CMT-WAAM 316 LSi stainless steel walls","Andrade, D. G. (Universidade de Coimbra); Tankova, T. (TU Delft Steel & Composite Structures; Universidade de Coimbra); Zhu, C. (Universidade de Coimbra); Branco, R. (Universidade de Coimbra); da Silva, L. Simões (Universidade de Coimbra); Rodrigues, D. M. (Universidade de Coimbra)","","2024","The use of 3D printed stainless steel requires a deep knowledge of its mechanical properties. This paper presents material characterisation of 316LSi austenitic stainless-steel coupons manufactured by CMT-WAAM, considering different deposition directions. The specimens were tested according to ISO 6892-1, the fractures surfaces were examined by SEM for machined and as-built conditions. The material was subject to hardness test and deep microstructural analyses, to assess the anisotropy in material properties at the micro and macro scales, respectively. A thermal analysis performed by infrared thermography of the material deposition in CMT-WAAM was also performed to establish the influence of the temperature evolution (versus time and position) on the microstructural and mechanical properties of the deposited walls. Finally, a statistical assessment was carried out, including results available in the literature and a material model available in the literature was adjusted to the test results, enabling to conclude that it is possible of accurately reproducing the uniaxial stress-strain behaviour, therefore providing a necessary input for the design of steel structures with 3D printed stainless steel.","Directed energy deposition; Mechanical properties; Stainless steel; Thermal analysis; Wire arc additive manufacturing","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:679fb87d-5bb6-4455-b5e9-676da83e7420","http://resolver.tudelft.nl/uuid:679fb87d-5bb6-4455-b5e9-676da83e7420","An Ultrasound Matrix Transducer for High-Frame-Rate 3-D Intra-cardiac Echocardiography","Simoes dos Santos, D. (TU Delft ImPhys/Verweij group; TU Delft ImPhys/Medical Imaging); Ossenkoppele, B.W. (TU Delft ImPhys/Medical Imaging); Hopf, Y.M. (TU Delft Electronic Instrumentation); Soozande, Mehdi (Erasmus MC); Noothout, E.C. (TU Delft ImPhys/Verweij group); Vos, H.J. (TU Delft ImPhys/Verweij group; Erasmus MC); Bosch, Johan G. (Erasmus MC); Pertijs, M.A.P. (TU Delft Electronic Instrumentation); Verweij, M.D. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verweij group; Erasmus MC); de Jong, N. (TU Delft ImPhys/De Jong group; Erasmus MC)","","2024","Objective: Described here is the development of an ultrasound matrix transducer prototype for high-frame-rate 3-D intra-cardiac echocardiography. Methods: The matrix array consists of 16 × 18 lead zirconate titanate elements with a pitch of 160 µm × 160 µm built on top of an application-specific integrated circuit that generates transmission signals and digitizes the received signals. To reduce the number of cables in the catheter to a feasible number, we implement subarray beamforming and digitization in receive and use a combination of time-division multiplexing and pulse amplitude modulation data transmission, achieving an 18-fold reduction. The proposed imaging scheme employs seven fan-shaped diverging transmit beams operating at a pulse repetition frequency of 7.7 kHz to obtain a high frame rate. The performance of the prototype is characterized, and its functionality is fully verified. Results: The transducer exhibits a transmit efficiency of 28 Pa/V at 5 cm per element and a bandwidth of 60% in transmission. In receive, a dynamic range of 80 dB is measured with a minimum detectable pressure of 10 Pa per element. The element yield of the prototype is 98%, indicating the efficacy of the manufacturing process. The transducer is capable of imaging at a frame rate of up to 1000 volumes/s and is intended to cover a volume of 70° × 70° × 10 cm. Conclusion: These advanced imaging capabilities have the potential to support complex interventional procedures and enable full-volumetric flow, tissue, and electromechanical wave tracking in the heart.","Application-specific integrated circuit; High frame rate; Intra-cardiac echocardiography; Matrix array; Three-dimensional; Ultrasound transducer","en","journal article","","","","","","","","","","","ImPhys/Verweij group","","",""
"uuid:2d5c0e74-b276-4819-972a-36fe9d1539a8","http://resolver.tudelft.nl/uuid:2d5c0e74-b276-4819-972a-36fe9d1539a8","Railway sleeper vibration measurement by train-borne laser Doppler vibrometer and its speed-dependent characteristics","Zeng, Y. (TU Delft Reservoir Engineering); Nunez, Alfredo (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2024","A train-borne laser Doppler vibrometer (LDV) directly measures the dynamic response of railway track components from a moving train, which has the potential to complement existing train-borne technologies for railway track monitoring. This paper proposes a holistic methodology to characterize train-borne LDV measurements by combining computer-aided approaches and real-life measurements. The focus is on the speed-dependent characteristics because the train speed affects the intensity of railway sleeper vibrations and the intensity of speckle noise, which further affects the quality and usability of the measured signals. First, numerical models are established and validated to simulate sleeper vibrations and speckle noise separately. Then, a vibration–noise separation method is proposed to effectively extract speckle noise and structural vibrations from LDV signals measured at different speeds. The parameters of the separation method are tuned using simulation signals. The method is then validated using laboratory measurements in a vehicle-track test rig and applied to field measurements on a railway track in Rotterdam, the Netherlands. Further, the speed-dependent characteristics of train-borne LDV measurement are determined by analyzing the competition between sleeper vibrations and speckle noise at different speeds. Simulation and measurement results show that an optimal speed range yields the highest signal-to-noise ratio, which varies for different track structures, measurement configurations, and operational conditions. The findings demonstrate the potential of train-borne LDV for large-scale rail infrastructure monitoring.","","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:4dc2a282-650d-4c1d-92ef-fbfffba41c5b","http://resolver.tudelft.nl/uuid:4dc2a282-650d-4c1d-92ef-fbfffba41c5b","4D-STEM Nanoscale Strain Analysis in van der Waals Materials: Advancing beyond Planar Configurations","Bolhuis, M. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft); van Heijst, S.E. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft); Sangers, J.J.M. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft); Conesa Boj, S. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft)","","2024","Achieving nanoscale strain fields mapping in intricate van der Waals (vdW) nanostructures, like twisted flakes and nanorods, presents several challenges due to their complex geometry, small size, and sensitivity limitations. Understanding these strain fields is pivotal as they significantly influence the optoelectronic properties of vdW materials, playing a crucial role in a plethora of applications ranging from nanoelectronics to nanophotonics. Here, a novel approach for achieving a nanoscale-resolved mapping of strain fields across entire micron-sized vdW nanostructures using four-dimensional (4D) scanning transmission electron microscopy (STEM) imaging equipped with an electron microscope pixel array detector (EMPAD) is presented. This technique extends the capabilities of STEM-based strain mapping by means of the exit-wave power cepstrum method incorporating automated peak tracking and K-means clustering algorithms. This approach is validated on two representative vdW nanostructures: a two-dimensional (2D) MoS2 thin twisted flakes and a one-dimensional (1D) MoO3/MoS2 nanorod heterostructure. Beyond just vdW materials, the versatile methodology offers broader applicability for strain-field analysis in various low-dimensional nanostructured materials. This advances the understanding of the intricate relationship between nanoscale strain patterns and their consequent optoelectronic properties.","electron microscope pixel array detector (EMPAD); four-dimensional scanning transmission electron microscopy (4D-STEM); strain mapping; van der Waals materials","en","journal article","","","","","","","","","","","QN/Conesa-Boj Lab","","",""
"uuid:34f73c81-fc73-4583-afc7-55ab99d38ada","http://resolver.tudelft.nl/uuid:34f73c81-fc73-4583-afc7-55ab99d38ada","Automatic Max-Likelihood Envelope Detection Algorithm for Quantitative High-Frame-Rate Ultrasound for Neonatal Brain Monitoring","Kortenbout, A.J. (Erasmus MC); Costerus, Sophie (Erasmus MC); Dudink, Jeroen (University Medical Center Utrecht); de Jong, N. (TU Delft ImPhys/Verweij group; Erasmus MC); de Graaff, Jurgen C. (Erasmus MC; Weill Cornell Medical College); Vos, H.J. (TU Delft ImPhys/Verweij group; Erasmus MC); Bosch, Johan G. (Erasmus MC)","","2024","Objective: Post-operative brain injury in neonates may result from disturbed cerebral perfusion, but accurate peri-operative monitoring is lacking. High-frame-rate (HFR) cerebral ultrasound could visualize and quantify flow in all detectable vessels using spectral Doppler; however, automated quantification in small vessels is challenging because of low signal amplitude. We have developed an automatic envelope detection algorithm for HFR pulsed wave spectral Doppler signals, enabling neonatal brain quantitative parameter maps during and after surgery. Methods: HFR ultrasound data from high-risk neonatal surgeries were recorded with a custom HFR mode (frame rate = 1000 Hz) on a Zonare ZS3 system. A pulsed wave Doppler spectrogram was calculated for each pixel containing blood flow in the image, and spectral peak velocity was tracked using a max-likelihood estimation algorithm of signal and noise regions in the spectrogram, where the most likely cross-over point marks the blood flow velocity. The resulting peak systolic velocity (PSV), end-diastolic velocity (EDV) and resistivity index (RI) were compared with other detection schemes, manual tracking and RIs from regular pulsed wave Doppler measurements in 10 neonates. Results: Envelope detection was successful in both high- and low-quality arterial and venous flow spectrograms. Our technique had the lowest root mean square error for EDV, PSV and RI (0.46 cm/s, 0.53 cm/s and 0.15, respectively) when compared with manual tracking. There was good agreement between the clinical pulsed wave Doppler RI and HFR measurement with a mean difference of 0.07. Conclusion: The max-likelihood algorithm is a promising approach to accurate, automated cerebral blood flow monitoring with HFR imaging in neonates.","Cerebral ultrasound; Envelope detection; High-frame-rate ultrasound; High-risk surgery; Max-likelihood; Monitoring; Neonates; Pulsed wave Doppler; Spectral Doppler","en","journal article","","","","","","","","","","","ImPhys/Verweij group","","",""
"uuid:d37c5259-3862-4faa-a177-f602c009d8fe","http://resolver.tudelft.nl/uuid:d37c5259-3862-4faa-a177-f602c009d8fe","Acoustic streaming-based calibration of ultrasound transducers","Simoes dos Santos, D. (TU Delft ImPhys/Verweij group; TU Delft ImPhys/Medical Imaging); Baldini, L.B. (TU Delft ImPhys/Verweij group); Vos, H.J. (TU Delft ImPhys/Verweij group; Erasmus MC); Verweij, M.D. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verweij group; Erasmus MC); de Jong, N. (TU Delft ImPhys/De Jong group; Erasmus MC); van Neer, P.L.M.J. (TU Delft ImPhys/Verweij group; TU Delft ImPhys/Medical Imaging; TNO)","","2024","The accurate determination of the transfer function of ultrasound transducers is important for their design and operational performance. However, conventional methods for quantifying the transfer function, such as hydrophone measurements, radiation force balance, and pulse-echo measurements, are costly and complex due to specialized equipment required. In this study, we introduce a novel approach to estimate the transfer function of ultrasound transducers by measuring the acoustic streaming velocity generated by the transducer. We utilize an experimental setup consisting of a water tank with a millimeter scale, an ink-filled syringe, and a camera for recording the streaming phenomenon. Through streaming velocity measurements in the frequency range from 2 to 8 MHz, we determined the transfer function of an unfocused circular transducer with a center frequency of 5 MHz and a radius of 5.6 mm. We compared the performance of our method with hydrophone and pulse-echo measurements. At the center frequency, we measured a transmit efficiency of 1.9 kPa/V using the streaming approach, while hydrophone and pulse-echo measurements yielded transmit efficiencies of 2.1 kPa/V and 1.8 kPa/V, respectively. These findings demonstrate that the proposed method for estimating the transfer function of ultrasound transducers achieves a sufficient level of accuracy comparable to pulse-echo and hydrophone measurements.","Acoustic streaming; Reciprocity; Safety; Sensitivity; Transfer function; Ultrasound transducer","en","journal article","","","","","","","","","","","ImPhys/Verweij group","","",""
"uuid:cc836d20-cee2-4a1e-9c1e-5107fbfe54ec","http://resolver.tudelft.nl/uuid:cc836d20-cee2-4a1e-9c1e-5107fbfe54ec","Magnetic fluid film enables almost complete drag reduction across laminar and turbulent flow regimes","Stancanelli, L.M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; ETH Zürich; Swiss Federal Institute for Forest, Snow and Landscape Research); Secchi, Eleonora (ETH Zürich); Holzner, Markus (Swiss Federal Institute for Forest, Snow and Landscape Research; Swiss Federal Institute of Aquatic Science and Technology; BOKU-University of Natural Resources and Life Sciences)","","2024","In the race to curb energy and oil consumption, zeroing of wall frictional forces is highly desirable. The turbulent skin friction drag at the solid/liquid interface is responsible for substantial energy losses when conveying liquids through hydraulic networks, contributing approximately 10% to the global electric energy consumption. Despite extensive research, efficient drag reduction strategies effectively applicable in different flow regimes are still unavailable. Here, we use a wall-attached magnetic fluid film to achieve a wall drag reduction of up to 90% in channel flow. Using optical measurements supported by modelling, we find that the strong damping of wall friction emerges from the co-existence of slip and waviness at the coating interface, and the latter is a key factor to obtain almost complete wall drag reduction across laminar and turbulent flow regimes. Our magnetic fluid film is promising and ready to be applied in energy-saving and antifouling strategies in fluid transport and medical devices.","","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:b2ef9acd-9738-431d-a368-a0069ddf756d","http://resolver.tudelft.nl/uuid:b2ef9acd-9738-431d-a368-a0069ddf756d","Sediment fluxes within salt marsh tidal creek systems in the Yangtze Estuary","Sun, J. (TU Delft Coastal Engineering; East China Normal University); van Prooijen, Bram (TU Delft Coastal Engineering); Wang, Xianye (East China Normal University); Zhao, Zhonghao (East China Normal University); He, Qing (East China Normal University); Wang, Zhengbing (TU Delft Coastal Engineering; Deltares)","","2024","Creeks are essential for salt marshes by conveying water and sediment through this geomorphic system. In this paper, we investigate the mechanisms that determine the residual sediment flux using measurements conducted in tidal creeks in salt marshes of the Yangtze Estuary. A main creek and a secondary creek were studied to explore whether the mechanisms determining residual sediment fluxes through the main creek differ from those in the secondary creek. Measurements in creeks were carried out over 5 years, spanning different months. Sediment import was found during most tides, both in the main creek and the secondary creek, implying that creeks in Chongming generally function as a conveyor belt of sediment into the marsh. However, sediment export can occur during certain overbank tides. When comparing the role of creeks in drainage and sediment delivery, the main creek functions more in delivering sediment while the secondary creek primarily serves as a drainage conduit. To better understand the mechanisms behind sediment fluxes, the residual sediment flux was compared with the residual discharge and the sediment differential (differences in sediment concentration between flood and ebb). Overbank tides generally lead to a net outward discharge as more water from saltmarshes can be concentrated into the marsh creek during ebb tides. This net outward discharge tends to export more sediment during ebb tides. However, due to the sediment abundance during the flood phase in the turbid environment, sediment import can be expected even with the residual export of water. Export of sediment was only found for the few tides with a net outward discharge and a small positive sediment concentration differential. Large negative sediment differentials (larger averaged suspended sediment concentration during ebb tides) have not been observed because the sediment supply during ebb is limited. This paper unravels how the sediment differential and residual discharge contribute to the residual sediment flux, providing a better understanding of sediment dynamics in marsh creek systems.","Marsh creek systems; Sediment availability; Sediment flux","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-04","","","Coastal Engineering","","",""
"uuid:91285ba8-2d88-442a-a3bb-364c0718947b","http://resolver.tudelft.nl/uuid:91285ba8-2d88-442a-a3bb-364c0718947b","Macro-dipoles in soft/hard expanded-polytetrafluoroethylene + fluoroethylenepropylene (ePTFE + FEP) fluoropolymer-film systems for high-output piezoelectric ferroelectret-transducer applications","Wang, Ningzhen (Beijing Forestry University; University of Connecticut); Baferani, Mohamadreza Arab (University of Connecticut); Daniels, Robert (University of Connecticut); Wu, Chao (University of Connecticut); Huo, Jindong (University of Connecticut); van Turnhout, J. (TU Delft Team Erik Offerman); Sotzing, Gregory A. (University of Connecticut); Gerhard, Reimund (University of Potsdam); Cao, Yang (University of Connecticut)","","2024","Multi-layer ferroelectrets consisting of fluoroethylenepropylene (FEP) copolymer and open-porous expanded polytetrafluoroethylene (ePTFE) films exhibit stable internal electret charges, high piezoelectric coefficients and heat resistance, making them promising candidates for wearable sensors or nanogenerators in body-area networks. Here, three- and five-layer (FEP/ePTFE/FEP and FEP/ePTFE/FEP/ePTFE/FEP) ferroelectret stacks were laminated and poled in a corona discharge. The resulting charge distributions were measured by use of the pulsed electro-acoustic (PEA) method and revealed that charges of opposite polarity were trapped at the interfaces between the FEP and ePTFE layers. Thus, the existence of one macro-dipole in the three-layer structure and of two macro-dipoles in the five-layer structure was directly shown for the first time. Moreover, electric-displacement-versus-electric-field (D-E) loops revealed that remnant polarization is given by the number of macro-dipoles in the respective stack. Due to the addition of the macro-dipoles, the piezoelectric d 33 coefficient of the FEP/ePTFE/FEP/ePTFE/FEP stack reaches 200 pC/N even under a potentially non-uniform compression of the soft ePTFE layers. The results should be useful for a better understanding and a performance optimization of ferroelectrets in self-powered intelligent devices.","charge distribution; charge-spring model; ePTFE + FEP ferroelectret; piezoelectricity","en","journal article","","","","","","","","2024-07-11","","","Team Erik Offerman","","",""
"uuid:938703d4-2480-4b85-b64f-eb5cf326aec0","http://resolver.tudelft.nl/uuid:938703d4-2480-4b85-b64f-eb5cf326aec0","Modeling the joint choice behavior of commuters’ travel mode and parking options for private autonomous vehicles","Xue, Fei (Beijing Jiaotong University); Yao, Enjian (Beijing Jiaotong University); Cherchi, Elisabetta (Newcastle University); Correia, Gonçalo (TU Delft Transport and Planning)","","2024","Difficulty in finding parking spaces and high parking fees discourage private car usage. Fully autonomous vehicles (AVs) capable of self-parking away from destinations will likely remove this barrier. Despite extensive survey-based research on AVs in recent years, existing literature has not sufficiently addressed the potential impact of new parking options on the demand for these vehicles. This study explores commuters’ joint choice of travel mode and parking for private autonomous vehicles (PAVs). To this end, a stated choice (SC) experiment was designed and deployed in the city of Beijing, China. Attitudinal statements were also designed to measure four latent variables: perceived ease of use, perceived usefulness, perceived safety, and attitude toward waiting. Using a hybrid choice model framework, the estimation results reveal that the choice of letting the PAV self-park at a non-destination location is significantly influenced by the location of such parking, the potential delay in re-taking the vehicle, and the fuel/energy consumption to and from the non-destination parking place. Attitudes toward AVs also play a crucial role, with perceived safety and perceived usefulness having the greatest impact. Our results can help managers and planners understand how PAVs affect people's travel mode choices and the corresponding parking options and assist them in developing strategies in preparation for the widespread use of AVs.","Attitudes; Automated vehicles; Hybrid choice model; Parking; Stated choice experiment; Willingness to pay","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-12","","","Transport and Planning","","",""
"uuid:19bfc28c-5b57-43be-8960-1c15f582f946","http://resolver.tudelft.nl/uuid:19bfc28c-5b57-43be-8960-1c15f582f946","Dynamics of freight transport decarbonization: A simulation study for Brazil","Ghisolfi, Verônica (Universidade Federal do Rio de Janeiro); Tavasszy, Lorant (TU Delft Transport and Planning); Correia, Gonçalo (TU Delft Transport and Planning); de Lorena Diniz Chaves, Gisele (Federal University of Santa Catarina); Mattos Ribeiro, Glaydston (Universidade Federal do Rio de Janeiro)","","2024","Freight transport decarbonization is challenging due to the slow implementation of policies to meet climate goals. This paper analyzes the dynamics of the implementation of freight decarbonization measures. A System Dynamics model was developed and applied to the Brazilian freight system to simulate the use of more sustainable modes and means of transport, including electrification, increased use of biofuels, acceleration of fleet renewal, and modal shift. Significant emission reductions are found in the scenarios combining a shift to alternative modes and a rapid phase-out of diesel vehicles. Even so, the Brazilian freight sector's emission budgets towards limiting global warming to 1.5 °C and 2 °C will be depleted during the current and next decade, respectively. An absolute reduction of carbon emissions before 2050 seems unlikely. Besides confirming the need to study the dynamics of the freight system, the findings corroborate the urgency for stronger actions on freight decarbonization.","Decarbonization; Freight transport; Policy implementation; System dynamics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","Transport and Planning","","",""
"uuid:79e91102-4da0-451a-bfc3-91a951d79d34","http://resolver.tudelft.nl/uuid:79e91102-4da0-451a-bfc3-91a951d79d34","Junction temperature and luminous flux prediction for white LED array based on electrical-photo-thermal modeling","Liu, Minne (Fudan University); Li, Wenyu (Fudan University); Chen, Wei (Fudan University); Ibrahim, Mesfin S. (New Territories); Xiong, Jingkang (Changzhou Institute of Technology Research for Solid State Lighting); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, Jiajie (Fudan University; Fudan Zhangjiang Institute; Chinese Academy of Sciences)","","2024","During the operation of an LED array, its thermal and optical performances are always not equal to the superposition of the individual LED's characteristics because of a significant thermal coupling effect between the arrays. Based on this, this paper proposes an electrical–photo-thermal model, with considering both junction temperature and luminous flux, to predict the both the thermal and optical performances of LED arrays operated under different currents, case temperatures, and lighting methods. The junction temperature and luminous flux of a single LED operating under different driving currents and case temperature conditions are firstly collected to establish the luminous flux response surface model of a single chip. Then it is used to predict the luminous flux of an array, whose junction temperature is predicted using both thermal coupling matrix (TCM) and numerical models. Experiments verify the luminous flux of the LED array under different operation conditions and show that the proposed electrical–photo-thermal modeling can be used to predict the thermal and optical parameters of LED arrays with 95 % accuracy. Thus, it is effective for the fast prediction of the junction temperature and luminous flux of large LED systems with array structures, i.e. intelligent automotive lightings and displays.","Electrical-photo-thermal modeling; Junction temperature; Light-emitting diode; Luminous flux; Multiple-chip array; Thermal coupling","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:0d2333eb-1a2a-4810-a6ff-2c3f1997b2f6","http://resolver.tudelft.nl/uuid:0d2333eb-1a2a-4810-a6ff-2c3f1997b2f6","Enhancing colloid stability of polymer microspheres in water through SiO2 coating: Effects of coating cycles and surface coverage","Kamphorst, R. (TU Delft ChemE/Product and Process Engineering); Wanjari, Pratyush (Student TU Delft); Saedy, S. (TU Delft ChemE/Product and Process Engineering); van Dam, Julia F.K. (Student TU Delft); Thijssen, A. (TU Delft Microlab); Brüner, Philipp (ION-TOF, Munster); Grehl, Thomas (ION-TOF, Munster); Meesters, G.M.H. (TU Delft ChemE/Product and Process Engineering); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering)","","2024","In this study, we investigated the wettability and agglomeration characteristics of polymer microspheres coated with low-temperature deposited SiO2 in a fluidized bed atomic layer deposition (ALD) setup. Surface characterization revealed the presence of a significant amount of deposited Si-OH groups within the first cycles. A drastic decrease in agglomerate size, water contact angle (WCA), and droplet absorption time of the powder was observed when coating was applied. Furthermore, we observed an increase in the amount of Si-OH present on the particle surface with increasing coating cycles, while no significant improvement in water affinity was found after the first coating cycles. Our findings suggest that surface coverage is the primary factor in improving the colloid stability of particles, coated at low temperatures. The low temperature operation of our system introduced a chemical vapor deposition (CVD) component to our coating process, which allowed full surface coverage to be achieved within the first two coating cycles.","Agglomeration; Atomic layer deposition; Chemical vapor deposition; Surface functionalization; Wettability","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:7ab42167-5443-44e5-9d78-df162057e571","http://resolver.tudelft.nl/uuid:7ab42167-5443-44e5-9d78-df162057e571","Precursor- and waste-free synthesis of spark-ablated nanoparticles with enhanced photocatalytic activity and stability towards airborne organic pollutant degradation","Drdova, Sarka (ETH Zürich; Swiss Federal Laboratories for Materials Science and Technology (Empa)); Gao, Min (ETH Zürich; Swiss Federal Laboratories for Materials Science and Technology (Empa)); Sambalova, Olga (Swiss Federal Laboratories for Materials Science and Technology (Empa)); Pauer, Robin (Swiss Federal Laboratories for Materials Science and Technology (Empa)); Zhou, Zhouping (Student TU Delft); Dimitriadou, Sofia (VSPARTICLE); Schmidt-Ott, A. (TU Delft ChemE/Materials for Energy Conversion and Storage; VSPARTICLE); Wang, J. (ETH Zürich; Swiss Federal Laboratories for Materials Science and Technology (Empa))","","2024","Photocatalyst synthesis typically involves multiple steps, expensive precursors, and solvents. In contrast, spark ablation offers a simple process of electrical discharges in a gap between two electrodes made from a desirable material. This enables a precursor- and waste-free generation of pure metal oxide nanoparticles or mixtures of various compositions. This study presents a two-step method for the production of photocatalytic filters with deposited airborne MnOx, TiO2, and ZnO nanoparticles using spark ablation and calcination processes. The resulting MnOx and TiO2 filters demonstrated almost twice the activity with outstanding performance stability, as compared to sol-gel MnO2 and commercial TiO2. The introduced method is not only simple, precursor- and waste-free, and leads to superior performance for the case studied, but it also has future potential due to its versatility. It can easily produce mixed and doped materials with further improved properties, making it an interesting avenue for future research.","","en","journal article","","","","","","","","","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:23b9219a-5b46-41b0-83de-021e07ada898","http://resolver.tudelft.nl/uuid:23b9219a-5b46-41b0-83de-021e07ada898","Hamiltonian phase error in resonantly driven CNOT gate above the fault-tolerant threshold","Wu, Yi Hsien (National Taiwan University; RIKEN); Camenzind, Leon C. (RIKEN); Noiri, Akito (RIKEN); Takeda, Kenta (RIKEN); Nakajima, Takashi (RIKEN); Kobayashi, Takashi (RIKEN); Chang, Chien Yuan (RIKEN); Sammak, A. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre); Scappucci, G. (TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Tarucha, Seigo (RIKEN)","","2024","Because of their long coherence time and compatibility with industrial foundry processes, electron spin qubits are a promising platform for scalable quantum processors. A full-fledged quantum computer will need quantum error correction, which requires high-fidelity quantum gates. Analyzing and mitigating gate errors are useful to improve gate fidelity. Here, we demonstrate a simple yet reliable calibration procedure for a high-fidelity controlled-rotation gate in an exchange-always-on Silicon quantum processor, allowing operation above the fault-tolerance threshold of quantum error correction. We find that the fidelity of our uncalibrated controlled-rotation gate is limited by coherent errors in the form of controlled phases and present a method to measure and correct these phase errors. We then verify the improvement in our gate fidelities by randomized benchmark and gate-set tomography protocols. Finally, we use our phase correction protocol to implement a virtual, high-fidelity, controlled-phase gate.","","en","journal article","","","","","","Funding Information: Y.H.W. acknowledges useful discussions with C. Chiang. This work was supported financially by Core Research for Evolutional Science and Technology (CREST), Japan Science and Technology Agency (JST) (JPMJCR1675), MEXT Quantum Leap Flagship Program (MEXT Q-LEAP) grant numbers JPMXS0118069228, JST Moonshot R&D grant number JPMJMS226B-1, and JSPS KAKENHI grant numbers 18H01819 and 20H00237. T.N. acknowledges support from JST PRESTO grant number JPMJPR2017. L.C.C. acknowledges support from a Swiss NSF mobility fellowship (P2BSP2_200127). A.N. acknowledges support from JST PRESTO grant number JPMJPR23F8. Y.H.W. acknowledges support from RIKEN’s IPA program and National Taiwan University Higher Education SPROUT Project Research Promotion Program for Direct-Entry Doctoral Degree Program Students (L4100). H.-S.G. acknowledges support from the National Science and Technology Council (NSTC), Taiwan, under Grants No. NSTC 112-2119-M-002 -014, No. NSTC 111-2119-M-002-007, No. NSTC 111-2119-M-002-006-MY3, No. NSTC 111-2627-M-002-001, and No. NSTC 111-2622-8-002-001, and from the National Taiwan University under Grants No. NTU-CC-111L894604, and No. NTU-CC-112L893404. H.-S.G. is grateful for the support from the Physics Division, National Center for Theoretical Sciences, Taiwan. Publisher Copyright: © 2024, The Author(s).","","","","","BUS/TNO STAFF","","",""
"uuid:95ef7374-1908-4ebd-81fe-c042d7f3b2d3","http://resolver.tudelft.nl/uuid:95ef7374-1908-4ebd-81fe-c042d7f3b2d3","Eddy-Induced Dispersion of Sea Ice Floes at the Marginal Ice Zone","Gupta, M. (TU Delft Physical and Space Geodesy; California Institute of Technology); Gürcan, Emma (California Institute of Technology); Thompson, Andrew F. (California Institute of Technology)","","2024","Ocean heat exchanges at the marginal ice zone (MIZ) play an important role in melting sea ice. Mixed-layer eddies transport heat and ice floes across the MIZ, facilitating the pack's access to warm waters. This study explores these frontal dynamics using disk-shaped floes coupled to an upper-ocean model simulating the sea ice edge. Numerical experiments reveal that small floes respond more strongly to fine-scale ocean currents, which favors higher dispersion rates and weakens sea ice drag onto the underlying ocean. Floes with radii smaller than resolved turbulent filaments (∼2–4 km) result in a wider and more energetic MIZ, by a factor of 70% each, compared to larger floes. We hypothesize that this floe size dependency may affect sea ice break-up by controlling oceanic energy propagation into the MIZ and modulate the sea ice pack's melt rate by regulating lateral heat transport toward the sea ice cover.","eddies; heat transport; oceanography; polar climate; sea ice; submesoscale","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:d4c2f44f-2429-4c7a-835b-9792e58541e2","http://resolver.tudelft.nl/uuid:d4c2f44f-2429-4c7a-835b-9792e58541e2","Evaluating real-world emissions from in-use buses and taxis using on-road remote sensing","Middela, Mounisai Siddartha (Trinity College Dublin); Mahesh, S. (TU Delft Transport and Planning); McNabola, Aonghus (Trinity College Dublin); Smith, William (University College Dublin); Timoney, David (University College Dublin); Ekhtiari, Ali (University College Dublin); Fowler, Ben (Ricardo Energy and Environment); Willis, Paul (Ricardo Energy and Environment); Rose, Rebecca (Ricardo Energy and Environment)","","2024","Assessing real-world emissions from buses and taxis is vital to comprehend their impact on urban air quality. Such vehicles differ significantly from the majority of the fleet owing to their higher mileage rates. However, few studies have focused on specifically assessing the emissions from this segment of the vehicle fleet. In this context, this study evaluated the real-world emissions of nitrogen oxides (NOx) from in-use buses and taxis in Dublin, Ireland, using crossroad remote sensing technology. The remote sensing system was deployed at strategic locations throughout the city to capture on-road emissions from passing vehicles. The collected data included vehicle related information such as emission standard, make, and mileage, and pollutants including NOx. Based on this data, analysis was aimed to understand the impact of Euro emission standard, ambient temperature, mileage, and make of the vehicle on NOx emissions. The results reveal that the average emissions from taxis reduce by 37% from Euro 5 to Euro 6b, and average emissions from Euro 6 buses are 87% lower compared to Euro 5. The trends in emission factors (EFs) of buses and taxis were similar during summer and winter sampling. Moreover, on comparing the emissions from the top five taxi manufacturers, different trends in the emission factors were observed. Finally, the study found that the effect of vehicle mileage on emissions was unclear for both buses and taxis. In any case, these findings provide valuable insights into the real-world emission performance of the existing fleet of buses and taxis in Dublin and highlight the need for targeted measures to reduce emissions from these vehicles. The results can assist policymakers and urban planners in formulating evidence-based strategies to improve air quality in Dublin and other cities facing similar challenges.","Buses; Euro-6 regulation; In-use surveillance; On-road vehicle emissions; Remote sensing; Taxis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-08","","","Transport and Planning","","",""
"uuid:e0759412-1437-4585-8227-5a2c1ae92981","http://resolver.tudelft.nl/uuid:e0759412-1437-4585-8227-5a2c1ae92981","Modelling and analysis of the horizontal configuration of tidal fences in barrages","Verbeek, Merel C. (TU Delft Environmental Fluid Mechanics); Talstra, H. (Svašek Hydraulics); Labeur, R.J. (TU Delft Environmental Fluid Mechanics); Uijttewaal, W.S.J. (TU Delft Environmental Fluid Mechanics)","","2024","Tidal stream turbines are becoming an affordable option for harvesting sustainable energy in coastal areas. They can be retrofitted in barrages, providing an integral solution for flood protection and emission-free power generation, within environmental constraints. To optimize the turbine-barrage configuration with respect to these objectives, simulation tools are needed to predict the efficiency of the turbines as well as their impact on the adjacent tidal system. These tools should be based on an accurate representation of the underlying flow processes, which cover a wide range of spatial scales — from meters at the barrage and turbines to tenths of kilometers in the tidal basin. This article presents the development of such a tool by linking an analytical model for turbine fences in barrage gates to a regional flow model. The turbine model is validated with experimental data, and data from a thoroughly monitored tidal energy pilot project. Simulations reveal how clustering the turbines in small arrays can increase their efficiency, owing to array blockage effects, with only little effect on the tidal exchange. We also demonstrate the potential of using turbine fences to manipulate the tidal jet, issued from the barrage, with benefits for coastal — and wildlife protection in the basin. The presented research helps understanding how turbine fences in barrages can be configured with high energy yield and calculated impact to the environment.","Modelling; Sub-grid calculation; Tidal barrage; Tidal basin hydrodynamics; Tidal fences","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:eb877982-c12d-4b22-9695-bf253a03febd","http://resolver.tudelft.nl/uuid:eb877982-c12d-4b22-9695-bf253a03febd","Calculating Thermodynamic Factors for Diffusion Using the Continuous Fractional Component Monte Carlo Method","Hulikal Chakrapani, T. (TU Delft Reservoir Engineering); Hajibeygi, H. (TU Delft Reservoir Engineering); Moultos, O. (TU Delft Engineering Thermodynamics); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics)","","2024","Thermodynamic factors for diffusion connect the Fick and Maxwell-Stefan diffusion coefficients used to quantify mass transfer. Activity coefficient models or equations of state can be fitted to experimental or simulation data, from which thermodynamic factors can be obtained by differentiation. The accuracy of thermodynamic factors determined using indirect routes is dictated by the specific choice of an activity coefficient model or an equation of state. The Permuted Widom’s Test Particle Insertion (PWTPI) method developed by Balaji et al. enables direct determination of thermodynamic factors in binary and multicomponent systems. For highly dense systems, for example, typical liquids, it is well known that molecular test insertion methods fail. In this article, we use the Continuous Fractional Component Monte Carlo (CFCMC) method to directly calculate thermodynamic factors by adopting the PWTPI method. The CFCMC method uses fractional molecules whose interactions with their surrounding molecules are modulated by a coupling parameter. Even in highly dense systems, the CFCMC method efficiently handles molecule insertions and removals, overcoming the limitations of the PWTPI method. We show excellent agreement between the results of the PWTPI and CFCMC methods for the calculation of thermodynamic factors in binary systems of Lennard-Jones molecules and ternary systems of Weeks-Chandler-Andersen molecules. The CFCMC method applied to calculate the thermodynamic factors of realistic molecular systems consisting of binary mixtures of carbon dioxide and hydrogen agrees well with the NIST REFPROP database. Our study highlights the effectiveness of the CFCMC method in determining thermodynamic factors for diffusion, even in densely packed systems, using relatively small numbers of molecules.","","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:cd712934-9c98-42eb-b7a7-cd5f57273857","http://resolver.tudelft.nl/uuid:cd712934-9c98-42eb-b7a7-cd5f57273857","A 3D time-dependent backward erosion piping model","Pol, J.C. (TU Delft Hydraulic Structures and Flood Risk; HKV); Noordam, Aron (Deltares); Kanning, W. (TU Delft Hydraulic Structures and Flood Risk; Deltares)","","2024","Backward erosion piping (BEP) is a failure mechanism of hydraulic structures like dams and levees on cohesionless foundations subjected to seepage flows. This article models the time-dependent development of BEP using numerical simulation of the erosion process. A 3-dimensional finite element equilibrium BEP model is extended with a formulation for the sediment transport rate. The model is compared to and calibrated with small- and large-scale experiments. Finally, a large set of simulations is analyzed to study the effects of factors such as grain size, scale (seepage length) and overloading on the rate of pipe progression. The results show that the development of BEP in the small-scale experiments is predicted well. Challenges remain for the prediction at larger scales, as calibration and validation is hard due to limited large-scale experiments with sufficiently accurate measurements. The results show that the progression rate increases with grain size and degree of overloading and decreases with seepage length, which is consistent with experimental observations. The model results provide a better physical basis for incorporating time-dependent development in the risk assessment and design of levees.","Backward erosion piping; Finite elements; Internal erosion; Sediment transport","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:12eefbd7-466e-456e-b89c-fc0781971e53","http://resolver.tudelft.nl/uuid:12eefbd7-466e-456e-b89c-fc0781971e53","Shock-wave/turbulent boundary-layer interaction with a flexible panel","Laguarda, L. (TU Delft Aerodynamics); Hickel, S. (TU Delft Aerodynamics); Schrijer, F.F.J. (TU Delft Aerodynamics); van Oudheusden, B.W. (TU Delft Aerodynamics)","","2024","The dynamic coupling between a Mach 2.0 shock-wave/turbulent boundary-layer interaction (STBLI) and a flexible panel is investigated. Wall-resolved large-eddy simulations are performed for a baseline interaction over a flat-rigid wall, a coupled interaction with a flexible panel, and a third interaction over a rigid surface that is shaped according to the mean panel deflection of the coupled case. Results show that the flexible panel exhibits self-sustained oscillatory behavior over a broad frequency range, confirming the strong and complex fluid-structure interaction (FSI). The first three bending modes of the panel oscillation are found to contribute most to the unsteady panel response, at frequencies in close agreement with natural frequencies of the mean deformed panel rather than those for the unloaded flat panel. This highlights the importance of the mean panel deformation and the corresponding stiffening in the FSI dynamics. The time-averaged flow shows an enlarged reverse-flow region in the presence of mean surface deformations. The separation-shock unsteadiness is enhanced due to the panel motion, leading to higher wall-pressure fluctuations in the coupled interaction. Spectral analysis of the separation-shock location and bubble-volume signals shows that the STBLI flow strongly couples with the first bending mode of the panel oscillation. This is further confirmed by dynamic mode decomposition of the flow and displacement data, which reveals variations in the reverse-flow region that follow the panel bending motion and appear to drive the separation-shock unsteadiness. Low-frequency modes that are not associated with the fluid-structure coupling, in turn, are qualitatively similar to those obtained for the rigid-wall interactions, indicating that the characteristic low-frequency unsteadiness of STBLI coexists with the dynamics emerging from the fluid-structure coupling. Based on the present results, unsteady FSIs involving STBLIs and flexible panels are likely to accentuate rather than mitigate the undesirable features of STBLIs.","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:da798ae5-12dc-482c-93e0-bee795b5ac77","http://resolver.tudelft.nl/uuid:da798ae5-12dc-482c-93e0-bee795b5ac77","Inhibitory effects of long chain fatty acids on anaerobic sludge treatment: Biomass adaptation and microbial community assessment","Szabo Corbacho, M. (TU Delft Sanitary Engineering; IHE Delft Institute for Water Education; Laboratorio Tecnológico del Uruguay; Technological University of Uruguay); Sharma, Pragnya (IHE Delft Institute for Water Education); Míguez, Diana (Laboratorio Tecnológico del Uruguay); de la Sovera, Victoria (Ministry of Education); Brdjanovic, Damir (TU Delft BT/Environmental Biotechnology; IHE Delft Institute for Water Education); Etchebehere, Claudia (Ministry of Education); Garcia, H. (IHE Delft Institute for Water Education; Technological University of Uruguay); van Lier, J.B. (TU Delft Sanitary Engineering; IHE Delft Institute for Water Education)","","2024","The study investigated the effects of long-chain fatty acids (LCFA) on anaerobic sludge treating lipid-rich wastewater. It involved batch experiments with three sludge samples: two acclimated to lipids and one non-acclimated. The experiments aimed to observe the degradation of LCFA, specifically oleate and palmitate, by dosing them at concentrations ranging from 50 to 600 mg/L. Measurements of the cumulative methane production and the LCFA concentration, quantified as fat, oil, and grease (FOG) were performed. To ensure the sludge was free from other biodegradable substrates, part of the samples was pre-incubated without feed. The tests were conducted with both pre-incubated and non-incubated inoculum sludge. The findings revealed that oleate was degraded more efficiently than palmitate across all sludge samples, with a greater conversion rate to methane. Sludge samples acclimated to lipids showed a superior capacity to degrade LCFA compared to non-acclimated ones. It was noted that at concentrations above 400 mg/L, the conversion of LCFAs to intermediate compounds was inhibited, although this did not affect the subsequent methane production. The study concludes with a recommendation for sludge adaptation strategies to boost the efficiency of anaerobic wastewater treatment systems dealing with lipid-rich waste. The presence of LCFA-degrading bacteria families like Kosmotogaceae, Petrotogaceae, and Synergistaceae in the acclimated sludge samples underscores the adaptation and potential for improved degradation performance.","Anaerobic digestion; Lipids; Microbial community analysis; Sludge acclimation","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:e75d53c4-0252-4890-aae5-052e378e6da7","http://resolver.tudelft.nl/uuid:e75d53c4-0252-4890-aae5-052e378e6da7","Predicting marine and aeolian contributions to the Sand Engine's evolution using coupled modelling","van Westen, B. (TU Delft Hydraulic Engineering; Deltares); Luijendijk, Arjen (TU Delft Coastal Engineering; Deltares); de Vries, S. (TU Delft Coastal Engineering); Cohn, Nicholas (U.S. Army Engineer Research and Development Center); Leijnse, Tim W.B. (Deltares; Vrije Universiteit Amsterdam); de Schipper, M.A. (TU Delft Coastal Engineering)","","2024","Quantitative predictions of marine and aeolian sediment transport in the nearshore–beach–dune system are important for designing Nature-Based Solutions (NBS) in coastal environments. To quantify the impact of the marine-aeolian interactions on shaping NBS, we present a framework coupling three existing process-based models: Delft3D Flexible Mesh, SWAN and AeoLiS. This framework facilitates the continuous exchange of bed levels, water levels and wave properties between numerical models focussing on the aeolian and marine domain. The coupled model is used to simulate the morphodynamic evolution of the Sand Engine mega-nourishment. Results display good agreement with the observed aeolian and marine volumetric developments, showing similar marine-driven erosion from the main peninsula and aeolian-driven infilling of the dune lake. To estimate the magnitude of the interactions between aeolian and marine processes, a comparison between the simulated morphological development by the coupled and stand-alone models was made. This comparison shows that aeolian sediment transport to the foredune, i.e. 214,000 m3 over 5 years, extracts sediment from the marine domain. As a result, the alongshore redistribution of sediment from the main peninsula by marine-driven processes decreased by 70,000 m3, representing 1.7% of the total marine-driven dispersion. From the aeolian perspective, marine-driven deposition and erosion reshape the cross-shore profile, controlling the supply-limited aeolian sediment transport and the magnitude of sediment deposition in the foredunes. In the region with persistent accretion along the Sand Engine's southern flank, a higher than average foredune deposition was predicted due to morphological development of the region where sediment is picked up by aeolian transport. Including these marine processes in the coupled model resulted in an increase of 1.3% in foredune growth in year 1 and up to 6.7% in year 5 along this accretive section. At the northern flank, where the developing lagoon and tidal channel provided increased shelter to the supratidal beach, predicted foredune deposition reduced up to −11.5% over the evaluation period. Our findings show that both aeolian and marine transports impact reshaping the nourished sand, where developments in one domain affect the other. The study findings echo that the interplay between aeolian- and marine-driven morphodynamics could play a relevant role when predicting sandy NBS.","AeoLiS; Coupled modelling; Delft3D Flexible Mesh; Mega nourishment; Morphodynamics; Numerical modelling","en","journal article","","","","","","","","","","Hydraulic Engineering","Coastal Engineering","","",""
"uuid:72c4f3e2-a859-4c4d-9253-b32240e7de67","http://resolver.tudelft.nl/uuid:72c4f3e2-a859-4c4d-9253-b32240e7de67","Machine learning assisted discovery of high-efficiency self-healing epoxy coating for corrosion protection","Liu, Tong (University of Science and Technology Beijing; Shenyang University of Chemical Technology); Chen, Zhuoyao (University of Science and Technology Beijing); Yang, Jingzhi (University of Science and Technology Beijing); Ma, Lingwei (University of Science and Technology Beijing; Liaoning Academy of Materials); Mol, J.M.C. (TU Delft Team Arjan Mol); Zhang, Dawei (University of Science and Technology Beijing; Liaoning Academy of Materials)","","2024","Machine learning is a powerful means for the rapid development of high-performance functional materials. In this study, we presented a machine learning workflow for predicting the corrosion resistance of a self-healing epoxy coating containing ZIF-8@Ca microfillers. The orthogonal Latin square method was used to investigate the effects of the molecular weight of the polyetheramine curing agent, molar ratio of polyetheramine to epoxy, molar content of the hydrogen bond unit (UPy-D400), and mass content of the solid microfillers (ZIF-8@Ca microfillers) on the low impedance modulus (lg|Z|0.01Hz) values of the scratched coatings, generating 32 initial datasets. The machine learning workflow was divided into two stages: In stage I, five models were compared and the random forest (RF) model was selected for the active learning. After 5 cycles of active learning, the RF model achieved good prediction accuracy: coefficient of determination (R 2) = 0.709, mean absolute percentage error (MAPE) = 0.081, root mean square error (RMSE) = 0.685 (lg(Ω·cm2)). In stage II, the best coating formulation was identified by Bayesian optimization. Finally, the electrochemical impedance spectroscopy (EIS) results showed that compared with the intact coating ((4.63 ± 2.08) × 1011 Ω·cm2), the |Z|0.01Hz value of the repaired coating was as high as (4.40 ± 2.04) × 1011 Ω·cm2. Besides, the repaired coating showed minimal corrosion and 3.3% of adhesion loss after 60 days of neutral salt spray testing.","","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:8261d3bc-950d-4af3-abe5-f17220d6e061","http://resolver.tudelft.nl/uuid:8261d3bc-950d-4af3-abe5-f17220d6e061","Model Predictive Control in buildings with thermal and visual comfort constraints","Khosravi, M. (TU Delft Team Khosravi); Huber, Benjamin (Swiss Federal Laboratories for Materials Science and Technology (Empa)); Decoussemaeker, Antoon (Swiss Federal Laboratories for Materials Science and Technology (Empa); ETH Zürich); Heer, Philipp (Swiss Federal Laboratories for Materials Science and Technology (Empa)); Smith, Roy S. (ETH Zürich)","","2024","Model Predictive Control can cope with conflicting control objectives in building energy managements. In terms of user satisfaction, visual comfort has been proven in several studies to be a crucial factor, however thermal comfort is typically considered the only important aspect. Besides human well-being, visual comfort strongly impacts the productivity of the occupants in offices. Therefore, from an economic point of view, it is essential to include visual comfort in Model Predictive Control for buildings. In this paper semi-linear support vector machine is applied to learn suitable models for visual comfort measured by Daylight Glare Probability. The resulting model is incorporated into a Model Predictive Control framework, together with an autoregressive exogenous model accounting for the thermal dynamics of the building. The approach is validated through an extensive numerical case study, and the benefits of including visual comfort and blind control in the Model Predictive Control problem are evaluated. We observe that the proposed Model Predictive Control scheme ensures both the thermal and visual comfort constraints at the expense of 2.2% to 7.2% higher energy consumption compared to the benchmark Model Predictive Control configuration, which considers only the thermal comfort constraints.","Building energy; Data predictive control; Daylight glare probability; Model predictive control; Thermal comfort; Visual comfort","en","journal article","","","","","","","","","","","Team Khosravi","","",""
"uuid:f2dbfa35-2dc2-42bd-8213-2b52907d311a","http://resolver.tudelft.nl/uuid:f2dbfa35-2dc2-42bd-8213-2b52907d311a","Liquid-Liquid-Gas Triphasic Hydrogenation of Bicarbonate to Formate in a Continuous Flow Tubular Reactor","Afreen, G. (TU Delft ChemE/Catalysis Engineering); Bansode, Atul (TU Delft ChemE/Catalysis Engineering); Wada, Kazuhito (Nitto Denko Corporation); Hirano, Makoto (Nitto Denko Corporation); Matsuda, Hirokazu (Nitto Denko Corporation); Urakawa, A. (TU Delft ChemE/Catalysis Engineering)","","2024","Multiphasic reaction of bicarbonate hydrogenation to form formate using homogeneous Ru PNP pincer catalyst in a continuous flow tubular reactor is reported. The reaction system consists of three phases. Catalyst is dissolved in toluene while potassium bicarbonate is dissolved in water. The significance of efficient mixing among the organic phase, aqueous phase and gaseous hydrogen to improve hydrogenation reaction by using different inert packing materials is studied by operando visualization and also quantitatively discussed. The bicarbonate conversion of up to 67% is achieved after optimization of important reaction and reactor parameters. The designed reactor setup comprised of effective recycling system that recycles the catalyst with >99% activity.","CO hydrogenation; Formic acid; Homogeneous catalyst; Packed-bed reactor; Triphasic reaction","en","journal article","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:8532d5c0-316e-48a0-b8eb-9e7bce2cf2d2","http://resolver.tudelft.nl/uuid:8532d5c0-316e-48a0-b8eb-9e7bce2cf2d2","Electrogenic sulfur oxidation by cable bacteria in two seasonally hypoxic coastal systems","Burdorf, Laurine D.W. (Universiteit Antwerpen); Cook, Perran L.M. (Monash University); Robertson, Elizabeth K. (University of Gothenburg; University of Southern Denmark); Tramper, Anton (NIOZ Royal Netherlands Institute for Sea Research); Hidalgo-Martinez, Silvia (Universiteit Antwerpen); Vasquez-Cardenas, Diana (Universiteit Antwerpen); Malkin, Sairah Y. (University of Maryland Center for Environmental Science); Meysman, F.J.R. (TU Delft BT/Environmental Biotechnology; Universiteit Antwerpen)","","2024","Cable bacteria can reach high densities in coastal sediments, and as a result of their unusual electrogenic lifestyle and intense metabolic activity, exert a major and distinct impact on biogeochemical cycling, both locally in sediments and at the ecosystem level. This appears to be particularly true for seasonally hypoxic systems, but the driving force behind the proliferation of cable bacteria in these systems is not well understood. Moreover, the metabolism of cable bacteria induces strong acid production, which can be buffered through carbonate dissolution in sediments. A strong depletion of alkalinity in the pore water is therefore expected in carbonate-poor sediments. To evaluate the impact of cable bacteria metabolism on sediment geochemistry, we performed field sampling and laboratory sediment incubations in two seasonally hypoxic sites: one carbonate-poor site with low levels of free sulfide in pore water (Yarra Estuary, Australia) and one carbonate-rich site with high free sulfide (Lake Grevelingen, The Netherlands). Active cable bacteria populations were found in both field locations, with higher abundance and activity observed in spring compared to autumn. The sediment incubations tracked the metabolic activity of cable bacteria over time (maximum 84 days), and confirmed the fast development of an electric network (cell doubling time: ∼19 h). These results suggest that cable bacteria are widespread in seasonally hypoxic systems, supporting previous findings. Cable bacteria acidified the sediment by > 1.5 pH units in 6–13 days (differing per site) and their activity accounted for >70% of the oxygen uptake. A clear subsurface accumulation of Fe2+ was observed after 8 days of Yarra sediment incubations, indicative of increased FeS dissolution as e-SOx developed. The increased availability of sulfide from FeS dissolution promotes a positive-feedback loop that we infer allowed for a faster development of cable bacteria in the carbonate-poor sediments. A depletion of total alkalinity was observed in the deeper Yarra sediment, whereas, a higher alkalinity efflux was previously observed in the carbonate-rich sediments from Lake Grevelingen. These results suggest a differential pH and alkalinity dynamic due to the interaction between the local carbonate content of the sediment and cable bacteria activity.","Alkalinity; Aquatic biogeochemistry; Cable bacteria; Iron cycling; Long-distance electron transport; Sediment buffering capacity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-03","","","BT/Environmental Biotechnology","","",""
"uuid:e7444ac1-5c3b-4901-a940-986f3962debb","http://resolver.tudelft.nl/uuid:e7444ac1-5c3b-4901-a940-986f3962debb","A study on siting of emergency shelters for dam failure floods considering population distribution and weather effects","Jiao, Yutie (Zhengzhou University); Li, Zongkun (Zhengzhou University); Ge, Wei (Zhengzhou University; Yellow River Engineering Consulting Co); Jing, Laihong (Yellow River Engineering Consulting Co); Wu, Meimei (Henan University of Technology, Zhengzhou); Wang, Te (Zhengzhou University); Sun, Heqiang (Zhengzhou University); Wang, Jianyou (Zhengzhou University); Zhang, Xiangyang (Zhengzhou University); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science)","","2024","In recent years, dam failures have occurred frequently because of extreme weather, posing a significant threat to downstream residents. The establishment of emergency shelters is crucial for reducing casualties. The selection of suitable shelters depends on key information such as the number and distribution of affected people, and the effective capacity and accessibility of the shelters. However, previous studies on siting shelters did not fully consider population distribution differences at a finer scale. This limitation hinders the accuracy of estimating the number of affected people. In addition, most studies ignored the impact of extreme rainfall on the effective capacity and accessibility of shelters, leading to a low applicability of the shelter selection results. Therefore, in this study, land-use and land-cover change (LUCC) and nighttime lighting data were used to simulate population distribution and determine the number and distribution of affected people. Qualified candidate shelters were obtained based on screening criteria, and their effective capacity and accessibility information under different weather conditions were quantified. Considering factors such as population transfer efficiency, construction cost and shelter capacity constraints, a multi-objective siting model was established and solved using the non-dominated sorting genetic algorithm II (NSGA- II) to obtain the final siting scheme. The method was applied to the Dafangying Reservoir, and the results showed the following: (1) The overall mean relative error (MRE) of the population in the 35 downstream streets was 11.16 %, with good fitting accuracy. The simulation results truly reflect the population distribution. (2) Normal weather screening generated 352 qualified candidate shelters, whereas extreme rainfall weather screening generated 266 candidate shelters. (3) Based on the population distribution and weather factors, four scenarios were set up, with 63, 106, 73, and 131 shelters selected. These two factors have a significant impact on the selection of shelters and the allocation of evacuees, and should be considered in the event of a dam-failure floods.","Dam-failure floods; Emergency transfer; Population distribution; Shelters selection; Weather factors","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-05","","","Safety and Security Science","","",""
"uuid:974e9c7f-2187-435c-a287-8dd52dc316a1","http://resolver.tudelft.nl/uuid:974e9c7f-2187-435c-a287-8dd52dc316a1","Variability of Middle East springtime dust events between 2011 and 2022","Broomandi, Parya (Nazarbayev University); Galán-Madruga, David (Instituto de Salud Carlos III); Satyanaga, Alfrendo (Nazarbayev University); Hamidi, Mehdi (Babol Noshirvani University of Technology); Ledari, Dorna Gholamzade (University of Tehran); Fathian, Aram (Rheinisch-Westfälische Technische Hochschule; University of Calgary; Research Institute for Earth Sciences); Sarvestan, Rasoul (Hakim Sabzevari University); Janatian Ghadikolaei, N. (TU Delft Geo-engineering; Tallinn University of Technology); Jahanbakhshi, Ali (Loughborough University)","","2024","The Middle East frontal sand and dust storms (SDS) occur in non-summer seasons, and represent an important phenomenon of this region’s climate. Among the mentioned type, spring SDS are the most common. Trend analysis was used in the current study to investigate the spatial-temporal variability of springtime dust events in the Middle East using synoptic station observation from 2011 to 2022. The plausible changes in some controlling factors of dust activity at selected important dust sources in the Middle East were also studied during this time period. Our results showed a statistically significant spike in springtime dust events across the Middle East, particularly in May 2022. To evaluate the relative importance of controlling factors, the applied feature of importance analysis using random forest (RF) showed the higher relative importance of topsoil layer wetness, surface soil temperature, and surface wind speed in dust activity over the Middle East between 2011 and 2022. Long-term trend analysis of topsoil moisture and temperature, using the Mann-Kendall trend test, showed a decrease in soil moisture and an increase in soil temperature in some selected important dust sources in the Middle East. Moreover, our predictions using ARIMA models showed a high tendency to dust activities in selected major dust origins (domain 2 and domain 5) with a statistically significant increase (p-value < 0.05) between 2023 and 2029. Observed spatial and temporal changes within SDS hotspots can act as the first step to build up for the first time an SDS precise intensity scale, as well as establishing an SDS early warning system in future.","ARIMA model; Drought; Mann-Kendall trend test; Sand and dust storms; Soil moisture; Soil temperature; the Middle East","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-27","","","Geo-engineering","","",""
"uuid:77c530c0-d30e-4ea3-b3af-ac0d7f31171a","http://resolver.tudelft.nl/uuid:77c530c0-d30e-4ea3-b3af-ac0d7f31171a","Revisiting the Past: A comparative study for semantic segmentation of historical images of Adelaide Island using U-nets","Dahle, F. (TU Delft Physical and Space Geodesy); Lindenbergh, R.C. (TU Delft Optical and Laser Remote Sensing); Wouters, B. (TU Delft Physical and Space Geodesy)","","2024","The TriMetrogon Aerial (TMA) archive is an archive of historical images of Antarctica taken by the US Navy between 1940 and 2000 with analogue cameras. The analysis of such historic data can give a view of Antarctica's glaciers predating modern satellite imagery and provide unique insights into the long-term impact of changing climate conditions with essential validation data for climate modelling. However, the lack of semantic information for these images presents a challenge for large-scale computer-driven analysis. Such information can be added to the data using semantic segmentation, but traditional algorithms fail on these scanned historical grayscale images, due to varying image quality, lack of colour information and artefacts in the images. To address this, we present a deep-learning-based U-net workflow. Our approach includes creating training data by pre-processing and labelling the raw images. Furthermore, different versions of the U-net are trained to optimize its hyperparameters and augmentation methods. With the optimal hyper-parameters and augmentation methods, a final model has been trained for a use-case to segment 118 images covering Adelaide Island. We tested our approach by segmenting challenging historical images using a U-net model with just 80 training images, achieving an accuracy of 73% for 20 validation images. While no test data is available for our use case, a visual examination of the segmented images shows that our method performs effectively. The comparison of the hyper-parameters and augmentation methods provides directions for training other U-net-based models so that the presented workflow can be used to segment other archives with historical imagery. Additionally, the labelled training data and the segmented images of the test are publicly available at https://github.com/fdahle/antarctic_segmentation.","","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:8d0a9d4d-7064-406a-aa16-1f41863f7f26","http://resolver.tudelft.nl/uuid:8d0a9d4d-7064-406a-aa16-1f41863f7f26","Tuning Nanopores in Tubular Ceramic Nanofiltration Membranes with Atmospheric-Pressure Atomic Layer Deposition: Prospects for Pressure-Based In-Line Monitoring of Pore Narrowing","Nijboer, Michiel (University of Twente); Jan, A. (TU Delft Sanitary Engineering); Chen, M. (TU Delft ChemE/Product and Process Engineering; University of Twente); Batenburg, Kevin (University of Twente); Peper, Julia (University of Twente); Aarnink, Tom (University of Twente); Roozeboom, Fred (University of Twente); Kovalgin, Alexey (University of Twente); Nijmeijer, Arian (University of Twente); Luiten-Olieman, Mieke (University of Twente)","","2024","Atomic layer deposition (ALD) is known for its unparalleled control over layer thickness and 3D conformality and could be the future technique of choice to tailor the pore size of ceramic nanofiltration membranes. However, a major challenge in tuning and functionalizing a multichannel ceramic membrane is posed by its large internal pore volume, which needs to be evacuated during ALD cycling. This may require significant energy and processing time. This study presents a new reactor design, operating at atmospheric pressure, that is able to deposit thin layers in the pores of ceramic membranes. In this design, the reactor wall is formed by the industrial tubular ceramic membrane itself, and carrier gas flows are employed to transport the precursor and co-reactant vapors to the reactive surface groups present on the membrane surface. The layer growth for atmospheric-pressure ALD in this case proceeds similarly to that for state-of-the-art vacuum-based ALD. Moreover, for membrane preparation, this new reactor design has three advantages: (i) monolayers are deposited only at the outer pore mouths rather than in the entire bulk of the porous membrane substrate, resulting in reduced flow resistances for liquid permeation; (ii) an in-line gas permeation method was developed to follow the layer growth in the pores during the deposition process, allowing more precise control over the finished membrane; and (iii) expensive vacuum components and cleanroom environment are eliminated. This opens up a new avenue for ceramic membrane development with nano-scale precision using ALD at atmospheric pressure.","atmospheric-pressure atomic layer deposition (AP-ALD); in-line gas permeation test; molecular weight cut-off; permporometry; pore narrowing; titanium dioxide (TiO); tubular ceramic membranes","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:ddff4858-806d-49cf-907c-0a14ce6b23ef","http://resolver.tudelft.nl/uuid:ddff4858-806d-49cf-907c-0a14ce6b23ef","Flow affects the structural and mechanical properties of the fibrin network in plasma clots","Eyisoylu, H. (TU Delft BN/Gijsje Koenderink Lab; Erasmus MC; Kavli institute of nanoscience Delft); Hazekamp, Emma D. (Erasmus MC); Cruts, Janneke (Erasmus MC); Koenderink, G.H. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft); de Maat, Moniek P.M. (Erasmus MC)","","2024","The fibrin network is one of the main components of thrombi. Altered fibrin network properties are known to influence the development and progression of thrombotic disorders, at least partly through effects on the mechanical stability of fibrin. Most studies investigating the role of fibrin in thrombus properties prepare clots under static conditions, missing the influence of blood flow which is present in vivo. In this study, plasma clots in the presence and absence of flow were prepared inside a Chandler loop. Recitrated plasma from healthy donors were spun at 0 and 30 RPM. The clot structure was characterized using scanning electron microscopy and confocal microscopy and correlated with the stiffness measured by unconfined compression testing. We quantified fibrin fiber density, pore size, and fiber thickness and bulk stiffness at low and high strain values. Clots formed under flow had thinner fibrin fibers, smaller pores, and a denser fibrin network with higher stiffness values compared to clots formed in absence of flow. Our findings indicate that fluid flow is an essential factor to consider when developing physiologically relevant in vitro thrombus models used in researching thrombectomy outcomes or risk of embolization. Graphical Abstract: [Figure not available: see fulltext.].","","en","journal article","","","","","","","","","","","BN/Gijsje Koenderink Lab","","",""
"uuid:be422b2d-28a0-4205-836b-231ff3d8c850","http://resolver.tudelft.nl/uuid:be422b2d-28a0-4205-836b-231ff3d8c850","A Parametric 3D Model of Human Airways for Particle Drug Delivery and Deposition","Geronzi, Leonardo (University of Rome Tor Vergata; RBF Morph, Rome); Fanni, Benigno Marco (Bioengineering Unit, Massa); De Jong, Bart (ONE Simulations, Leiden); Roest, G.T.H. (Grep IT, Noordwijkerhout); Kenjeres, S. (TU Delft ChemE/Transport Phenomena); Celi, Simona (Bioengineering Unit, Massa); Biancolini, Marco Evangelos (University of Rome Tor Vergata; RBF Morph, Rome)","","2024","The treatment for asthma and chronic obstructive pulmonary disease relies on forced inhalation of drug particles. Their distribution is essential for maximizing the outcomes. Patient-specific computational fluid dynamics (CFD) simulations can be used to optimize these therapies. In this regard, this study focuses on creating a parametric model of the human respiratory tract from which synthetic anatomies for particle deposition analysis through CFD simulation could be derived. A baseline geometry up to the fourth generation of bronchioles was extracted from a CT dataset. Radial basis function (RBF) mesh morphing acting on a dedicated tree structure was used to modify this baseline mesh, extracting 1000 synthetic anatomies. A total of 26 geometrical parameters affecting branch lengths, angles, and diameters were controlled. Morphed models underwent CFD simulations to analyze airflow and particle dynamics. Mesh morphing was crucial in generating high-quality computational grids, with 96% of the synthetic database being immediately suitable for accurate CFD simulations. Variations in wall shear stress, particle accretion rate, and turbulent kinetic energy across different anatomies highlighted the impact of the anatomical shape on drug delivery and deposition. The study successfully demonstrates the potential of tree-structure-based RBF mesh morphing in generating parametric airways for drug delivery studies.","computational fluid dynamics; parametric airways modeling; particle deposition; RBF mesh morphing; respiratory diseases","en","journal article","","","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:0c3a8768-12d8-4f6a-a634-720ffe1573ee","http://resolver.tudelft.nl/uuid:0c3a8768-12d8-4f6a-a634-720ffe1573ee","Buckling of a monolayer of platelike particles trapped at a fluid-fluid interface","Prakash, Suriya (TU Delft Complex Fluid Processing); Perrin, H.R.L. (TU Delft Complex Fluid Processing); Botto, L. (TU Delft Complex Fluid Processing)","","2024","Particles trapped at a fluid-fluid interface by capillary forces can form a monolayer that jams and buckles when subject to uniaxial compression. Here we investigate experimentally the buckling mechanics of monolayers of millimeter-sized rigid plates trapped at a planar fluid-fluid interface subject to uniaxial compression in a Langmuir trough. We quantified the buckling wavelength and the associated force on the trough barriers as a function of the degree of compression. To explain the observed buckling wavelength and forces in the two-dimensional (2D) monolayer, we consider a simplified system composed of a linear chain of platelike particles. The chain system enables us to build a theoretical model which is then compared to the 2D monolayer data. Both the experiments and analytical model show that the wavelength of buckling of a monolayer of platelike particles is of the order of the particle size, a different scaling from the one usually reported for monolayers of spheres. A simple model of buckling surface pressure is also proposed, and an analysis of the effect of the bending rigidity resulting from a small overlap between nanosheet particles is presented. These results can be applied to the modeling of the interfacial rheology and buckling dynamics of interfacial layers of 2D nanomaterials.","","en","journal article","","","","","","","","","","","Complex Fluid Processing","","",""
"uuid:abb32843-ff56-44e2-b09f-94e141317fd0","http://resolver.tudelft.nl/uuid:abb32843-ff56-44e2-b09f-94e141317fd0","Supercurrent mediated by helical edge modes in bilayer graphene","Rout, P.K. (TU Delft QRD/Goswami Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Papadopoulos, N. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Peñaranda, Fernando (Instituto de Ciencia de Materiales de Madrid (ICMM)); Watanabe, Kenji (National Institute for Materials Science); Taniguchi, Takashi (National Institute for Materials Science); Prada, Elsa (Instituto de Ciencia de Materiales de Madrid (ICMM)); San-Jose, Pablo (Instituto de Ciencia de Materiales de Madrid (ICMM)); Goswami, S. (TU Delft QRD/Goswami Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2024","Bilayer graphene encapsulated in tungsten diselenide can host a weak topological phase with pairs of helical edge states. The electrical tunability of this phase makes it an ideal platform to investigate unique topological effects at zero magnetic field, such as topological superconductivity. Here we couple the helical edges of such a heterostructure to a superconductor. The inversion of the bulk gap accompanied by helical states near zero displacement field leads to the suppression of the critical current in a Josephson geometry. Using superconducting quantum interferometry we observe an even-odd effect in the Fraunhofer interference pattern within the inverted gap phase. We show theoretically that this effect is a direct consequence of the emergence of helical modes that connect the two edges of the sample. The absence of such an effect at high displacement field, as well as in bare bilayer graphene junctions, supports this interpretation and demonstrates the topological nature of the inverted gap.","","en","journal article","","","","","","","","","","","QRD/Goswami Lab","","",""
"uuid:0a43717f-695d-48d6-a7df-d70939d027f6","http://resolver.tudelft.nl/uuid:0a43717f-695d-48d6-a7df-d70939d027f6","Evaluation of Horizontal and Vertical Constrained Rod Casting Mold on Hot Tearing Susceptibility of Al-Cu Alloys","Rajagukguk, Kardo (Universitas Gadjah Mada; Institut Teknologi Sumatera (ITERA)); Suyitno, Suyitno (Universitas Gadjah Mada; Universitas Tidar (UNTIDAR)); Saptoadi, Harwin (Universitas Gadjah Mada); Kusumaningtyas, Indraswari (Universitas Gadjah Mada); Arifvianto, Budi (Universitas Gadjah Mada); Salim, Urip Agus (Universitas Gadjah Mada); Mahardika, Muslim (Universitas Gadjah Mada); Pujiyulianto, Eko (Universitas Gadjah Mada; Institut Teknologi Sumatera (ITERA)); Katgerman, L. (TU Delft Team Joris Dik; Katgerman Aluminium Technology)","","2024","This research aims to evaluate horizontal and vertical constrained rod casting (CRC) molds on hot tearing susceptibility (HTS) of Al-xCu casting alloys with 2.2, 3.6, 7.5, and 12.5 percent Cu. The hot tears on the casting product were observed using a macroscopic approach. In addition, the hot tearing susceptibility of each casting product prepared using these molds was evaluated using the HTS formula. The results show that the vertical CRC mold has a higher HTS value than the horizontal CRC mold. The rod length is a significant factor in causing hot tearing. Longer rods are more susceptible to hot tearing. The horizontal CRC mold provides a clearer effect of rod length and Cu composition on the average HTS value. In the vertical CRC mold, the effect of Cu composition on the average HTS value is less clear. Therefore, it is highly recommended to use horizontal CRC mold for HTS testing of aluminum casting alloys.","Al-Cu alloys; casting; constrained rod casting (CRC); horizontal CRC mold; hot tearing susceptibility; vertical CRC mold","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-29","","","Team Joris Dik","","",""
"uuid:d02f2f4e-e23b-433a-8901-026ea803459f","http://resolver.tudelft.nl/uuid:d02f2f4e-e23b-433a-8901-026ea803459f","Multi-trait analysis characterizes the genetics of thyroid function and identifies causal associations with clinical implications","Sterenborg, Rosalie B.T.M. (Radboud University Medical Center; Erasmus MC); Steinbrenner, Inga (University Medical Center Freiburg); Li, Yong (Institute of Genetic Epidemiology; University Medical Center Freiburg); Bujnis, Melissa N. (University of Utah); Naito, Tatsuhiko (Osaka University Graduate School of Medicine; RIKEN Center for Integrative Medical Sciences); Marouli, Eirini (Barts and The London School of Medicine and Dentistry; Queen Mary University of London); Meima, M.E. (Erasmus MC); van den Akker, E.B. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Teumer, Alexander (University Medicine Greifswald; Bialystok University of Technology); Medici, M. (Radboud University Medical Center; Erasmus MC)","","2024","To date only a fraction of the genetic footprint of thyroid function has been clarified. We report a genome-wide association study meta-analysis of thyroid function in up to 271,040 individuals of European ancestry, including reference range thyrotropin (TSH), free thyroxine (FT4), free and total triiodothyronine (T3), proxies for metabolism (T3/FT4 ratio) as well as dichotomized high and low TSH levels. We revealed 259 independent significant associations for TSH (61% novel), 85 for FT4 (67% novel), and 62 novel signals for the T3 related traits. The loci explained 14.1%, 6.0%, 9.5% and 1.1% of the total variation in TSH, FT4, total T3 and free T3 concentrations, respectively. Genetic correlations indicate that TSH associated loci reflect the thyroid function determined by free T3, whereas the FT4 associations represent the thyroid hormone metabolism. Polygenic risk score and Mendelian randomization analyses showed the effects of genetically determined variation in thyroid function on various clinical outcomes, including cardiovascular risk factors and diseases, autoimmune diseases, and cancer. In conclusion, our results improve the understanding of thyroid hormone physiology and highlight the pleiotropic effects of thyroid function on various diseases.","","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:1ce2fa75-5521-436c-b311-ff402a48ab1f","http://resolver.tudelft.nl/uuid:1ce2fa75-5521-436c-b311-ff402a48ab1f","Aggregating value systems for decision support","Lera-Leri, Roger X. (Artificial Intelligence Research Institute, Spanish National Scientific Research Council); Liscio, E. (TU Delft Interactive Intelligence); Bistaffa, Filippo (Artificial Intelligence Research Institute, Spanish National Scientific Research Council); Jonker, C.M. (TU Delft Interactive Intelligence); Lopez-Sanchez, Maite (Universitat Politecnica de Catalunya); Murukannaiah, P.K. (TU Delft Interactive Intelligence); Rodriguez-Aguilar, Juan A. (Artificial Intelligence Research Institute, Spanish National Scientific Research Council); Salas-Molina, Francisco (Universitat Politécnica de Valencia)","","2024","We adopt an emerging and prominent vision of human-centred Artificial Intelligence that requires building trustworthy intelligent systems. Such systems should be capable of dealing with the challenges of an interconnected, globalised world by handling plurality and by abiding by human values. Within this vision, pluralistic value alignment is a core problem for AI– that is, the challenge of creating AI systems that align with a set of diverse individual value systems. So far, most literature on value alignment has considered alignment to a single value system. To address this research gap, we propose a novel method for estimating and aggregating multiple individual value systems. We rely on recent results in the social choice literature and formalise the value system aggregation problem as an optimisation problem. We then cast this problem as an ℓp-regression problem. Doing so provides a principled and general theoretical framework to model and solve the aggregation problem. Our aggregation method allows us to consider a range of ethical principles, from utilitarian (maximum utility) to egalitarian (maximum fairness). We illustrate the aggregation of value systems by considering real-world data from two case studies: the Participatory Value Evaluation process and the European Values Study. Our experimental evaluation shows how different consensus value systems can be obtained depending on the ethical principle of choice, leading to practical insights for a decision-maker on how to perform value system aggregation.","AI & ethics; Optimisation; Value systems","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:a3bdb09c-7573-4ede-88b6-cf84583ca9f5","http://resolver.tudelft.nl/uuid:a3bdb09c-7573-4ede-88b6-cf84583ca9f5","Impact of large-scale hydrogen electrification and retrofitting of natural gas infrastructure on the European power system","Morales-Espana, G. (TU Delft Algorithmics; TNO Energy & Materials Transition); Hernández-Serna, Ricardo (Eurus Energy Europe BV); Tejada-Arango, Diego A. (TNO Energy & Materials Transition; Comillas Pontifical University); Weeda, Marcel (TNO Energy & Materials Transition)","","2024","In this paper, we aim to analyse the impact of hydrogen production decarbonisation and electrification scenarios on the infrastructure development, generation mix, CO2 emissions, and system costs of the European power system, considering the retrofit of the natural gas infrastructure. We define a reference scenario for the European power system in 2050 and use scenario variants to obtain additional insights by breaking down the effects of different assumptions. The scenarios were analysed using the European electricity market model COMPETES, including a proposed formulation to consider retrofitting existing natural gas networks to transport hydrogen instead of methane. According to the results, 60% of the EU's hydrogen demand is electrified, and approximately 30% of the total electricity demand will be to cover that hydrogen demand. The primary source of this electricity would be non-polluting technologies. Moreover, hydrogen flexibility significantly increases variable renewable energy investment and production, and reduces CO2 emissions. In contrast, relying on only electricity transmission increases costs and CO2 emissions, emphasising the importance of investing in an H2 network through retrofitting or new pipelines. In conclusion, this paper shows that electrifying hydrogen is necessary and cost-effective to achieve the EU's objective of reducing long-term emissions.","Electrification; Hydrogen; Retrofit; Steam methane reforming","en","journal article","","","","","","","","","","","Algorithmics","","",""
"uuid:63aa2011-308e-4622-bb07-5fd32b076044","http://resolver.tudelft.nl/uuid:63aa2011-308e-4622-bb07-5fd32b076044","Milliarcsecond-scale radio structure of the most distant BL Lac object candidate at redshift 6.57","Frey, S. (Institute of Physics and Astronomy; Eötvös University; MTA Centre of Excellence); Zhang, Y. (Chinese Academy of Sciences; Key Laboratory of Radio Astronomy); Perger, K. (Konkoly Observatory Hungarian Academy of Sciences; MTA Centre of Excellence); An, T. (Chinese Academy of Sciences; Key Laboratory of Radio Astronomy); Gabányi, K. (Konkoly Observatory Hungarian Academy of Sciences; MTA Centre of Excellence; Eötvös University); Gurvits, L. (TU Delft Astrodynamics & Space Missions; Joint Institute for VLBI ERIC); Hwang, C. Y. (National Central University Taiwan); Koptelova, E. (National Central University Taiwan); Paragi, Z. (Joint Institute for VLBI ERIC); Fogasy, J. (Konkoly Observatory Hungarian Academy of Sciences; MTA Centre of Excellence)","","2024","Context. The existence of accreting supermassive black holes of up to billions of solar masses at early cosmological epochs (in the context of this work, redshifts z & 6) requires very fast growth rates that are challenging to explain. The presence of a relativistic jet can be a direct indication of activity and accretion status in active galactic nuclei (AGN), constraining the radiative properties of these extreme objects. However, known jetted AGN beyond z ∼ 6 are still very rare. Aims. The radio-emitting AGN J2331+1129 has recently been claimed as a candidate BL Lac object at redshift z = 6.57 based on its synchrotron-dominated emission spectrum and a lack of ultraviolet or optical emission lines. It is a promising candidate for the highest-redshift blazar known to date. The aim of the observations described here is to support or refute the blazar classification of this peculiar source. Methods. We performed high-resolution radio interferometric imaging observations of J2331+1129 using the Very Long Baseline Array at 1.6 and 4.9 GHz in February 2022. Results. The images reveal a compact but slightly resolved, flat-spectrum core feature at both frequencies, indicating that the total radio emission is produced by a compact jet and originates from within a central region of ∼10 pc in diameter. While these details are consistent with the radio properties of a BL Lac object, the inferred brightness temperatures are at least an order of magnitude lower than expected for a Doppler-boosted radio jet, which casts doubt on the high-redshift BL Lac identification.","BL Lacertae objects: individual: J2331+1129; galaxies: high-redshift; radio continuum: galaxies; techniques: interferometric","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:08b2d677-4383-40af-b49d-3b1b8e31031b","http://resolver.tudelft.nl/uuid:08b2d677-4383-40af-b49d-3b1b8e31031b","Towards a digital twin architecture for the lighting industry","Guerra, Victor (Pi Lighting Sarl); Hamon, Benoit (Pi Lighting Sarl); Bataillou, Benoit (Pi Lighting Sarl); Inamdar, A.S. (TU Delft Electronic Components, Technology and Materials); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify)","","2024","This paper introduces an ontology-based Digital Twin (DT) architecture for the lighting industry, integrating simulation models, data analytics, and visualization to represent luminaires. The ontology standardizes luminaire components, facilitating interoperability with design tools. The calculated ontology-level metrics suggest mid-level complexity with Size Of Vocabulary (SOV) at 37, Edge-to-Node Ratio (ENR) at 0.865, Tree Impurity (TIP) at 0, and Entropy Of Graph (EOG) at 2.61. A use case explores the utility of the ontology in the design phase across two different geographical locations, assessing environmental adaptability. The ontology captures opto-thermo-electric interactions, providing insights into luminaire performance. Results from inflating the DT and conducting simulations align with existing literature, indicating a degradation of around 12% over 8 years on the radiant flux. This ontology, up to the authors’ knowledge, is the first formal definition for the lighting industry, aiming to encompass the entire luminaire lifecycle. The current focus is on design and operational phases, with potential future enhancements to include real-time monitoring for performance evaluation and predictive maintenance. This work contributes to luminaire analysis and supports the development of sustainable lighting solutions in the industry.","Digital twin; Lighting; Ontology; Predictive maintenance; Remaining useful lifetime; Scheduled maintenance","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-06","","","Electronic Components, Technology and Materials","","",""
"uuid:0275192e-7b31-4d8e-901e-52141b5ea61b","http://resolver.tudelft.nl/uuid:0275192e-7b31-4d8e-901e-52141b5ea61b","District heating with complexity: Anticipating unintended consequences in the transition towards a climate-neutral city in the Netherlands","Gürsan, C. (Nijmegen School of Management); de Gooyert, V. (Nijmegen School of Management); de Bruijne, M.L.C. (TU Delft Organisation & Governance); Raaijmakers, J. (Nijmegen School of Management)","","2024","District heating systems are considered a feasible heating alternative to replace natural gas to mitigate emissions in cities. However, urban transitions are very complex because energy systems often operate in densely populated areas, which gives rise to all kinds of interdependencies in cities. These interdependencies can result in unintended consequences which can indirectly help or hinder urban energy transitions. Understanding these influences the transition to climate neutrality. This research investigates the lessons learned from a project conducted in Rotterdam: a high-density city in the Netherlands which is expanding its district heating systems. We use qualitative system dynamics models to explore the underlying complexity and to recognize indirect consequences of policies. Our results cover both technologically oriented and policy-oriented insights, contributing to the literature on transition governance in cities. On the one hand, the national and urban strategies in the Netherlands activate mechanisms that support cities with district heating systems such as Rotterdam. On the other hand, the same strategies could also lead to a potential rivalry between energy efficiency and energy security, which are both crucial goals in urban transition governance. Participative modeling provides policy-makers with an analytical tool to detect systemic dependencies which can be used to identify synergies and barriers among different energy policy objectives. This helps avoiding potential unintended consequences including the use of carbon-heavy systems and displacing investments from energy efficiency and renewable heating systems.","District heating; Energy transition; Feedback effects; Infrastructure interdependencies; Socio-technical systems; System dynamics","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:abc3d88e-843f-4bf5-a6a6-4015be0a8f4e","http://resolver.tudelft.nl/uuid:abc3d88e-843f-4bf5-a6a6-4015be0a8f4e","Gate-tunable kinetic inductance parametric amplifier","Splitthoff, L.J. (TU Delft QRD/Kouwenhoven Lab; TU Delft Communication QuTech; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wesdorp, J.J. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Pita-Vidal, Marta (TU Delft QRD/Kouwenhoven Lab; TU Delft Communication QuTech; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bargerbos, A. (TU Delft QRD/Kouwenhoven Lab; TU Delft Communication QuTech; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Liu, Yu (University of Copenhagen); Andersen, C.K. (TU Delft Andersen Lab; TU Delft Communication QuTech; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2024","Superconducting parametric amplifiers play a crucial role in the preparation and readout of quantum states at microwave frequencies, enabling high-fidelity measurements of superconducting qubits. Most existing implementations of these amplifiers rely on the nonlinearity from Josephson junctions, superconducting quantum interference devices, or disordered superconductors. Additionally, frequency tunability arises typically from either flux or current biasing. In contrast, semiconductor-based parametric amplifiers are tunable by local electric fields, which impose a smaller thermal load on the cryogenic setup than current and flux biasing and lead to vanishing crosstalk to other on-chip quantum systems. In this work, we present a gate-tunable parametric amplifier that operates without Josephson junctions, using a proximitized semiconducting nanowire. This design achieves near-quantum-limited performance, featuring more than 20-dB gain and a 30-MHz gain-bandwidth product. The absence of Josephson junctions results in advantages, including substantial saturation powers of -120 dBm, magnetic field compatibility up to 500mT, and frequency tunability over a range of 15 MHz. Our realization of a parametric amplifier supplements efforts towards gate-controlled superconducting electronics, further advancing the abilities for high-performing quantum measurements of semiconductor-based and superconducting quantum devices.","","en","journal article","","","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:ca88f894-fa33-4e0f-80ac-4de4f6988c3c","http://resolver.tudelft.nl/uuid:ca88f894-fa33-4e0f-80ac-4de4f6988c3c","Public acceptance in direct potable water reuse: a call for incorporating responsible research and innovation","Moesker, K. (TU Delft Values Technology and Innovation); Pesch, U. (TU Delft Values Technology and Innovation); Doorn, N. (TU Delft Values Technology and Innovation)","","2024","As global issues such as climate change and diminishing resources become increasingly pressing, water recycling has moved into the focus. However, the successful implementation of Direct Potable Water Reuse (DPR) projects hinges on securing public acceptance, which remains challenging. This paper aims to flesh out possible reasons for the lingering public rejection of DPR. We will do so by conducting a literature review on how public acceptance is understood and what approaches are proposed to enhance it. These approaches are analyzed using Responsible Research and Innovation principles and the `opening up', `closing down' and `leaving ajar' approaches. Our research identifies an overreliance on the controversial information deficit model, closing down large parts of public engagement. We advocate for becoming more inclusive through the `leaving ajar' approach. Particularly, attention should be paid to reflexivity and responsiveness to public concerns to ensure meaningful public engagement.","Direct potable reuse; information deficit model; public acceptance; public participation; responsible research and innovation","en","journal article","","","","","","","","","","Values Technology and Innovation","","","",""
"uuid:01b7743d-7174-434c-a47e-ee2e705875a7","http://resolver.tudelft.nl/uuid:01b7743d-7174-434c-a47e-ee2e705875a7","Bioethanol separation by a new pass-through distillation process","Jankovic, T.J. (TU Delft BT/Bioprocess Engineering); Straathof, Adrie J.J. (TU Delft BT/Bioprocess Engineering); McGregor, Ian R. (Drystill Holdings, Mississauga); Kiss, A.A. (TU Delft ChemE/Product and Process Engineering)","","2024","Distillation is the most used separation technology at industrial-scale, but using distillation in bio-based processes (e.g. fermentation processes to produce bioethanol) is quite challenging when mild temperatures are needed to keep the microbes alive. Vacuum distillation can be used to perform evaporation at low temperatures, but setting a low distillation pressure fixes also the condensation temperature to very low values that may require expensive refrigeration. Pass-through distillation (PTD) is an emerging hybrid separation technology that effectively combines distillation with absorption in a sorption-assisted distillation process that decouples the evaporation and condensation steps. This is achieved by inserting between the evaporation and condensation steps an absorption-desorption loop that passes through the component to be separated and allows the use of different pressures and types of heating and cooling utilities. This paper is the first to present the process design and rigorous simulation (implemented in Aspen Plus) of a new pass-through distillation process for bioethanol (∼100 ktonne/y plant capacity), proving its effectiveness in concurrent alcohol recovery and fermentation (CARAF). Combining PTD with heat pumps leads to low recovery costs of 0.122 $/kgEtOH and energy requirements of only 1.723 kWthh/kgEtOH. Alternatively, combining PTD with multi-effect distillation resulted in 0.131 $/kgEtOH recovery costs and 1.834 kWthh/kgEtOH energy intensity.","Bioethanol; Distillation; Fluid separation; Industrial fermentation; Process design","en","journal article","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:f056ed8a-3839-4a22-86fe-78ecaa90a9c7","http://resolver.tudelft.nl/uuid:f056ed8a-3839-4a22-86fe-78ecaa90a9c7","Social Meritocracy and Unjust Social Hierarchies: Three Proposals to Limit Meritocracy’s Erosion of Social Cooperation","Ziliotti, E. (TU Delft Ethics & Philosophy of Technology)","","2024","A well-functioned society depends on its ability to nurture, attract, and deploy talents in critical sectors. However, the implementation of some meritocratic principles to allocate positions often leads to unjust social hierarchies. Is there, then, a solution to meritocracy’s dysfunctional hierarchical effects? This paper attempts to answer this by drawing on the real-world cases of Singapore and the USA to investigate the relationship of toxic social hierarchies with meritocracy. It proposes three solutions to curb the unjustifiable social stratifications and the erosion of social cooperation often associated with social meritocracy. These reflections could help to shed light on the grounds for the ongoing debates on social hierarchies and provide valuable insights into how to weigh up existing socio-political structures.","Equality of opportunity; Singapore; Social meritocracy; The Tyranny of Merit; Toxic social hierarchies","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:2445fbb2-3cf8-4b57-8855-60f54cd14b53","http://resolver.tudelft.nl/uuid:2445fbb2-3cf8-4b57-8855-60f54cd14b53","Ethics of generative AI and manipulation: a design-oriented research agenda","Klenk, M.B.O.T. (TU Delft Ethics & Philosophy of Technology)","","2024","Generative AI enables automated, effective manipulation at scale. Despite the growing general ethical discussion around generative AI, the specific manipulation risks remain inadequately investigated. This article outlines essential inquiries encompassing conceptual, empirical, and design dimensions of manipulation, pivotal for comprehending and curbing manipulation risks. By highlighting these questions, the article underscores the necessity of an appropriate conceptualisation of manipulation to ensure the responsible development of Generative AI technologies.","AI ethics; Deception; Generative AI; Large Language Models (LLMs); Manipulation; Persuasion; Value sensitive design","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:149382c4-eb4a-449b-8927-c252803ada9f","http://resolver.tudelft.nl/uuid:149382c4-eb4a-449b-8927-c252803ada9f","Understanding preferences for mobility-on-demand services through a context-aware survey and non-compensatory strategy","Dubey, S.K. (TU Delft Transport and Planning); Cats, O. (TU Delft Transport and Planning); Hoogendoorn, S.P. (TU Delft Transport and Planning)","","2024","The potential lack of realism in stated-preference surveys is particularly acute in contexts where disaggregate real-world data is challenging to obtain. Mobility-on-Demand (MOD) services present one such context. The MOD context is unique due to factors such as service reliability (difference in stated vs. actual travel and waiting time) and current mode inertia which affect the choice of MOD services and are difficult to infer from revealed preference data. Further, travel mode choices are repetitive and constitute a relatively easy choice situation. Consequently, individuals may utilize simple non-compensatory strategies. In this study, we design a survey to mimic real-world choice sets using a joint revealed and stated- (RP-SP) preference survey approach. We construct the complete journey of individuals taking into account departure time, access and egress mode, current primary mode and origin–destination pair. A Choquet Integral (CI)-based choice model with endogeneity correction is employed, thereby allowing to approximate non-compensatory behaviour. Results confirm the presence of non-compensatory behaviour across all mode users (car, public transport and bike). Reliability and inertia effects are most pronounced for car users including the potential for a combined departure time-mode shift towards MOD. Owing to non-compensatory behaviour and inertia, higher travel costs cannot be fully compensated by shorter waiting and travel times and a differential pricing strategy may be required to increase MOD market share. Failure to account for common unobserved factors between the RP and SP choices results in inflated attribute importance.","Choquet integral; Differential pricing; Endogeneity; Non-compensatory behavior; Service reliability","en","journal article","","","","","","","","","","Transport and Planning","Transport and Planning","","",""
"uuid:314cd985-d043-4869-b008-8bb76e8b1953","http://resolver.tudelft.nl/uuid:314cd985-d043-4869-b008-8bb76e8b1953","Maximizing wind farm power output with the helix approach: Experimental validation and wake analysis using tomographic particle image velocimetry","van der Hoek, D.C. (TU Delft Team Jan-Willem van Wingerden); Van den Abbeele, B.H.L. (TU Delft Team Jan-Willem van Wingerden); Ferreira, Carlos (TU Delft Wind Energy); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2024","Wind farm control can play a key role in reducing the negative impact of wakes on wind turbine power production. The helix approach is a recent innovation in the field of wind farm control, which employs individual blade pitch control to induce a helical velocity profile in a wind turbine wake. This forced meandering of the wake has turned out to be very effective for the recovery of the wake, increasing the power output of downstream turbines by a significant amount. This paper presents a wind tunnel study with two scaled wind turbine models of which the upstream turbine is operated with the helix approach. We used tomographic particle image velocimetry to study the dynamic behavior of the wake under the influence of the helix excitation. The measured flow fields confirm the wake recovery capabilities of the helix approach compared with normal operation. Additional emphasis is put on the effect of the helix approach on the breakdown of blade tip vortices, a process that plays an important role in re-energizing the wake. Measurements indicate that the breakdown of tip vortices and the resulting destabilization of the wake are enhanced significantly with the helix approach. Finally, turbine measurements show that the helix approach was able to increase the combined power for this particular two-turbine setup by as much as 15%.","dynamic individual pitch control; experimental validation; the helix approach; tomographic piv; wind farm control; wind farm power maximization","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:c0a5ffcc-8ce4-48d4-b47f-fc2c84ca89a8","http://resolver.tudelft.nl/uuid:c0a5ffcc-8ce4-48d4-b47f-fc2c84ca89a8","Microporous Sulfur–Carbon Materials with Extended Sodium Storage Window","Eren, Enis Oğuzhan (Max-Planck-Inst. F. Kolloid-und G.); Esen, Cansu (Max-Planck-Inst. F. Kolloid-und G.); Scoppola, Ernesto (Max-Planck-Inst. F. Kolloid-und G.); Song, Zihan (Max-Planck-Inst. F. Kolloid-und G.); Senokos, Evgeny (Max-Planck-Inst. F. Kolloid-und G.); Zschiesche, Hannes (Max-Planck-Inst. F. Kolloid-und G.); Cruz, Daniel (Fritz-Haber-Institut der Max-Planck-Gesellschaft; Max-Planck-Institut für Chemische Engergiekonversion); Lauermann, Iver (Helmholtz-Zentrum Berlin für Materialen und Energie GmbH); Kumru, B. (TU Delft Group Kumru)","","2024","Developing high-performance carbonaceous anode materials for sodium-ion batteries (SIBs) is still a grand quest for a more sustainable future of energy storage. Introducing sulfur within a carbon framework is one of the most promising attempts toward the development of highly efficient anode materials. Herein, a microporous sulfur-rich carbon anode obtained from a liquid sulfur-containing oligomer is introduced. The sodium storage mechanism shifts from surface-controlled to diffusion-controlled at higher synthesis temperatures. The different storage mechanisms and electrode performances are found to be independent of the bare electrode material's interplanar spacing. Therefore, these differences are attributed to an increased microporosity and a thiophene-rich chemical environment. The combination of these properties enables extending the plateau region to higher potential and achieving reversible overpotential sodium storage. Moreover, in-operando small-angle X-ray scattering (SAXS) reveals reversible electron density variations within the pore structure, in good agreement with the pore-filling sodium storage mechanism occurring in hard carbons (HCs). Eventually, the depicted framework will enable the design of high-performance anode materials for sodium-ion batteries with competitive energy density.","anode; carbon; in-operando SAXS; sodium-ion battery; sulfur","en","journal article","","","","","","","","","","","Group Kumru","","",""
"uuid:0dcdccf4-485b-4b2a-b314-fa2876be2884","http://resolver.tudelft.nl/uuid:0dcdccf4-485b-4b2a-b314-fa2876be2884","Saturated transverse permeability of unidirectional rovings for pultrusion: The effect of microstructural evolution through compaction","Yuksel, O. (TU Delft Group Çaglar; University of Twente); Caglar, Baris (TU Delft Aerospace Manufacturing Technologies); Broggi, G.C. (TU Delft Group Çaglar; EPFL Switzerland); Michaud, Véronique (EPFL Switzerland); Akkerman, Remko (University of Twente); Baran, Ismet (University of Twente)","","2024","The transverse permeability of roving/tow-based fiber reinforcement is of great importance for accurate flow modeling in the pultrusion process. This study proposes an experimental approach to characterize the roving-based fiber beds' permeability under different compaction conditions. The experimental permeability results of thick roving-based preforms were reported and compared with the permeability values of roving-based preforms in the literature. A representative preform was infused under vacuum conditions. Its thickness was varied to replicate the different compaction values observed in permeability tests. Micrographs were then collected from it and analyzed to highlight the microscale transformations caused by processing/compaction on the fiber arrangement. The analysis revealed that compaction resulted in the reorganization of filaments along the direction of the applied compaction. Overall, the uniformity of the spatial filament distribution, i.e., the homogeneity within the fibrous domain, increased with increasing compaction. Furthermore, the microstructural analysis demonstrated transverse anisotropy within the tested domains, indicating that the obtained permeability results represented an upper boundary. In addition to the experimental analyses, various transverse permeability models, which were developed based on recently introduced statistical descriptors of fiber distribution, were evaluated by using the statistical descriptors extracted from the analyzed cross-sections. Among these models, the one correlating the second neighbor fiber distance with apparent permeability exhibited good agreement with the experimental results. Highlights: Transverse permeability measurement of a roving-based reinforcement was presented. The influence of compaction on the microstructure was investigated at the filament level. Filament distribution in a pultruded profile was analyzed by using statistical descriptors. The results of the experiments and the models in the literature were compared. The correlation between microstructural features and apparent permeability was discussed.","microstructural analysis; permeability; pultrusion; vacuum infusion","en","journal article","","","","","","","","","","","Group Çaglar","","",""
"uuid:3c648d3e-970d-4da3-919c-284229175e1d","http://resolver.tudelft.nl/uuid:3c648d3e-970d-4da3-919c-284229175e1d","Effects of pellet-sinter interaction parameters on component segregation and bed porosity considering flow velocity and mixture composition: A DEM study","Roeplal, R.N. (TU Delft Transport Engineering and Logistics); Pang, Y. (TU Delft Transport Engineering and Logistics); Schott, D.L. (TU Delft Transport Engineering and Logistics)","","2024","Bed permeability is a crucial factor in blast furnace performance which depends on the material distribution achieved through charging. Since a homogeneous bed of pellet and sinter is recommended, it is crucial to understand whether segregation of the pellet-sinter mixture occurs during charging. The Discrete Element Method is useful in this regard; however, simulations of pellet-sinter mixture charging currently lack credibility since pellet-sinter interaction parameters have not yet been calibrated and validated. Determining pellet-sinter interaction parameters will require significant efforts, so it is useful to know whether mixture segregation and the resulting bed permeability are sensitive to these parameters. In this work, we investigate to what extent the restitution coefficient, sliding friction coefficient and rolling friction coefficient between pellet and sinter affect segregation during bed formation and the resulting permeability in terms of porosity using a simplified charging setup. The investigation is done for different mixture compositions and flow velocities, and analysis settings including sample size and sampling directions. We conclude that all parameters affect segregation and porosity, regardless of the composition and velocity. Hence, all mixture parameters including the interaction parameters between the components must be carefully calibrated when developing a model for predicting permeability.","Blast furnace mixture; Component segregation; DEM; Interaction parameters; Porosity; Sensitivity analysis","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:ffac03f6-013d-42c5-afef-f752bd282a79","http://resolver.tudelft.nl/uuid:ffac03f6-013d-42c5-afef-f752bd282a79","Macrolitter budget and spatial distribution in a groyne field along the Waal river","Grosfeld, J. J. (Student TU Delft); Schoor, M. M. (Rijkswaterstaat); Taormina, R. (TU Delft Sanitary Engineering); Luxemburg, W.M.J. (TU Delft Water Resources); Collas, F. P.L. (Radboud Universiteit Nijmegen; Rijkswaterstaat)","","2024","Current research on riverine macrolitter does not yet provide a theoretic framework on the dynamics behind its accumulation and distribution along riverbanks. In an attempt to better understand these dynamics a detailed field survey of three months was conducted in which location of macrolitter items within a single groyne field along the Waal riverbanks was tracked. The data provided insight into the daily changing patterns of spatial item distribution with respect to the waterline. Furthermore, the rates of item uptake and deposition were monitored and related to hydrologic fluctuations. Uptake was initiated by rising water levels and was generally higher when the water level increased faster. Deposition occurred continuously, despite hydrologic fluctuations. This caused the riverbank macrolitter budget to be positive during stable or dropping water levels and negative during rising water levels. Although the results show clear patterns an extended monitoring duration is required to fully understand the fate of plastic objects.","Accumulation; Freshwater; Macroplastic; Monitoring; Pollution; Riverbanks","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:cdf52273-fdc5-40f5-8d8d-6b6c0394c2fc","http://resolver.tudelft.nl/uuid:cdf52273-fdc5-40f5-8d8d-6b6c0394c2fc","Design and evaluation of a smart passive dynamic arm support for robotic-assisted laparoscopic surgery","Schrijvershof, Pim (Student TU Delft); Rahimi, A. Masie (Amsterdam UMC; Cancer Center Amsterdam; Amsterdam Skills Centre for Health Sciences); Leone, Nicola (University of Turin); Bloemendaal, Alexander (Reinier de Graaf Gasthuis); Daams, Freek (Amsterdam UMC; Cancer Center Amsterdam; Amsterdam Skills Centre for Health Sciences); Arezzo, Alberto (University of Turin); Mintz, Yoav (Hadassah-Hebrew University Medical Center); Horeman, T. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2024","Surgeons performing robotic-assisted laparoscopic surgery experience physical stress and overuse of shoulder muscles due to sub-optimal arm support during surgery. The objective is to present a novel design and prototype of a dynamic arm support for robotic laparoscopic surgery to evaluate its ergonomics and performance on the AdLap-VR simulation training device. The prototype was designed using the mechanical engineering design process: Technical requirements, concept creation, concept selection, 3D-design and built of the prototype. A crossover study was performed on a marble sorting task on the AdLap-VR. The first group performed four trials without the arm support, followed by four trials with the arm support, and the other group executed the sequence vice versa. The performance parameters used were time to complete (s), path length (mm), and the number of collisions. Afterward, the participants filled out a questionnaire on the ergonomic experience regarding both situations. 20 students executed 160 performed trials on the AdLap-VR Significant decreases in the subjective comfort parameters mental demand, physical demand, effort and frustration were observed as a result of introducing the novel arm support. Significant decreases in the objective performance parameters path length and the number of collisions were also observed during the tests. The newly developed dynamic arm support was found to improve comfort and enhance performance through increased stability on the robotic surgery skills simulator AdLap-VR.","Arm support; Ergonomics; Fatigue; Laparoscopy; RAS; Robotic surgery","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:417b29e8-4273-4778-a2c4-8ed733c45ef1","http://resolver.tudelft.nl/uuid:417b29e8-4273-4778-a2c4-8ed733c45ef1","Landau quantization near generalized Van Hove singularities: Magnetic breakdown and orbit networks","Zakharov, V. A. (Universiteit Leiden); Bozkurt, A.M. (TU Delft QRD/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Akhmerov, A.R. (TU Delft QN/Akhmerov Group; Kavli institute of nanoscience Delft); Oriekhov, D. (Universiteit Leiden)","","2024","We develop a theory of magnetic breakdown (MB) near high-order saddle points in the dispersions of two-dimensional materials, where two or more semiclassical cyclotron orbits approach each other. MB occurs due to quantum tunneling between several trajectories, which leads to nontrivial scattering amplitudes and phases. We show that for any saddle point this problem can be solved by mapping it to a scattering problem in a 1D tight-binding chain. Moreover, the occurrence of magnetic breakdown on the edges of the Brillouin zone facilitates the delocalization of the bulk Landau level states and the formation of 2D orbit networks. These extended network states compose dispersive mini bands with finite energy broadening. This effect can be observed in transport experiments as a strong enhancement of the longitudinal bulk conductance in a quantum Hall bar. In addition, it may be probed in STM experiments by visualizing bulk current patterns.","","en","journal article","","","","","","","","","","","QRD/Wimmer Group","","",""
"uuid:087073a1-0685-434b-ba33-ac7d93d294a1","http://resolver.tudelft.nl/uuid:087073a1-0685-434b-ba33-ac7d93d294a1","What is an app store? The software engineering perspective","Zhu, Wenhan (David R. Cheriton School of Computer Science); Proksch, S. (TU Delft Software Engineering); German, Daniel M. (University of Victoria); Godfrey, Michael W. (David R. Cheriton School of Computer Science); Li, Li (Beihang University); McIntosh, Shane (David R. Cheriton School of Computer Science)","","2024","“App stores” are online software stores where end users may browse, purchase, download, and install software applications. By far, the best known app stores are associated with mobile platforms, such as Google Play for Android and Apple’s App Store for iOS. The ubiquity of smartphones has led to mobile app stores becoming a touchstone experience of modern living. App stores have been the subject of many empirical studies. However, most of this research has concentrated on properties of the apps rather than the stores themselves. Today, there is a rich diversity of app stores and these stores have largely been overlooked by researchers: app stores exist on many distinctive platforms, are aimed at different classes of users, and have different end-goals beyond simply selling a standalone app to a smartphone user. The goal of this paper is to survey and characterize the broader dimensionality of app stores, and to explore how and why they influence software development practices, such as system design and release management. We begin by collecting a set of app store examples from web search queries. By analyzing and curating the results, we derive a set of features common to app stores. We then build a dimensional model of app stores based on these features, and we fit each app store from our web search result set into this model. Next, we performed unsupervised clustering to the app stores to find their natural groupings. Our results suggest that app stores have become an essential stakeholder in modern software development. They control the distribution channel to end users and ensure that the applications are of suitable quality; in turn, this leads to developers adhering to various store guidelines when creating their applications. However, we found the app stores operational model could vary widely between stores, and this variability could in turn affect the generalizability of existing understanding of app stores.","App store; Empirical software engineering; Software distribution; Software release","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-02","","","Software Engineering","","",""
"uuid:9f085396-54ac-4ed6-946a-4fa08ac5243b","http://resolver.tudelft.nl/uuid:9f085396-54ac-4ed6-946a-4fa08ac5243b","Experts and expertise in practices of citizen engagement in climate policy: a comparative analysis of two contrasting cases","van Beek, Lisette (Universiteit Utrecht); Mouter, N. (TU Delft Transport and Logistics); Pelzer, Peter (Universiteit Utrecht); Hajer, Maarten (Universiteit Utrecht); van Vuuren, Detlef (Universiteit Utrecht; Netherlands Environmental Assessment Agency)","","2024","The need for engaging citizens in climate policymaking is increasingly recognised. Despite indications that the form of expert involvement can strongly influence participatory processes, this remains scarcely researched. We analysed two unique and contrasting cases of citizen engagement in national climate mitigation policy: (1) the Irish Citizens’ Assembly (ICA), the first national climate assembly involving live expert presentations and face-to-face deliberations; and (2) the Participatory Value Evaluation (PVE) on Dutch climate policymaking, where more than 10,000 citizens compared policy options in an online environment based on expert-based information on policy effects. Taking a dramaturgical approach, we found that the opening up and closing down of policy options and perspectives was influenced by the setting, staging and scripting of expertise. Apart from providing information on policy options, experts had significant roles in design choices and formulating recommendations, which shaped citizens’ deliberations and policy advice. In deliberative processes, citizens’ deliberations can be further influenced by putting experts in a privileged spot and emphasising their authority, whereas in the setting of an online tool, experts’ design choices may be masked by the fact-like presentation of expertise. Future research should further investigate the role of experts and expertise across a wider range of practices. Nevertheless, we conclude that the high degree of required technical knowledge in climate mitigation policy naturally implies strong expert involvement, which concomitantly steers the results. Alternatively, we may search to enhance citizens’ engagement in guiding climate policymakers by focusing on citizens’ normative perspectives.","Citizen participation; Citizens’ assembly; Climate policy; Expertise; Mini-public; Participatory Value Evaluation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-03","","","Transport and Logistics","","",""
"uuid:960886bc-328f-407a-bf2c-faf9c63700df","http://resolver.tudelft.nl/uuid:960886bc-328f-407a-bf2c-faf9c63700df","Hygrothermal effects on fatigue delamination behavior in composite laminates","Yao, Liaojun (Harbin Institute of Technology); Wang, Jiexiong (Harbin Institute of Technology); He, Yonglyu (National University of Defense Technology); Zhao, Xiuhui (National University of Defense Technology); Chen, Xiangming (Aircraft Strength Research Institute); Liu, J. (Harbin Institute of Technology); Guo, Licheng (Harbin Institute of Technology); Alderliesten, R.C. (TU Delft Group Alderliesten)","","2024","Fatigue delamination growth (FDG) is an important failure in composite structures during their long-term operations. Hygrothermal aging can have significant effects on interlaminar resistance. It is therefore really necessary to explore FDG behavior in composite laminates with hygrothermal aging. Dynamic mechanical thermal analysis (DMTA), mode I FDG experiments and fractographic examinations were conducted to fully investigate hygrothermal aging effects and the corresponding mechanisms on FDG behavior. The DMTA results indicated that environmental aging can induce obvious Tg decrease. Mode I experimental fatigue data interpreted via different Paris-type correlations demonstrated that: Bridging has obvious retardation effects on FDG behavior via the Paris interpretations; The modified Paris relation can well characterize the intrinsic FDG behavior around the crack front; The use of the two-parameter Paris-type relation can appropriately account for R-ratio effects, contributing to a master resistance curve in determining mode I FDG behavior. According to these interpretations, it can be concluded that hygrothermal aging can have adverse effects on mode I FDG behavior. SEM examinations demonstrated that moisture absorption can cause fibre/matrix debonding and resin matrix pores/voids in the composite. However, no obvious difference in damage mechanisms was identified in mode I fatigue delamination for composite with/without environmental conditioning. Both fibre/matrix debonding and matrix brittle fracture were identified on fatigue fracture surfaces. Accordingly, it was concluded that fibre/matrix interface and matrix degradation induced by water absorption were the main reasons for a faster mode I fatigue crack growth in environmental aged composite.","Composite laminates; Delamination; Fatigue; Hygrothermal aging","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-30","","","Group Alderliesten","","",""
"uuid:b35ef96d-d772-49ad-87aa-d5b6d0e2337b","http://resolver.tudelft.nl/uuid:b35ef96d-d772-49ad-87aa-d5b6d0e2337b","Structuring Interdigitated Back Contact Solar Cells Using the Enhanced Oxidation Characteristics Under Laser-Doped Back Surface Field Regions","Kuruganti, V.V. (International Solar Energy Research Center (ISC)); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Mihailetchi, Valentin D. (International Solar Energy Research Center (ISC))","","2024","Interdigitated back contact (IBC) architecture can yield among the highest silicon wafer-based solar cell conversion efficiencies. Since both polarities are realized on the rear side, there is a definite need for a patterning step. Some of the common patterning techniques involve photolithography, inkjet patterning, and laser ablation. This work introduces a novel patterning technique for structuring the rear side of IBC solar cells using the enhanced oxidation characteristics under the locally laser-doped n++ back surface field (BSF) regions with high-phosphorous surface concentrations. Phosphosilicate glass layers deposited via POCl3 diffusion serve as a precursor layer for the formation of local heavily laser-doped n++ BSF regions. The laser-doped n++ BSF regions exhibit a 2.6-fold increase in oxide thickness compared to the nonlaser-doped n+ BSF regions after undergoing high-temperature wet thermal oxidation. The utilization of oxide thickness selectivity under laser-doped and nonlaser-doped regions serves two purposes in the context of the IBC solar cell, first patterning rear side and second acting as a masking layer for the subsequent boron diffusion. Proof-of-concept solar cells are fabricated using this novel patterning technique with a mean conversion efficiency of 20.41%.","interdigitated back contact solar cells; laser doping; patterning techniques; SiO as diffusion barriers","en","journal article","","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:7ef08cdd-3a17-43a3-8175-961c3f051bee","http://resolver.tudelft.nl/uuid:7ef08cdd-3a17-43a3-8175-961c3f051bee","Optimum-pursuing method for constrained optimization and reliability-based design optimization problems using Kriging model","Meng, Zeng (Hefei University of Technology; Dalian University of Technology); Kong, Lin (Xi'an Modern Chemistry Research Institute); Jiaxiang, Y. (TU Delft Team Marcel Sluiter); Peng, Hao (Dalian University of Technology)","","2024","This paper proposes a new active learning method named as optimum-pursuing method (OPM) from the viewpoint of optimization theory, which aims to provide an effective tool for solving constrained optimization and reliability-based design optimization (RBDO) problems with low computation cost. It uses the cheap Kriging metamodel to replace the expensive physical response. The novelty of the proposed OPM primarily lies in two aspects. First, the OPM utilizes the advantage of the optimization theory rather than sampling technology. By using the augmented Lagrangian approach, it comprehensively considers the objective, constraints, and their relations, thereby automatic identification of important region in the vicinity of the optimum. Second, the accordingly optimum-pursuing function consists of three parts: Kriging mean, Kriging standard deviation, and merit function. Also, the target reliability surface is further considered to enhance the local accuracy of the reliability analysis. The performance of OPM is tested for both deterministic optimization and problems, in which two mathematical and three real-world engineering examples are selected to showcase the feasibility and validity. The results demonstrate that OPM is promising for solving both deterministic optimization and RBDO problems by comparing with the well-known active learning methods.","Active learning; Deterministic optimization; Kriging; Optimum-pursuing method; Reliability-based design optimization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-23","","","Team Marcel Sluiter","","",""
"uuid:55e273f1-f0c0-492f-9b80-0aa730780b2c","http://resolver.tudelft.nl/uuid:55e273f1-f0c0-492f-9b80-0aa730780b2c","Distribution of global sea turtle nesting explained from regional-scale coastal characteristics","Christiaanse, Jakob (TU Delft Coastal Engineering); Antolínez, José A. Á. (TU Delft Coastal Engineering); Luijendijk, Arjen (TU Delft Coastal Engineering; Deltares); Athanasiou, Panagiotis (Deltares); Duarte, Carlos M. (King Abdullah University of Science and Technology); Aarninkhof, S.G.J. (TU Delft Civil Engineering & Geosciences)","","2024","Climate change and human activity threaten sea turtle nesting beaches through increased flooding and erosion. Understanding the environmental characteristics that enable nesting can aid to preserve and expand these habitats. While numerous local studies exist, a comprehensive global analysis of environmental influences on the distribution of sea turtle nesting habitats remains largely unexplored. Here, we relate the distribution of global sea turtle nesting to 22 coastal indicators, spanning hydrodynamic, atmospheric, geophysical, habitat, and human processes. Using state-of-the-art global datasets and a novel 50-km-resolution hexagonal coastline grid (Coastgons), we employ machine learning to identify spatially homogeneous patterns in the indicators and correlate these to the occurrence of nesting grounds. Our findings suggest sea surface temperature, tidal range, extreme surges, and proximity to coral and seagrass habitats significantly influence global nesting distribution. Low tidal ranges and low extreme surges appear to be particularly favorable for individual species, likely due to reduced nest flooding. Other indicators, previously reported as influential (e.g., precipitation and wind speed), were not as important in our global-scale analysis. Finally, we identify new, potentially suitable nesting regions for each species. On average, 23 % of global coastal regions between - 39 ∘ and 48 ∘ latitude could be suitable for nesting, while only 7 % is currently used by turtles, showing that the realized niche is significantly smaller than the fundamental niche, and that there is potential for sea turtles to expand their nesting habitat. Our results help identify suitable nesting conditions, quantify potential hazards to global nesting habitats, and lay a foundation for nature-based solutions to preserve and potentially expand these habitats.","OA-Fund TU Delft","en","journal article","","","","","","","","","Civil Engineering & Geosciences","","Coastal Engineering","","",""
"uuid:58766487-693a-4e90-be77-e0e8c5f79764","http://resolver.tudelft.nl/uuid:58766487-693a-4e90-be77-e0e8c5f79764","Multi-objective design of 3D phononic crystal waveguide by design space trimming","Valiya Valappil, S. (TU Delft Computational Design and Mechanics); Goosen, J.F.L. (TU Delft Computational Design and Mechanics); Aragon, A.M. (TU Delft Computational Design and Mechanics)","","2024","Ultrasonic flowmeters face unique challenges since, in addition to withstanding high fluid pressures, they have to avoid crosstalk, which is the interaction of the signals traveling through the fluid and the solid pipe. To avoid the crosstalk, which leads to poor accuracy or complete loss of the required signal, we develop a mounting mechanism based on phononic crystals (PnCs), which are artificial periodic materials possessing band gaps (BGs) due to Bragg scattering. These PnC structures should also possess high mechanical strength to sustain the fluid pressure. Designing PnCs for such applications is challenging as the BG width and the resistance to mechanical loading are conflicting objectives. To circumvent this, we propose a step-by-step design procedure to optimize both mechanical strength and wave attenuation performance of a single-phase 3D PnC waveguide using parametric sweeping and sensitivity analysis. We use finite element analysis (FEA) to characterize the behavior of the periodic unit cell and the waveguide. Since accurate dynamic FEA at high frequencies is computationally demanding, we develop surrogate models at different levels of the design process. We also consider additive manufacturing aspects in the design procedure, which we validate by 3D-printing the final design and measuring the parameters via computer tomography.","Band structure; Multi-objective design; Parametric sweeping; Phononic crystal; Sensitivity analysis; Static analysis; Transmissibility","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:57a4fe0a-75c2-4e5f-887f-d428da31d7d1","http://resolver.tudelft.nl/uuid:57a4fe0a-75c2-4e5f-887f-d428da31d7d1","Volcanic unrest as seen from the magmatic source: Reyðarártindur pluton, Iceland","Rhodes, Emma (Uppsala University); Burchardt, Steffi (Uppsala University); Greiner, Sonja H.M. (Uppsala University; University of Iceland); Mattsson, Tobias (University of St Andrews; Stockholm University); Sigmundsson, Freysteinn (University of Iceland); Schmiedel, T. (TU Delft Resource Engineering); Barker, Abigail K. (Uppsala University); Witcher, Taylor (Uppsala University)","","2024","How the Earth’s crust accommodates magma emplacement influences the signals that can be detected by monitoring volcano seismicity and surface deformation, which are routinely used to forecast volcanic eruptions. However, we lack direct observational links between deformation caused by magma emplacement and monitoring signals. Here we use field mapping and photogrammetry to quantify deformation caused by the emplacement of at least 2.5 km3 of silicic magma in the Reyðarártindur pluton, Southeast Iceland. Our results show that magma emplacement triggered minor and local roof uplift, and that magma reservoir growth was largely aseismic by piecemeal floor subsidence. The occurrence and arrangement of fractures and faults in the reservoir roof can be explained by magmatic overpressure, suggesting that magma influx was not fully accommodated by floor subsidence. The tensile and shear fracturing would have caused detectable seismicity. Overpressure eventually culminated in eruption, as evidenced by exposed conduits that are associated with pronounced local subsidence of the roof rocks, corresponding to the formation of an asymmetric graben at the volcano surface. Hence, the field observations highlight processes that may take place within silicic volcanoes, not accounted for in widely used models to interpret volcanic unrest.","","en","journal article","","","","","","","","","","","Resource Engineering","","",""
"uuid:5b798218-d0c9-4c89-a966-daf4b622fa80","http://resolver.tudelft.nl/uuid:5b798218-d0c9-4c89-a966-daf4b622fa80","Noise-robust latent vector reconstruction in ptychography using deep generative models","Seifert, Jacob (Universiteit Utrecht); Shao, Y. (TU Delft ImPhys/Coene group); Mosk, Allard P. (Universiteit Utrecht)","","2024","Computational imaging is increasingly vital for a broad spectrum of applications, ranging from biological to material sciences. This includes applications where the object is known and sufficiently sparse, allowing it to be described with a reduced number of parameters. When no explicit parameterization is available, a deep generative model can be trained to represent an object in a low-dimensional latent space. In this paper, we harness this dimensionality reduction capability of autoencoders to search for the object solution within the latent space rather than the object space. We demonstrate what we believe to be a novel approach to ptychographic image reconstruction by integrating a deep generative model obtained from a pre-trained autoencoder within an automatic differentiation ptychography (ADP) framework. This approach enables the retrieval of objects from highly ill-posed diffraction patterns, offering an effective method for noise-robust latent vector reconstruction in ptychography. Moreover, the mapping into a low-dimensional latent space allows us to visualize the optimization landscape, which provides insight into the convexity and convergence behavior of the inverse problem. With this work, we aim to facilitate new applications for sparse computational imaging such as when low radiation doses or rapid reconstructions are essential.","","en","journal article","","","","","","","","","","","ImPhys/Coene group","","",""
"uuid:6836db07-c692-40f9-91bc-409feaba2d28","http://resolver.tudelft.nl/uuid:6836db07-c692-40f9-91bc-409feaba2d28","Evolutions of Microstructure and Crystallographic Texture in an Fe-1.2 wt.% Si Alloy After (A)Symmetric Warm Rolling and Annealing","Nguyen-Minh, Tuan (Universiteit Gent); Petrov, R.H. (TU Delft Team Maria Santofimia Navarro; Universiteit Gent); Cicalè, Stefano (Rina Consulting - Centro Sviluppo Materiali); Kestens, L.A.I. (TU Delft Team Maria Santofimia Navarro; Universiteit Gent)","","2024","Rolling and annealing is a crucial technology to produce electrical steel sheets. This technology is not just aimed to control the geometry of steel sheets but more importantly to enhance the magnetic properties of the final products via appropriate microstructure and crystallographic texture. In this study, the evolution of microstructures and textures of an Fe-1.2 wt.% Si alloy through the entire processing route (from reheating, warm rolling to annealing) is monitored by electron back-scatter diffraction. Plastic flows of the material during conventional and asymmetric rolling are analyzed in detail based on geometric parameters of the rolling gaps. Deformation textures are accurately predicted by the full-constraint Taylor and advanced Lamel (ALAMEL) crystal plasticity models. The development of recrystallization textures is accounted for by the plastically stored energy in deformed crystals, which in turn is approximated by the plastically dissipated power (i.e., the Taylor factor) as predicted by the full constraint Taylor model. Although asymmetric warm rolling does not produce an improved texture or microstructure for electrical steels, the present study provides useful information on the evolution of the recrystallization microstructure and texture in steels with a complex strain history after asymmetric warm rolling.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-08","","","Team Maria Santofimia Navarro","","",""
"uuid:370113ef-a243-424d-9e6c-007a1a5d1dd6","http://resolver.tudelft.nl/uuid:370113ef-a243-424d-9e6c-007a1a5d1dd6","Temperature effects on fatigue delamination behavior in thermoset composite laminates","Yao, L. (TU Delft Structural Integrity & Composites; Harbin Institute of Technology; Aircraft Strength Research Institute); Chuai, Mingyue (Harbin Institute of Technology); Li, Hanyue (Harbin Institute of Technology); Chen, Xiangming (Aircraft Strength Research Institute); Quan, D. (TU Delft Structural Integrity & Composites; Shandong University); Alderliesten, R.C. (TU Delft Group Alderliesten); Beyens, M. (Student TU Delft)","","2024","Temperature can significantly affect fatigue delamination growth (FDG) behavior in composites, while fiber bridging has been frequently reported during FDG. The focus of this study was therefore on investigating temperature effects on FDG behavior with fiber bridging. Mode I fatigue delamination experiments were conducted on a thermoset composite laminates M30SC/DT120 at different temperatures. The Paris relation and fatigue resistance curve (i.e. fatigue R-curve) were used to interpret bridging effects on FDG behavior and to explore temperature effects on fiber bridging development. A modified Paris relation was employed to determine the effects of temperature on the intrinsic FDG behavior at the crack front excluding fiber bridging. The Paris interpretations clearly demonstrate that fiber bridging can significantly retard FDG behavior at different temperatures. Temperature can have different effects on fiber bridging development and the intrinsic FDG behavior. Particularly, elevated temperature can promote more bridging fibers, whereas decreased temperature has negligible influence on fiber bridging. When looking at the intrinsic delamination resistance, mode I FDG can accelerate at elevated temperature but decrease at freezing temperature. Fractographic examinations indicate that fiber/matrix interface debonding is the dominant damage mechanism in mode I FDG at different temperatures. Elevated temperature can lead to the weakening of interface adhesion, contributing to faster intrinsic mode I FDG behavior and more fiber bridging development. And a semi-empirical fatigue model based on normalization was finally proposed to determine mode I intrinsic FDG behavior at different temperatures for engineering applications.","Fatigue delamination growth; Fiber bridging retardation; Polymer-matrix composites; Temperature effects","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-10","","","Structural Integrity & Composites","","",""
"uuid:6231852e-42cc-469b-9e77-f9134726cf38","http://resolver.tudelft.nl/uuid:6231852e-42cc-469b-9e77-f9134726cf38","Selecting for a high lipid accumulating microalgae culture by dual growth limitation in a continuous bioreactor","Policastro, G. (TU Delft BT/Environmental Biotechnology; Università degli Studi di Napoli Federico II); Ebrahimi, S. (TU Delft BT/Environmental Biotechnology; Sahand University of Technology); Weissbrodt, D.G. (TU Delft BT/Environmental Biotechnology; Norwegian University of Science and Technology (NTNU)); Fabbricino, Massimiliano (Università degli Studi di Napoli Federico II); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology)","","2024","A dual-growth-limited continuous operated bioreactor (chemostat) was used to enhance lipid accumulation in an enrichment culture of microalgae. The light intensity and nitrogen concentration where both limiting factors resulting in high lipid accumulation in the mixed culture. Both conditions of light and nitrogen excess and deficiency were tested. Strategies to selectively enrich for a phototrophic lipid-storing community, based on the use of different nitrogen sources (ammonium vs. nitrate) and vitamin B supplementation in the growth medium, were evaluated. The dual limitation of both nitrogen and light enhanced the accumulation of storage compounds. Ammoniacal nitrogen was the preferred nitrogen source. Vitamin B supplementation led to a doubling of the lipid productivity. The availability of vitamins played a key role in selecting an efficient lipid-storing community, primarily consisting of Trebouxiophyceae (with an 82 % relative abundance among eukaryotic microorganisms). The obtained lipid volumetric productivity (387 mg L−1 d−1) was among the highest reported in literature for microalgae bioreactors. Lipid production by the microalgae enrichment surpassed the efficiencies reported for continuous microalgae pure cultures, highlighting the benefits of mixed-culture photo-biotechnologies for fuels and food ingredients in the circular economy.","Ammonium; Dual growth limitation; Lipid production; Mixed culture microalgae; Vitamin B","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-12","","","BT/Environmental Biotechnology","","",""
"uuid:cc6e2ae1-2500-4339-ab9c-bcb8ba8eb9ce","http://resolver.tudelft.nl/uuid:cc6e2ae1-2500-4339-ab9c-bcb8ba8eb9ce","Integrated CO2 capture and reduction catalysis: Role of γ-Al2O3 support, unique state of potassium and synergy with copper","Pinto, D. (TU Delft ChemE/Catalysis Engineering); Minorello, S. (TU Delft ChemE/Catalysis Engineering); Zhou, Zhouping (Student TU Delft); Urakawa, A. (TU Delft ChemE/Catalysis Engineering)","","2024","Carbon dioxide capture and reduction (CCR) process emerges as an efficient catalytic strategy for CO2 capture and conversion to valuable chemicals. K-promoted Cu/Al2O3 catalysts exhibited promising CO2 capture efficiency and highly selective conversion to syngas (CO + H2). The dynamic nature of the Cu-K system at reaction conditions complicates the identification of the catalytically active phase and surface sites. The present work aims at more precise understanding of the roles of the potassium and copper and the contribution of the metal oxide support. While γ-Al2O3 guarantees high dispersion and destabilisation of the potassium phase, potassium and copper act synergistically to remove CO2 from diluted streams and promote fast regeneration of the active phase for CO2 capture releasing CO while passing H2. A temperature of 350℃ is found necessary to activate H2 dissociation and generate the active sites for CO2 capture. The effects of synthesis parameters on the CCR activity are also described by combination of ex-situ characterisation of the materials and catalytic testing.","Aluminium oxide; Carbon capture and utilisation; Carbon dioxide; CO capture and reduction; Copper catalysts; Heterogeneous catalysis; Potassium; Solid CO sorbents","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-07","","","ChemE/Catalysis Engineering","","",""
"uuid:1451be4e-fb3b-4bf3-b3d6-8957edc50a01","http://resolver.tudelft.nl/uuid:1451be4e-fb3b-4bf3-b3d6-8957edc50a01","How do system and user characteristics, along with anthropomorphism, impact cognitive absorption of chatbots – Introducing SUCCAST through a mixed methods study","Sarraf, Shagun (Indian Institute of Technology Delhi); Kar, Arpan Kumar (Indian Institute of Technology Delhi); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services)","","2024","Chatbots are radically redefining the customer service landscape. With the advent of AI-enabled chatbots, like ChatGPT, organizations are adopting chatbots to provide better customer services; however, the user experience has been given less attention. Building on IS success model and cognitive absorption theory, we posit that system and user characteristics enhance cognitive absorption amongst users, such that the relationship varies between anthropomorphic (e.g., human-like) and non-anthropomorphic chatbots. We undertook a cross-sectional comparative study, which was analyzed using PLS-SEM and fsQCA. Where PLS-SEM provided limited inferential insights about the differences between anthropomorphic and non-anthropomorphic chatbots, the FsQCA analysis resulted in three configurations of attributes for non-anthropomorphic and two configurations for anthropomorphic chatbots, which lead to higher cognitive absorption. The findings extend the existing literature, suggesting that anthropomorphic and non-anthropomorphic chatbots impact cognitive absorption through separate system and user characteristics configurations.","Anthropomorphism; Artificial intelligence; Chatbots; Cognitive absorption; Generative artificial intelligence; Qualitative comparative analysis","en","journal article","","","","","","","","","","Engineering, Systems and Services","","","",""
"uuid:cbc8e62f-0959-4ca6-9d42-bdb2af00c88c","http://resolver.tudelft.nl/uuid:cbc8e62f-0959-4ca6-9d42-bdb2af00c88c","Design optimisation for hybrid metal additive manufacturing for sustainable construction","Baqershahi, Mohammad Hassan (Leibniz Universität); Ayas, C. (TU Delft Computational Design and Mechanics); Ghafoori, Elyas (Leibniz Universität)","","2024","Wire arc additive manufacturing (WAAM) enables the manufacturing of efficient and lightweight structural elements in which material can be utilised wherever needed in an optimised shape, in contrast to standard prismatic profiles used in construction. However, the specific energy consumption (SEC) of WAAM is higher than that of conventional manufacturing (CM) techniques (i.e., hot-rolling) for standard profiles. Therefore, it is an open question whether the material savings through computational design realised via WAAM is environmentally beneficial or not. This systematic study aims to provide a better understanding of the environmental impact of hybrid manufacturing, which is defined as the combination of WAAM and CM rather than using any of them alone. Topology optimisation (TO) is used to design a series of beams with an identical performance (i.e., stiffness) but with a reduced material consumption depending on the hybrid ratio. The environmental impact of the designs has been used to determine when and how hybridisation can become advantageous. The results show that although the optimal proportions of WAAM and CM are dependent on their relative SEC, the hybrid solutions have always been environmentally superior compared to that of WAAM or CM alone for the realistic SEC values, exhibiting up to a 60% reduction in environmental impact compared to that of CM.","Design for sustainability; Environmental assessment; Hybrid manufacturing; Metal additive manufacturing; Topology optimisation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-21","","","Computational Design and Mechanics","","",""
"uuid:62835275-1aec-4d5f-a326-94192279b3bb","http://resolver.tudelft.nl/uuid:62835275-1aec-4d5f-a326-94192279b3bb","Navigating the perils of artificial intelligence: a focused review on ChatGPT and responsible research and innovation","Polyportis, A. (TU Delft BT/Biotechnology and Society); Pachos-Fokialis, N. (TU Delft Economics of Technology and Innovation)","","2024","While the rise of artificial intelligence (AI) tools holds promise for delivering benefits, it is important to acknowledge the associated risks of their deployment. In this article, we conduct a focused literature review to address two central research inquiries concerning ChatGPT and similar AI tools. Firstly, we examine the potential pitfalls linked with the development and implementation of ChatGPT across the individual, organizational, and societal levels. Secondly, we explore the role of a multi-stakeholder responsible research and innovation framework in guiding chatbots’ sustainable development and utilization. Drawing inspiration from responsible research and innovation and stakeholder theory principles, we underscore the necessity of comprehensive ethical guidelines to navigate the design, inception, and utilization of emerging AI innovations. The findings of the focused review shed light on the potential perils of ChatGPT implementation across various societal levels, including issues such as devaluation of relationships, unemployment, privacy concerns, bias, misinformation, and digital inequities. Furthermore, the proposed multi-stakeholder Responsible Research and Innovation framework can empower AI stakeholders to proactively anticipate and deliberate upon AI’s ethical, social, and environmental implications, thus substantially contributing to the pursuit of responsible AI implementation.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","BT/Biotechnology and Society","","",""
"uuid:3f015c0d-f06e-4c04-a2f0-34b28640141a","http://resolver.tudelft.nl/uuid:3f015c0d-f06e-4c04-a2f0-34b28640141a","Approximate dynamic programming for constrained linear systems: A piecewise quadratic approximation approach","He, K. (TU Delft Team Bart De Schutter); Shi, S. (TU Delft Team Bart De Schutter); van den Boom, A.J.J. (TU Delft Team Ton van den Boom); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2024","Approximate dynamic programming (ADP) faces challenges in dealing with constraints in control problems. Model predictive control (MPC) is, in comparison, well-known for its accommodation of constraints and stability guarantees, although its computation is sometimes prohibitive. This paper introduces an approach combining the two methodologies to overcome their individual limitations. The predictive control law for constrained linear quadratic regulation (CLQR) problems has been proven to be piecewise affine (PWA) while the value function is piecewise quadratic. We exploit these formal results from MPC to design an ADP method for CLQR problems with a known model. A novel convex and piecewise quadratic neural network with a local–global architecture is proposed to provide an accurate approximation of the value function, which is used as the cost-to-go function in the online dynamic programming problem. An efficient decomposition algorithm is developed to generate the control policy and speed up the online computation. Rigorous stability analysis of the closed-loop system is conducted for the proposed control scheme under the condition that a good approximation of the value function is achieved. Comparative simulations are carried out to demonstrate the potential of the proposed method in terms of online computation and optimality.","Approximate dynamic programming; Constrained linear quadratic regulation; Model predictive control; Neural networks; Reinforcement learning; Value function approximation","en","journal article","","","","","","","","","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:74caa480-7234-4270-a231-9f6bb1903951","http://resolver.tudelft.nl/uuid:74caa480-7234-4270-a231-9f6bb1903951","Reset-free data-driven gain estimation: Power iteration using reversed-circulant matrices","Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology); Rojas, Cristian R. (KTH Royal Institute of Technology)","","2024","A direct data-driven iterative algorithm is developed to accurately estimate the H∞ norm of a linear time-invariant system from continuous operation, i.e., without resetting the system. The main technical step involves a reversed-circulant matrix that can be evaluated in a model-free setting by performing experiments on the real system.","Data-driven control; Data-driven robust control; Identification and control methods; Identification for control; Input and excitation design","en","journal article","","","","","","This work is part of the research programme VIDI with project number 15698, which is (partly) financed by the Netherlands Organisation for Scientific Research (NWO), by the Digital Futures project EXTREMUM, and by the Swedish Research Council under contract number 2016-06079 (NewLEADS).","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:4ea63b71-5872-4cab-b82d-c703aff84755","http://resolver.tudelft.nl/uuid:4ea63b71-5872-4cab-b82d-c703aff84755","Greening the dike revetment with historic sod transplantation technique in a living lab","van den Hoven, Kim (Wageningen University & Research); Grashof-Bokdam, Carla J. (Wageningen University & Research); Slim, Pieter A. (Wageningen University & Research); Wentholt, Ludolph (STOWA); Peeters, Patrik (Flanders Hydraulics Research); Depreiter, Davy (Flanders Hydraulics Research); Koelewijn, André R. (Deltares); Stoorvogel, Marte M. (NIOZ Royal Netherlands Institute for Sea Research); van den Berg, M. (TU Delft Hydraulic Engineering); Kroeze, Carolien (Wageningen University & Research); van Loon-Steensma, Jantsje M. (Wageningen University & Research; Van Hall Larenstein)","","2024","Coastal flood managers seek to anticipate future flood risk and as a result consider the adaptation of flood defences. Instead of crest heightening, dikes can be adapted to include hydrodynamic reducing vegetated foreshores to form a nature-based hybrid flood defence, for instance; at managed realignments. In this study we investigated the potential of vegetated revetments as a natural continuous connection between the realigned dike and restored foreshore. We applied the historic grass sod transplantation technique with the aim to improve our understanding of the strength of a transplanted sod revetment. In Living Lab Hedwige-Prosperpolder, dikes were available for in-situ experiments during managed realignment preparations. We transplanted grass sods and studied erosion resistance after one growth season. Our results show transplanted sod vegetation continued to grow and started to attach to the clay layer. While erosion occurred under extreme wave impact and overflow, the sod pulling method revealed individual sod strength. In conclusion, sod transplantation is a good technique to source local material for green realigned dike revetments. A vegetated dike revetment can hereby create a natural continuous connection between the realigned dike and foreshore, which benefits flood protection as well as flora and fauna.","hybrid flood defence; in-situ experiment; living lab Hedwige-Prosperpolder; managed realignment; nature-based flood protection; realigned dike; sod transplantation; vegetated dike revetment","en","journal article","","","","","","","","","","Hydraulic Engineering","","","",""
"uuid:093c0762-24b2-4e78-bd77-99076996de17","http://resolver.tudelft.nl/uuid:093c0762-24b2-4e78-bd77-99076996de17","An integrated single-cell RNA-seq atlas of the mouse hypothalamic paraventricular nucleus links transcriptomic and functional types","Berkhout, J. B. (Leiden University Medical Center); Poormoghadam, D. (Netherlands Institute for Neuroscience NIN - KNAW; Amsterdam UMC); Yi, C. (Amsterdam UMC); Kalsbeek, A. (Netherlands Institute for Neuroscience NIN - KNAW; Amsterdam UMC); Meijer, O. C. (Leiden University Medical Center); Mahfouz, A.M.E.T.A. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center)","","2024","The hypothalamic paraventricular nucleus (PVN) is a highly complex brain region that is crucial for homeostatic regulation through neuroendocrine signaling, outflow of the autonomic nervous system, and projections to other brain areas. In the past years, single-cell datasets of the hypothalamus have contributed immensely to the current understanding of the diverse hypothalamic cellular composition. While the PVN has been adequately classified functionally, its molecular classification is currently still insufficient. To address this, we created a detailed atlas of PVN transcriptomic cell types by integrating various PVN single-cell datasets into a recently published hypothalamus single-cell transcriptome atlas. Furthermore, we functionally profiled transcriptomic cell types, based on relevant literature, existing retrograde tracing data, and existing single-cell data of a PVN-projection target region. Finally, we validated our findings with immunofluorescent stainings. In our PVN atlas dataset, we identify the well-known different neuropeptide types, each composed of multiple novel subtypes. We identify Avp-Tac1, Avp-Th, Oxt-Foxp1, Crh-Nr3c1, and Trh-Nfib as the most important neuroendocrine subtypes based on markers described in literature. To characterize the preautonomic functional population, we integrated a single-cell retrograde tracing study of spinally projecting preautonomic neurons into our PVN atlas. We identify these (presympathetic) neurons to cocluster with the Adarb2+ clusters in our dataset. Further, we identify the expression of receptors for Crh, Oxt, Penk, Sst, and Trh in the dorsal motor nucleus of the vagus, a key region that the pre-parasympathetic PVN neurons project to. Finally, we identify Trh-Ucn3 and Brs3-Adarb2 as some centrally projecting populations. In conclusion, our study presents a detailed overview of the transcriptomic cell types of the murine PVN and provides a first attempt to resolve functionality for the identified populations.","hypothalamus; neuroendocrine; paraventricular; preautonomic; single-cell","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:cf5921b2-6655-41c6-a88e-173e0ac3c93b","http://resolver.tudelft.nl/uuid:cf5921b2-6655-41c6-a88e-173e0ac3c93b","Revealing The Degradation Mechanism of (Sr,Ca)AlSiN3:Eu2+ Phosphor Aged Under Thermal-Moisture-Sulfur Conditions: A Combined Experimental and Ab Initio Study","Guo, Baotong (Fudan University); Wen, Minzhen (Fudan University); Tang, Hongyu (Fudan University); Lishik, Sergey (Center of LED and Optoelectronic Technologies of NAS Belarus); Fan, X. (TU Delft Electronic Components, Technology and Materials; Lamar University College of Engineering); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Changchun Institute of Optics Fine Mechanics and Physics Chinese Academy of Sciences; Fudan Zhangjiang Institute)","","2024","MAlSiN3:Eu2+ (M = Ca, Sr) is commonly used in high-power phosphor-converted white-light-emitting diodes and laser diodes to promote their color-rendering index. However, the wide application of this phosphor is limited by the degradation of its luminescent properties in high-temperature, high-humidity, and high-sulfur-content environment. Here, the degradation mechanism of the (Sr,Ca)AlSiN3:Eu2+ (SCASN) red phosphor under thermal-moisture-sulfur coupling conditions is investigated. Furthermore, by performing first-principles calculations, the hydrolysis mechanism on an atomic scale is assessed. The adsorption energy (Eads) and charge transfer (ΔQ) results showed that H2O chemically adsorbed on the (0 1 0), (3 1 0), and (0 0 1) surfaces of the CaAlSiN3 (CASN) host lattice. The energy barrier for H2O dissociation is only 29.73 kJ mol−1 on the CASN (0 1 0) surface, indicating a high dissociation probability. The formation of NH3, Ca(OH)2, and CaAl2Si2O8 is confirmed by H+ tended to combine with surface N atoms, while OH− combined with the surface Al/Si or Ca atoms. Moreover, ab initio molecular dynamics simulations were performed to further understand the hydrolysis process. This work offers a guidance on the design and applications of luminescent materials in LED packages with higher reliability and stability requirements in harsh environment.","(Sr; Ca)AlSiN:Eu phosphor; degradation mechanism; first-principles calculation; hydrolysis reaction; sulfur and hydrogen sulfide","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:9998406a-cf6f-4d03-adbe-de712b422701","http://resolver.tudelft.nl/uuid:9998406a-cf6f-4d03-adbe-de712b422701","Settlement success of European flat oyster (Ostrea edulis) on different types of hard substrate to support reef development in offshore wind farms","ter Hofstede, R. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Van Oord DMC); Witte, Sterre (NIOZ Royal Netherlands Institute for Sea Research; Rijksuniversiteit Groningen); Kamermans, Pauline (Wageningen University & Research); van Koningsveld, M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Van Oord DMC); Tonk, Linda (Wageningen University & Research)","","2024","The native European flat oyster (Ostrea edulis) is an ecosystem engineer providing important ecosystem services, but became nearly extinct from the North Sea due to diseases and overfishing. There's a growing interest to restore these oyster reefs for their valuable contribution in re-establishing a rich ecosystem in the North Sea. In order to reintroduce the flat oyster population, the availability of hard substrate is crucial for initial settlement and reef development. Such substrate is offered by the infrastructure in offshore wind farms, by means of quarried rock placed at the base of the wind turbine foundations and on top of cable crossings to prevent scouring of the seabed. Further anthropogenic disturbances of the seabed are largely restricted, making wind farm areas promising sites for oyster reef restoration. For successful oyster reef initiation, offering a suitable type of substrate for larvae settlement is important. Here, we assess the settlement preference of flat oysters on 9 different types of substrate, by comparing total settlement, spat densities and spat survival. Oyster larvae settlement preference based on the total number of spat per surface area of the substrate was the highest for granite, a rock type conventionally used as scour protection in offshore wind farms. The lowest settlement preference was observed for steel and the biodegradable polymer BESE. The experiments were performed in a spatting pond and in a natural bay to be able to compare spat collection under controlled and natural conditions. Settlement rates in the spatting pond were much higher than in the natural environment, though survival rates were lower. Our results provide insight in the settlement preference of the European flat oyster for different types of substrate under controlled and natural conditions. Knowing these favorable substrates and conditions for oyster larvae settlement allows for the selection of pro-active measures that contribute to flat oyster reef restoration in the North Sea.","Flat oyster; Hard substrates; Infrastructure; Offshore wind; Reef restoration; Settlement","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:350b7c3d-c628-407b-8dfb-b327f2205bae","http://resolver.tudelft.nl/uuid:350b7c3d-c628-407b-8dfb-b327f2205bae","Mapping the spatiotemporal variability in global storm surge water levels using satellite radar altimetry","Bij de Vaate, I. (TU Delft Water Resources); Slobbe, D.C. (TU Delft Physical and Space Geodesy); Verlaan, M. (TU Delft Mathematical Physics; Deltares)","","2024","Multi-mission satellite altimetry data have been used to study the spatial and temporal variability in global storm surge water levels. This was done by means of a time-dependent extreme value analysis applied to the monthly maximum detided water levels. To account for the limited temporal resolution of the satellite data, the data were first stacked on a 5∘× 5∘ grid. Moreover, additional scaling was applied to the extreme value analysis for which the scaling factors were determined by means of a resampling method using reanalysis data. In addition to the conventional analysis using data from tide gauges, this study provides an insight in the ocean-wide storm surge properties. Nonetheless, where possible, results were compared to similar information derived from tide gauge data. Except for secular changes, the satellite-derived results are comparable to the information derived from tide gauges (correlation > 0.5), although the tide gauges show more local variability. Where limited correlation was observed for the secular change, it was suggested that the satellites may not be able to fully capture the temporal variability in the short-lived, tropical storms, as opposed to extra-tropical storms.","Extreme value analysis; Global change; Satellite radar altimetry; Sea level; Storm surges","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:e16bfa00-f7c2-4cf8-a815-abb0d54257f6","http://resolver.tudelft.nl/uuid:e16bfa00-f7c2-4cf8-a815-abb0d54257f6","Label synchronization for Hybrid Federated Learning in manufacturing and predictive maintenance","Llasag Rosero, Raúl (University of Coimbra, Centre for Informatics and System); Silva, Catarina (University of Coimbra, Centre for Informatics and System); Ribeiro, Bernardete (University of Coimbra, Centre for Informatics and System); Santos, Bruno F. (TU Delft Air Transport & Operations)","","2024","Artificial Intelligence (AI) is transforming the future of industries by introducing new paradigms. To address data privacy and other challenges of decentralization, research has focused on Federated Learning (FL), which combines distributed Machine Learning (ML) models from multiple parties without exchanging confidential information. However, conventional FL methods struggle to handle situations where data samples have diverse features and sizes. We propose a Hybrid Federated Learning solution with label synchronization to overcome this challenge. Our FedLabSync algorithm trains a feed-forward Artificial Neural Network while alerts that it can aggregate knowledge of other ML architectures compatible with the Stochastic Gradient Descent algorithm by conducting a penalized collaborative optimization. We conducted two industrial case studies: product inspection in Bosch factories and aircraft component Remaining Useful Life predictions. Our experiments on decentralized data scenarios demonstrate that FedLabSync can produce a global AI model that achieves results on par with those of centralized learning methods.","Artificial Neural Network; Federated Learning; Hybrid Federated Learning; Machine Learning; Stochastic Gradient Descent","en","journal article","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:0708f9cb-8de2-43cd-af3c-38bd68ee25f5","http://resolver.tudelft.nl/uuid:0708f9cb-8de2-43cd-af3c-38bd68ee25f5","Synchronized optimization of wind farm start-stop and yaw control based on 3D wake model","Mr. Wang, Q. (TU Delft Wind Energy; Hubei University of Technology); Xu, Tangjie (Hubei University of Technology); von Terzi, D.A. (TU Delft Wind Energy); Xia, Wei (Hubei University of Technology); Wang, Zhenhai (Hubei University of Technology); Zhang, Haoran (Hubei University of Technology)","","2024","In existing wind farms, the overall power output can be increased through yaw control. However, the cooperative control of start/stop, yaw and turbines positions is often overlooked, leading to wake superposition to downstream wind turbines and suboptimal power output. This paper proposes a synchronized optimized method that considers start/stop, yaw and turbines positions control based on a three-dimensional wake model and yaw flow superposition model. The objective function of the proposed strategy is to maximize the power output of the Chapman Ranch (CR) wind farm. Four cases are considered: start-stop, yaw control, start-stop & yaw control and start-stop & yaw & turbines positions control. The particle swarm algorithm is introduced to optimize the wind farm layout. According to the results, considering start-stop, yaw and turbines positions optimization can not only increase the annual power output of the wind farm by 8.85 %, but also avoid the colliding wake in the CR wind farm. However, the other three cases will cause colliding wake in some fields of the CR wind farm. This study provides important guidance on improving the overall power output of existing wind farms.","3D wake model; Optimization; Start-stop; Wind farm layout; yaw control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Wind Energy","","",""
"uuid:6e04b6b9-5aae-4c63-b923-354f13a3085a","http://resolver.tudelft.nl/uuid:6e04b6b9-5aae-4c63-b923-354f13a3085a","The interface structural, electronic and optical properties of ZnO nanowires/Graphene nanohybrid (ZnO NWs/G): Experimental and theoretical DFT investigations","Boukhoubza, Issam (National Institute of Materials Physics); Achehboune, Mohamed (University of Namur); Derkaoui, Issam (University Sidi Mohammed Ben Abdellah); Apostol, Mariana Mihaela (National Institute of Materials Physics; Politehnica University of Bucharest); Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; Selçuk University); Khenfouch, Mohammed (Ibn Zohr University); Nedelcu, Liviu (National Institute of Materials Physics); Enculescu, Ionut (National Institute of Materials Physics); Matei, Elena (National Institute of Materials Physics)","","2024","In this work, a ZnO nanowires/graphene nanohybrid was synthesized by a three steps approach. Copper substrates were covered with graphene by chemical vapor deposition, further ZnO nanowires were electrochemically deposited on the as grown graphene on copper and finally a transfer process was employed for moving the heterostructure onto a different substrate. A comprehensive structural analysis which included scanning electron microscopy, X-ray diffraction and Raman measurements revealed that the ZnO nanowires crystallize in wurtzite structure perpendicular to graphene, the process leading to the formation of a nanohybrid heterostructure. The band gap energy of the ZnO nanowires deposited on graphene was estimated to be 3.11 eV, as calculated from the reflectance spectrum analysis. The GGA-PBE+U within Grimme (DFT-D) approach was used to provide an accurate description of the interface structure in terms of electronic and optical properties, confirming that the decrease in the band gap energy of ZnO nanowires is caused by the interaction with the graphene surface. The findings of this study could serve as an experimental and theoretical reference for upcoming studies on ZnO NWs/Graphene nanohybrid-based optoelectronic applications.","Electrochemical deposition; GGA-PBE+U; Optoelectronic properties; ZnO NWs/Graphene","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-12","","","Dynamics of Micro and Nano Systems","","",""
"uuid:f8962315-d49a-498b-944f-6bdbd421f6cf","http://resolver.tudelft.nl/uuid:f8962315-d49a-498b-944f-6bdbd421f6cf","Impact of calibrating a low-cost capacitance-based soil moisture sensor on AquaCrop model performance","Adla, Soham (Technische Universität München); Bruckmaier, Felix (Technische Universität München); Arias-Rodriguez, Leonardo F. (Technische Universität München); Tripathi, Shivam (Indian Institute of Technology Kanpur); Pande, S. (TU Delft Water Resources); Disse, Markus (Technische Universität München)","","2024","Sensor data and agro-hydrological modeling have been combined to improve irrigation management. Crop water models simulating crop growth and production in response to the soil-water environment need to be parsimonious in terms of structure, inputs and parameters to be applied in data scarce regions. Irrigation management using soil moisture sensors requires them to be site-calibrated, low-cost, and maintainable. Therefore, there is a need for parsimonious crop modeling combined with low-cost soil moisture sensing without losing predictive capability. This study calibrated the low-cost capacitance-based Spectrum Inc. SM100 soil moisture sensor using multiple least squares and machine learning models, with both laboratory and field data. The best calibration technique, field-based piece-wise linear regression (calibration r2 = 0.76, RMSE = 3.13 %, validation r2 = 0.67, RMSE = 4.57 %), was used to study the effect of sensor calibration on the performance of the FAO AquaCrop Open Source (AquaCrop-OS) model by calibrating its soil hydraulic parameters. This approach was tested during the wheat cropping season in 2018, in Kanpur (India), in the Indo-Gangetic plains, resulting in some best practices regarding sensor calibration being recommended. The soil moisture sensor was calibrated best in field conditions against a secondary standard sensor (UGT GmbH. SMT100) taken as a reference (r2 = 0.67, RMSE = 4.57 %), followed by laboratory calibration against gravimetric soil moisture using the dry-down (r2 = 0.66, RMSE = 5.26 %) and wet-up curves respectively (r2 = 0.62, RMSE = 6.29 %). Moreover, model overfitting with machine learning algorithms led to poor field validation performance. The soil moisture simulation of AquaCrop-OS improved significantly by incorporating raw reference sensor and calibrated low-cost sensor data. There were non-significant impacts on biomass simulation, but water productivity improved significantly. Notably, using raw low-cost sensor data to calibrate AquaCrop led to poorer performances than using the literature. Hence using literature values could save sensor costs without compromising model performance if sensor calibration was not possible. The results suggest the essentiality of calibrating low-cost soil moisture sensors for crop modeling calibration to improve crop water productivity.","AquaCrop; Calibration; Crop modeling; Low-cost soil moisture sensor; Machine learning; Water productivity","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:c18d2ca0-48c3-4cbb-af67-ae9e6764be68","http://resolver.tudelft.nl/uuid:c18d2ca0-48c3-4cbb-af67-ae9e6764be68","An adaptive agent-based approach for instant delivery order dispatching: Incorporating task buffering and dynamic batching strategies","Lu, Miaojia (Tongji University; Ministry of Education, Shanghai); Yan, Xinyu (The Hong Kong Polytechnic University); Sharif Azadeh, S. (TU Delft Transport and Planning); Wang, P. (TU Delft Transport and Planning; Tongji University)","","2024","The volume of instant delivery has witnessed a significant growth in recent years. Given the involvement of numerous heterogeneous stakeholders, instant delivery operations are inherently characterized by dynamics and uncertainties. This study introduces two order dispatching strategies, namely task buffering and dynamic batching, as potential solutions to address these challenges. The task buffering strategy aims to optimize the assignment timing of orders to couriers, thereby mitigating demand uncertainties. On the other hand, the dynamic batching strategy focuses on alleviating delivery pressure by assigning orders to couriers based on their residual capacity and extra delivery distances. To model the instant delivery problem and evaluate the performances of order dispatching strategies, Adaptive Agent-Based Order Dispatching (ABOD) approach is developed, which combines agent-based modelling, deep reinforcement learning, and the Kuhn-Munkres algorithm. The ABOD effectively captures the system's uncertainties and heterogeneity, facilitating stakeholders learning in novel scenarios and enabling adaptive task buffering and dynamic batching decision-makings. The efficacy of the ABOD approach is verified through both synthetic and real-world case studies. Experimental results demonstrate that implementing the ABOD approach can lead to a significant increase in customer satisfaction, up to 275.42%, while simultaneously reducing the delivery distance by 11.38% compared to baseline policies. Additionally, the ABOD approach exhibits the ability to adaptively adjust buffering times to maintain high levels of customer satisfaction across various demand scenarios. As a result, this approach offers valuable support to logistics providers in making informed decisions regarding order dispatching in instant delivery operations.","Agent-based modelling; Deep reinforcement learning; Dynamic batching; Instant delivery; Task buffering","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:ab572c7a-efc9-4c55-8190-d81edd4929c3","http://resolver.tudelft.nl/uuid:ab572c7a-efc9-4c55-8190-d81edd4929c3","Assessing sampling and retrieval errors of GPROF precipitation estimates over the Netherlands","Bogerd, Linda (Wageningen University & Research; Royal Netherlands Meteorological Institute (KNMI)); Leijnse, Hidde (Royal Netherlands Meteorological Institute (KNMI)); Overeem, A. (TU Delft Water Resources; Royal Netherlands Meteorological Institute (KNMI)); Uijlenhoet, R. (TU Delft Water Resources)","","2024","The Goddard Profiling algorithm (GPROF) converts radiometer observations from Global Precipitation Measurement (GPM) constellation satellites into precipitation estimates. Typically, high-quality ground-based estimates serve as reference to evaluate GPROF's performance. To provide a fair comparison, the ground-based estimates are often spatially aligned to GPROF. However, GPROF combines observations from various sensors and channels, each associated with a distinct footprint. Consequently, uncertainties related to the representativeness of the sampled areas are introduced in addition to the uncertainty when converting brightness temperatures into precipitation intensities. The exact contribution of resampling precipitation estimates, required to spatially and temporally align different resolutions when combining or comparing precipitation observations, to the overall uncertainty remains unknown. Here, we analyze the current performance of GPROF over the Netherlands during a 4-year period (2017-2020) while investigating the uncertainty related to sampling. The latter is done by simulating the reference precipitation as satellite footprints that vary in size, geometry, and applied weighting technique. Only GPROF estimates based on observations from the conical-scanning radiometers of the GPM constellation are used. The reference estimates are gauge-adjusted radar precipitation estimates from two ground-based weather radars from the Royal Netherlands Meteorological Institute (KNMI). Echo top heights (ETHs) retrieved from the same radars are used to classify the precipitation as shallow, medium, or deep. Spatial averaging methods (Gaussian weighting vs. arithmetic mean) minimally affect the magnitude of the precipitation estimates. Footprint size has a higher impact but cannot explain all discrepancies between the ground- and satellite-based estimates. Additionally, the discrepancies between GPROF and the reference are largest for low ETHs, while the relative bias between the different footprint sizes and implemented weighting methods increase with increasing ETHs. Lastly, our results do not show a clear difference between coastal and land simulations. We conclude that the uncertainty introduced by merging different channels and sensors cannot fully explain the discrepancies between satellite- and ground-based precipitation estimates. Hence, uncertainties related to the retrieval algorithm and environmental conditions are found to be more prominent than resampling uncertainties, in particular for shallow and light precipitation.","","en","journal article","","","","","","Funding Information: This research has been supported by the Dutch Research Council (NWO, grant no. ALWGO.2018.048). Publisher Copyright: © 2024 Linda Bogerd et al.","","","","","Water Resources","","",""
"uuid:a568ba90-4266-4e09-9f5d-5be3b52116b3","http://resolver.tudelft.nl/uuid:a568ba90-4266-4e09-9f5d-5be3b52116b3","Thermally self-sufficient process for single-step coproduction of methanol and dimethyl ether by CO2 hydrogenation","Vaquerizo, L. (TU Delft ChemE/Product and Process Engineering; University of Valladolid); Kiss, A.A. (TU Delft ChemE/Product and Process Engineering)","","2024","Methanol and DME are highly efficient fuels and relevant building blocks that can be synthesized by CO2 hydrogenation. While several alternatives for methanol production by CO2 hydrogenation have already been developed at a commercial scale, DME production is still based on methanol dehydration. In this sense, the development of bifunctional methanol synthesis/dehydration catalysts is a clear opportunity for the simultaneous coproduction of methanol and DME in a single-step process. Although a few alternatives for DME-methanol coproduction have been proposed, either they need external fuels or refrigerants, or part of the CO2 used as raw material is purged, resulting in a loss of methanol and DME yields. This work presents a novel thermally self-sufficient process that hydrogenates CO2 into methanol and DME in a single reactor at 100 % yield (only water as a byproduct at 0.94 kgwater/kgproduct), that only consumes air, cooling water (0.006 m3 water/kgproducts) and electricity (net CO2 emissions of −1.20 or 0.64 kgCO2eq/kgproducts when the plant is operated with green or grey electricity, respectively). The innovative design, based on the combination of a top-divided wall column, an integrated heat network, and limited pressure drop in the reaction-separation loop, results in a thermally self-sufficient process that uses only 0.76 kWh per kg products.","Dividing-wall column; Dual catalyst; Energy efficiency; Process design; Process integration","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:fc0cc2b0-b2e5-4c69-a32c-fac5d145b70b","http://resolver.tudelft.nl/uuid:fc0cc2b0-b2e5-4c69-a32c-fac5d145b70b","Volumetric Pothole Detection from UAV-Based Imagery","Chen, Siyuan (University College Dublin; Hunan Institute of Science and Technology); Laefer, Debra F. (New York University; University College Dublin); Zeng, Xiangding (Hunan Institute of Science and Technology); Truong-Hong, Linh (TU Delft Optical and Laser Remote Sensing); Mangina, Eleni (University College Dublin)","","2024","Road networks are essential elements of a community's infrastructure and need regular inspection. Present practice requires traffic interruptions and safety risks for inspectors. The road detection system based on vehicle-mounted lasers is also quite mature, offering advantages such as high-precision defect detection, high automation, and fast detection speed. However, it does have drawbacks such as high equipment procurement and maintenance costs, limited flexibility, and insufficient coverage range. Therefore, this paper proposes a low-cost unmanned aerial vehicle (UAV)-based alternative using imagery for automatic road pavement inspection focusing on pothole detection and classification. A slicing-based method, entitled the Pavement Pothole Detection Algorithm, is applied to the imagery after it is converted into a three-dimensional point cloud. When compared with manually extracted results, the proposed UAV-structure-from-motion (SfM) method and the associated algorithm achieved 0.01 m level accuracy for pothole depth detection and maximum errors of 0.0053 m3 in volume evaluation for cases studies of both a road and a bridge deck.","Pavement evaluation; Photogrammetry; Point cloud; Structure from motion (SfM); Unmanned aerial vehicle (UAV)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-27","","","Optical and Laser Remote Sensing","","",""
"uuid:bcdbec1a-009e-4c63-a6c6-841d22bc7270","http://resolver.tudelft.nl/uuid:bcdbec1a-009e-4c63-a6c6-841d22bc7270","Modeling low saline carbonated water flooding including surface complexes","Alvarez, A. C. (Universidade Federal do Rio de Janeiro); Bruining, J. (TU Delft Reservoir Engineering); Marchesin, D. (Instituto Nacional de Matemática Pura e Aplicada - IMPA)","","2024","Carbonated water flooding (CWI) increases oil production due to favorable dissolution effects and viscosity reduction. Accurate modeling of CWI performance requires a simulator with the ability to capture the true physics of such process. In this study, compositional modeling coupled with surface complexation modeling (SCM) are done, allowing a unified study of the influence in oil recovery of reduction of salt concentration in water. The compositional model consists of the conservation equations of total carbon, hydrogen, oxygen, chloride and decane. The coefficients of such equations are obtained from the equilibrium partition of chemical species that are soluble both in oleic and the aqueous phases. SCM is done by using the PHREEQC program, which determines concentration of the master species. Estimation of the wettability as a function of the Total Bound Product (TBP) that takes into account the concentration of the complexes in the aqueous, oleic phases and in the rock walls is performed. We solve analytically and numerically these equations in 1 - D in order to elucidate the effects of the injection of low salinity carbonated water into a reservoir containing oil equilibrated with high salinity carbonated water.","Carbonate water flooding; Conservation laws; Surface complexation modeling; Wettability","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-01","","","Reservoir Engineering","","",""
"uuid:1da56723-f07c-4f83-bb56-7401482d9071","http://resolver.tudelft.nl/uuid:1da56723-f07c-4f83-bb56-7401482d9071","RFID-based material passport system in a recycled concrete circular chain","Vahidi, A. (TU Delft Resources & Recycling); Gebremariam, A.T. (TU Delft Resources & Recycling); Di Maio, F. (TU Delft Resources & Recycling); Meister, K.R. (TU Delft Environmental Technology and Design); Koulaeian, T. (TU Delft Resources & Recycling); Rem, P.C. (TU Delft Resources & Recycling)","","2024","The construction industry urgently requires a resilient information system for effective coordination of data transmission among various stakeholders, including both the public and private sectors. Such an advanced digital solution would not only enhance transparency along the value chain but also improve both the quality of and confidence in recycled materials. Achieving circularity and reducing environmental impact are closely tied to the efficient management of material flows and life cycles. Within this context, Material Passports (MPs) are posited as a foundational element, particularly when integrated with a digital database. This integration is particularly beneficial for increasing the circularity of concrete, beginning with end-of-life concrete, a major contributor to global construction and demolition waste. MPs effectively transmit crucial information about the quality of recycled aggregates, thereby enabling their use in future construction projects. This study explores the feasibility of employing Radio Frequency Identification (RFID) technology as an MP, aiming to enhance sustainability in the concrete industry by improving transparency, traceability, and data reliability in the recycled concrete supply chain. Extensive laboratory tests carried out in three distinct experimental phases revealed that RFID tags exhibit remarkable resilience to mechanical stress typical in the supply chain and consistently maintain readability when embedded in concrete. The water content in concrete samples was identified as a significant factor influencing initial tag readability, although readability improved over time. Other factors, such as the type of aggregates, particle size distribution, and proximity to steel rebar, had minimal to modest impacts on tag performance. Additionally, the study confirmed that the readability of RFID tags remains robust at typical transport speeds, which highlights the potential of an RFID-based system in advancing supply chain management. This study provides a solid foundation for future research in this evolving area.","Circular economy; End-of-life concrete; Material passport; Recycling; RFID","en","journal article","","","","","","","","","","","Resources & Recycling","","",""
"uuid:97830b21-60d2-48bf-81c5-02112c1348c6","http://resolver.tudelft.nl/uuid:97830b21-60d2-48bf-81c5-02112c1348c6","Responsible mission governance: An integrative framework and research agenda","Wiarda, M.J. (TU Delft Values Technology and Innovation); Janssen, Matthijs J. (Universiteit Utrecht); Coenen, Tom B.J. (University of Twente); Doorn, N. (TU Delft Values Technology and Innovation)","","2024","Governance lies at the heart of instigating, steering, and creating the conditions for mission-oriented transitions that potentially help resolve some of our grand societal challenges. In doing so, policymakers will need to navigate both epistemic and normative considerations to develop, implement, and evaluate missions responsibly. A number of scholars have therefore expressed the need for a better conceptualization of responsible mission governance as a procedural approach, particularly with the aim of coping with the complexity, uncertainty, and contestation that render these wicked problems intractable. In this paper we develop an integrative framework for responsible mission governance by taking wickedness dimensions as our entry point. Accordingly, we argue that responsible mission governance should integrate various complementary governance responsibilities (e.g., reflexivity) and modes (e.g., reflexive governance) that potentially improve the effectiveness and desirability of missions.","Innovation policy; Mission; Responsible innovation; Societal challenge; Transformation; Transition","en","journal article","","","","","","","","","","Values Technology and Innovation","","","",""
"uuid:86a53abd-52a3-4ec7-b733-e4fdf374ab22","http://resolver.tudelft.nl/uuid:86a53abd-52a3-4ec7-b733-e4fdf374ab22","Embedding Fe(0) electrocoagulation in a biologically active As(III) oxidising filter bed","Roy, M. (TU Delft Sanitary Engineering); Kraaijeveld, E. (TU Delft Sanitary Engineering); Gude, J.C.J. (NX Filtration); van Genuchten, Case M. (Geological Survey of Denmark and Greenland); Rietveld, L.C. (TU Delft Sanitary Engineering); van Halem, D. (TU Delft Sanitary Engineering)","","2024","Long-term consumption of groundwater containing elevated levels of arsenic (As) can have severe health consequences, including cancer. To effectively remove As, conventional treatment technologies require expensive chemical oxidants to oxidise neutral arsenite (As(III)) in groundwater to negatively charged arsenate (As(V)), which is more easily removed. Rapid sand filter beds used in conventional aeration-filtration to treat anaerobic groundwater can naturally oxidise As(III) through biological processes but require an additional step to remove the generated As(V), adding complexity and cost. This study introduces a novel approach where As(V), produced through biological As(III) oxidation in a sand filter, is effectively removed within the same filter by embedding and operating an iron electrocoagulation (FeEC) system inside the filter. Operating FeEC within the biological filter achieved higher As(III) removal (81 %) compared to operating FeEC in the filter supernatant (67 %). This performance was similar to an analogous embedded-FeEC system treating As(V)-contaminated water (85 %), confirming the benefits of incorporating FeEC in a biological bed for comparable As(III) and As(V) removal. However, operating FeEC in the sand matrix consumed more energy (14 Wh/m3) compared to FeEC operated in a water matrix (7 Wh/m3). The efficiency of As removal increased and energy requirements decreased in such embedded-FeEC systems by deep-bed infiltration of Fe(III)-precipitates, which can be controlled by adjusting flow rate and pH. This study is one of the first to demonstrate the feasibility of embedding FeEC systems in sand filters for groundwater arsenic removal. Such systems capitalise on biological As(III) oxidation in aeration-filtration, effectively eliminating As(V) within the same setup without the need for chemicals or major modifications.","Arsenic; Drinking water; Groundwater; Iron electrocoagulation","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:719350bd-9ac1-4ba8-93d1-b6edf3e7852c","http://resolver.tudelft.nl/uuid:719350bd-9ac1-4ba8-93d1-b6edf3e7852c","Potential of BREEAM-C to support building circularity assessment: Insights from case study and expert interview","Wong, Dominique Hiulong (Universiteit Leiden); Zhang, Chunbo (Universiteit Leiden; University College London (UCL)); Di Maio, F. (TU Delft Resources & Recycling); Hu, Mingming (Universiteit Leiden)","","2024","Lack of knowledge and tools hampers circular transition in the construction industry. This study analyzes the potential of a framework of circular indicators put forward by the Building Research Establishment Environmental Assessment Method (BREEAM-C) as an answer to the prevailing need of a metric for building circularity assessment to promote circular construction. A qualitative analysis approach is adopted, involving literature review, comparative case study and semi-structured interviews conducted for collecting expert opinions. An in-depth scrutiny of the BREEAM-C indicators revealed that they are rooted in circular principles, cover building circularity realizable through circular strategies, and have given due consideration to circularity in different impact areas, structural layers and life-cycle stages of buildings. Moreover, BREEAM-C indicators not only show capacity in identifying CE-related practices implemented, but also serve as benchmarks testifying that CE principles/strategies are incorporated in the design, construction, operation and management of the buildings. Despite having room for expansion, BREEAM-C has proven to be applicable and practical with potential for use in Taiwan as confirmed by expert opinions. Nevertheless, adaptation/localization is required to cater for different concerns with respect to climate and safety as well as local context and legislations.","BREEAM; Building circularity; Built environment; Circular construction; Circular economy; Circularity assessment","en","journal article","","","","","","","","","","","Resources & Recycling","","",""
"uuid:3dcfb0ec-d106-4134-851f-c8d935054f53","http://resolver.tudelft.nl/uuid:3dcfb0ec-d106-4134-851f-c8d935054f53","CFD-DEM study on agglomeration and spout-assisted fluidization of cohesive particles","Zou, Yi (Southeast University – Monash University Joint Research Institute); Zou, Ruiping (Monash University); Wu, Yongli (TU Delft Resources & Recycling)","","2024","The agglomeration of cohesive particles can deteriorate fluidization quality and cause the defluidization of a bed, which is a common issue found in the applications of fluidized beds. This study aims to gain a better understanding of particle cohesion on agglomeration/fluidization behaviors and the effective methods for achieving a better fluidization quality, through numerical simulations based on the coupled approach of computational fluid dynamics and discrete element method (CFD-DEM). The effects of particle cohesion, gas velocities or flow conditions, and the bed geometry on the agglomeration and fluidization behaviors are analyzed. It is shown that the increase of particle cohesion can lead to deteriorated particle mixing, significant agglomeration of particles, and defluidization of the bed; the agglomeration-induced defluidization of highly cohesive particles is difficult to mitigate in a conventional flat-bottom fluidized bed. As large-sized agglomerates are more frequently found in the bottom of the bed, the spouted gas flow is then utilized and demonstrated to be effective in assisting the deagglomeration and fluidization of highly cohesive particles. Through the comparison of various spouted beds and spouted fluidized beds, the effective design of the bed bottom is identified for achieving a higher fluidization quality. Corresponding mechanisms underlying spout-assisted deagglomeration and fluidization are found to be much related to not only the enhanced particle-fluid but also particle-wall interactions in the confined space of a conical bed bottom, thus explaining the effectiveness and the importance of the bottom conical geometry of spouted beds. The obtained findings may help to understand the agglomeration-induced defluidization of fluidized beds and assist the fluidization of highly cohesive particles by the effective design of spouted beds.","Agglomeration; CFD-DEM; Cohesive particles; Fluidization; Spouted bed","en","journal article","","","","","","","","","","","Resources & Recycling","","",""
"uuid:31036a2d-8b58-4fd6-917d-9570168153c5","http://resolver.tudelft.nl/uuid:31036a2d-8b58-4fd6-917d-9570168153c5","Application of thermodynamics at different scales to describe the behaviour of fast reacting binary mixtures in vapour-liquid equilibrium","Lasala, Silvia (Lorraine University); Samukov, Konstantin (Lorraine University); Polat, H.M. (TU Delft Engineering Thermodynamics); Lachet, Véronique (IFP Energies Nouvelles); Herbinet, Olivier (Lorraine University); Privat, Romain (Lorraine University); Jaubert, Jean Noël (Lorraine University); Moultos, O. (TU Delft Process and Energy); De Ras, Kevin (Universiteit Gent); Vlugt, T.J.H. (TU Delft Process and Energy)","","2024","The use of reactive working fluids in thermodynamic cycles is currently being considered as an alternative to inert working fluids, because of the preliminarily attested higher energy-efficiency potential. The current needs to simulate their use in thermodynamic cycles, which may operate in liquid, vapour or vapour-liquid state, are an accurate real-fluid equation of state and ideal gas thermochemical properties of each molecule constituting the mixture, to calculate the equilibrium constant. To this end, the appeal to a multi-scale theoretical methodology is paramount and its definition represents the objective of the present work. This methodology is applied and validated on the system N2O4 ⇌ 2NO2. Firstly, the equations solved for simultaneous two-phase and reaction equilibrium are presented. Secondly, ideal gas thermochemical properties of N2O4 and NO2 are computed at atomic scale by quantum mechanics simulations. Then, to apply the selected cubic equation of state, pure-component properties of the species forming the reactive mixture (critical point coordinates and acentric factor) are required as input. However, these properties are not measurable, since NO2 and N2O4 do not exist in nature as pure components. To get around this difficulty, the methodology relies on molecular Monte Carlo simulations of the pure N2O4 and NO2, as well as on the reactive N2O4 ⇌ 2NO2, enabling the determination of those missing pure-component properties and thus the calculation, on a macroscopic scale, of the reactive mixture properties. Finally, the comparison of calculated mixture properties with available experimental data leads to validate the accuracy of the proposed methodology.","Equations of state; Monte Carlo simulations; Quantum Mechanics simulations; Reactive mixtures; Vapour-liquid equilibrium","en","journal article","","","","","","","","","","Process and Energy","Engineering Thermodynamics","","",""
"uuid:3927a57e-328c-4607-9ca3-652f97ecf648","http://resolver.tudelft.nl/uuid:3927a57e-328c-4607-9ca3-652f97ecf648","Aberration-driven tilted emission in degenerate cavities","Gurevich, S. V. (University of Münster; University of the Balearic Islands); Maucher, F. (TU Delft Optical Technologies; University of the Balearic Islands); Javaloyes, J. (University of the Balearic Islands)","","2024","The compensation of chromatic dispersion opened new avenues and extended the level of control upon pattern formation in the temporal domain. In this paper, we propose the use of a nearly degenerate laser cavity as a general framework allowing for the exploration of higher contributions to diffraction in the spatial domain. Our approach leverages the interplay between optical aberrations and the proximity to the self-imaging condition, which allows us to cancel or reverse paraxial diffraction. As an example, we show how spherical aberrations materialize into a transverse bi-Laplacian operator and, thereby, explain the stabilization of temporal solitons traveling off-axis in an unstable mode-locked broad-area surface-emitting laser. We disclose an analogy between these regimes and the dynamics of a quantum particle in a double-well potential.","","en","journal article","","","","","","","","","","","Optical Technologies","","",""
"uuid:ac6b78bb-57f2-4420-85d9-a308469263fb","http://resolver.tudelft.nl/uuid:ac6b78bb-57f2-4420-85d9-a308469263fb","Experimental and numerical study on earthquake-fire coupling failure mechanism of steel cylindrical tanks","Men, Jinkun (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety; Katholieke Universiteit Leuven); Chen, Guohua (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Katholieke Universiteit Leuven; Universiteit Antwerpen); Wu, Yue (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety); Huang, Hailing (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety)","","2024","An earthquake-triggered fire domino scenario (E-FDS) is an example of a typical multi-hazard coupling event. The seismic damage can affect the fire resistance of engineering structures, leading to significant mutually amplified phenomena. In this work, a two-stage experimental program is designed to expound the earthquake-fire coupling failure mechanism of steel cylindrical tanks (SCTs). Quasi-static tests are adopted to simulate the damage characteristics of SCTs under seismic excitation (Stage I). Fire tests are adopted to investigate the fire-resistance performance of pre-damaged SCTs (Stage II). The influences of seismic damage on the fire resistance of SCTs are particularly of interest. Three potential seismic damage degrees are considered. The experimental results show that tank specimens exhibit typical diamond-shaped buckling after Stage I. The coupling failure analysis of SCTs is conducted through sequential thermodynamic coupling simulations. Due to factors such as geometric deformation, residual stress, and thermal radiation absorption capacity, the fire resistance of SCTs is significantly attenuated by seismic damage. For the three damage states, fire resistance time attenuation coefficients (0.868, 0.716, 0.511) and critical temperature attenuation coefficients (0.910, 0.779, 0.672) were obtained. This work provides pivotal insights into the mutually amplified phenomena in E-FDSs.","Coupling failure mechanism; Earthquake-triggered fire domino scenario; Steel cylindrical tanks; Two-stage experimental program","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","","Safety and Security Science","","",""
"uuid:eb661d08-fc10-4b5c-824d-72d68e00da85","http://resolver.tudelft.nl/uuid:eb661d08-fc10-4b5c-824d-72d68e00da85","Successive approximations and interval halving for fractional BVPs with integral boundary conditions","Marynets, K. (TU Delft Mathematical Physics); Pantova, D.H. (TU Delft Mathematical Physics)","","2024","We study a system of non-linear fractional differential equations, subject to integral boundary conditions. We use a parametrization technique and a dichotomy-type approach to reduce the original problem to two “model-type” fractional boundary value problems with linear two-point boundary conditions. A numerical-analytic technique is applied to analytically construct approximate solutions to the “model-type” problems. The behaviour of these approximate solutions is governed by a set of parameters, whose values are obtained by numerically solving a system of algebraic equations. The obtained results are confirmed by an example of the fractional order problem that in the case of the second order differential equation models the Antarctic Circumpolar Current.","Approximation of solutions; Dichotomy-type approach; Fractional differential equations; Fractional geophysical model; Integral boundary conditions; Parametrization","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:bfd0d299-b573-4a1d-aab8-a085d9284d87","http://resolver.tudelft.nl/uuid:bfd0d299-b573-4a1d-aab8-a085d9284d87","Blind Polarization Demultiplexing of Shaped QAM Signals Assisted by Temporal Correlations","Bajaj, V. (TU Delft Team Sander Wahls); Van de Plas, Raf (TU Delft Team Raf Van de Plas; VanderBilt University); Wahls, S. (Karlsruhe Institut für Technologie)","","2024","While probabilistic constellation shaping (PCS) enables rate and reach adaption with finer granularity [1] (Cho and Winzer, 2009), it imposes signal processing challenges at the receiver. Since the distribution of PCS-quadrature amplitude modulation (QAM) signals tends to be Gaussian, conventional blind polarization demultiplexing algorithms are not suitable for them [2] (Johnson et al., 1998). It is known that independently and identically distributed (iid) Gaussian signals, when mixed, cannot be recovered/separated from their mixture. For PCS-QAM signals, there are algorithms such as [3] and [4] Dris et al. (2019) and Athuraliya et al. (2004) which are designed by extending conventional blind algorithms used for uniform QAM signals. In these algorithms, an initialization point is obtained by processing only a part of the mixed signal, which have non-Gaussian statistics. In this article, we propose an alternative method wherein we add temporal correlations at the transmitter, which are subsequently exploited at the receiver in order to separate the polarizations. We will refer to the proposed method as frequency domain (FD) joint diagonalization (JD) probability aware-multi modulus algorithm (pr-MMA), and it is suited to channels with moderate polarization mode dispersion (PMD) effects. Furthermore, we extend our previously proposed JD-MMA [5] (Bajaj et al., 2022) by replacing the standard MMA with a pr-MMA, improving its performance. Both FDJD-pr-MMA and JD-pr-MMA are evaluated for a diverse range of PCS (entropy $\mathcal {H}$) of 64-QAM over a first-order PMD channel that is simulated in a proof-of-concept setup. A MMA initialized with a memoryless constant modulus algorithm (CMA) is used as a benchmark. We show that at a differential group delay (DGD) of 10% of symbol period T$_{\text{symb}}$ and 18 dB SNR/pol., JD-pr-MMA successfully demultiplexes the PCS signals, while CMA-MMA fails drastically. Furthermore, we demonstrate that the newly proposed FDJD-pr-MMA is robust against moderate PMD effects by evaluating it over a DGD of up to 40% of T$_{\text{symb}}$. Our results show that the proposed FDJD-pr-MMA successfully equalizes PMD channels with a DGD up to 20% of T$_{\text{symb}}$.","and optical fiber communication; Correlation; Demultiplexing; digital signal processing; Optical fiber dispersion; Polarization demultiplexing; probabilistic constellation shaping; Programmable logic arrays; Quadrature amplitude modulation; Signal processing algorithms; Symbols","en","journal article","","","","","","","","2024-03-14","","","Team Sander Wahls","","",""
"uuid:78b3ee0c-71ac-41f6-8564-53b3e1e4d31c","http://resolver.tudelft.nl/uuid:78b3ee0c-71ac-41f6-8564-53b3e1e4d31c","Measurements of morphodynamics of a sheltered beach along the Dutch Wadden Sea","van der Lugt, M.A. (TU Delft Coastal Engineering; Deltares); Bosma, Jorn W. (Universiteit Utrecht); de Schipper, M.A. (TU Delft Coastal Engineering); Price, Timothy D. (Universiteit Utrecht); van Maarseveen, Marcel C. G. (Universiteit Utrecht); van der Gaag, P. (TU Delft Lab Hydraulic Engineering); Ruessink, Gerben (Universiteit Utrecht); Reniers, A.J.H.M. (TU Delft Environmental Fluid Mechanics); Aarninkhof, S.G.J. (TU Delft Civil Engineering & Geosciences)","","2024","A field campaign was carried out at a sheltered sandy beach with the aim of gaining new insights into the driving processes behind sheltered beach morphodynamics. Detailed measurements of the local hydrodynamics, bed-level changes and sediment composition were collected at a man-made beach on the leeside of the barrier island Texel, bordering the Marsdiep basin that is part of the Dutch Wadden Sea. The dataset consists of (1) current, wave and turbidity measurements from a dense cross-shore array and a 3 km alongshore array; (2) sediment composition data from beach surface samples; (3) high-temporal-resolution RTK-GNSS beach profile measurements; (4) a pre-campaign spatially covering topobathy map; and (5) meteorological data. This paper outlines how these measurements were set up and how the data have been processed, stored and can be accessed. The novelty of this dataset lies in the detailed approach to resolve forcing conditions on a sheltered beach, where morphological evolution is governed by a subtle interplay between tidal and wind-driven currents, waves and bed composition, primarily due to the low-energy (near-threshold) forcing. The data are publicly available at 4TU Centre for Research Data at: https://doi.org/10.4121/19c5676c-9cea-49d0-b7a3-7c627e436541 (Van der Lugt et al., 2023).","","en","journal article","","","","","","","","","Civil Engineering & Geosciences","","Coastal Engineering","","",""
"uuid:6dbba8e0-f142-4308-94a5-9aeb2ee1e734","http://resolver.tudelft.nl/uuid:6dbba8e0-f142-4308-94a5-9aeb2ee1e734","The chromatin landscape of healthy and injured cell types in the human kidney","Gisch, Debora L. (Indiana University - Purdue University); Brennan, Michelle (Saint Louis University); Lake, Blue B. (University of California; San Diego Institute of Science); Basta, Jeannine (Washington University in St. Louis); Keller, Mark S. (Harvard Medical School); P. Gonçalves, Joana (TU Delft Pattern Recognition and Bioinformatics); Migas, L.G. (TU Delft Team Raf Van de Plas); Van de Plas, Raf (TU Delft Team Raf Van de Plas); Lardenoije, R. (TU Delft Pattern Recognition and Bioinformatics)","","2024","There is a need to define regions of gene activation or repression that control human kidney cells in states of health, injury, and repair to understand the molecular pathogenesis of kidney disease and design therapeutic strategies. Comprehensive integration of gene expression with epigenetic features that define regulatory elements remains a significant challenge. We measure dual single nucleus RNA expression and chromatin accessibility, DNA methylation, and H3K27ac, H3K4me1, H3K4me3, and H3K27me3 histone modifications to decipher the chromatin landscape and gene regulation of the kidney in reference and adaptive injury states. We establish a spatially-anchored epigenomic atlas to define the kidney’s active, silent, and regulatory accessible chromatin regions across the genome. Using this atlas, we note distinct control of adaptive injury in different epithelial cell types. A proximal tubule cell transcription factor network of ELF3, KLF6, and KLF10 regulates the transition between health and injury, while in thick ascending limb cells this transition is regulated by NR2F1. Further, combined perturbation of ELF3, KLF6, and KLF10 distinguishes two adaptive proximal tubular cell subtypes, one of which manifested a repair trajectory after knockout. This atlas will serve as a foundation to facilitate targeted cell-specific therapeutics by reprogramming gene regulatory networks.","","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:1adbdab8-a4fa-408b-a933-2825db8aeb36","http://resolver.tudelft.nl/uuid:1adbdab8-a4fa-408b-a933-2825db8aeb36","Contribution of capital goods production to social impacts: A life cycle perspective for a circular desalination plant","Tsalidis, G.A. (TU Delft BT/Biotechnology and Society; Communication and Knowledge Technologies; Brunel University); Kokubo Roche, A.M.B. (TU Delft BT/Biotechnology and Society); Randazzo, Serena (Università degli Studi di Palermo); Posada Duque, J.A. (TU Delft BT/Biotechnology and Society)","","2024","The production of capital goods is often ignored in the life cycle inventory phase of life cycle assessment studies. In this study, we investigated whether capital goods production, i.e., manufacturing of capital equipment and construction of infrastructure, and operation affect the results of the social life cycle assessment (S-LCA), using a case study of a desalination plant with multiple co-products in Lampedusa, Italy. The assessment was conducted using the PSILCA database to evaluate 20 impact subcategories and four stakeholder categories: Workers, Value chain actors, Society and Local community. Monetary data were collected for the manufacturing of equipment, labor and miscellaneous work during plant construction, working hours of employees during operation, consumed electricity and chemicals, and recovered materials during operation. Furthermore, multi-functionality was addressed through substitution, system expansion, and economic allocation to examine how these approaches affected the results. The functional unit was 1 m3 industrial water. Equipment manufacturing and plant construction contributed up to 15% to stakeholder categories and between 2% and 75% to impact subcategories of the substitution approach, and up to 51% for impact subcategories of system expansion and economic allocation. Equipment manufacturing and plant construction contributed to a high extent to “Health and safety” (of Workers), “Discrimination” and “Local employment” due to the construction and electrical sectors. Credits in substitution lead to a lower contribution of the operational stage and negative societal impact values. If S-LCA practitioners must limit the considered impact subcategories, for generic or site-specific analysis, the “Health and safety” (Workers), “Local employment”, and “Fair salary” should be investigated.","Construction; Infrastructure; Local community; PSILCA; Reference scale approach; Social life cycle assessment; Worker","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-26","","","BT/Biotechnology and Society","","",""
"uuid:6db4d1fa-a4ec-4374-8154-e226fdbf194d","http://resolver.tudelft.nl/uuid:6db4d1fa-a4ec-4374-8154-e226fdbf194d","Robust additive manufacturable Ni superalloys designed by the integrated optimization of local elemental segregation and cracking susceptibility criteria","Yu, Hao (Northeastern University China); Fu, Jiabo (Northeastern University China); Wang, Chenchong (Northeastern University China); Chen, Yinping (Northeastern University China); Wang, Lingyu (Northeastern University China); Fang, Haixing (European Synchrotron Radiation Facility; Grenoble INP; Université Grenoble Alpes; Université de Lyon); Li, Jinguo (Chinese Academy of Sciences); van der Zwaag, S. (TU Delft Group Garcia Espallargas); Xu, Wei (Northeastern University China)","","2024","To achieve an effective design of additively manufacturable Ni superalloys with decent service performance, a hybrid computational design model has been developed, where the strategy to tailor local elemental segregations was integrated within a scheme of minimizing the cracking susceptibility. More specifically, the phase boundary of primary NbC / γ matrix was introduced into the design routine to tune the spatial distribution of critical solutes at an atomic scale, thereby inhibiting the formation of borides and segregation-induced cracking. Based on the output of the design, new grades of Ni superalloy have been developed with excellent additive manufacturability, as confirmed by the robustness of printing parameters in fabricating low-defect-density samples. The capability of the phase boundaries to evenly distribute boron atoms was validated experimentally, and the cracking induced by uncontrolled boron segregation at grain boundaries was effectively prevented. The newly designed alloys showed good tensile properties and decent oxidation resistance at different service temperatures, which are comparable to those of conventionally produced superalloys. The finding that phase boundaries can be employed to prevent undesirable clustering of boron atoms can be extended to manipulate the distributions of other critical elements, which provides a new path for designing novel Ni superalloys with balanced printability and mechanical properties.","Additive manufacturability; Boron segregation; Computational design; Ni superalloys; Phase boundaries","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-08","","","Group Garcia Espallargas","","",""
"uuid:cef343c1-e212-4ad0-a5ba-bdc4219ef106","http://resolver.tudelft.nl/uuid:cef343c1-e212-4ad0-a5ba-bdc4219ef106","Structure and bonding in TiNiSi type LaMgSnH intermetallic hydride","Yartys, Volodymyr A. (Institute for Energy Technology); Denys, Roman V. (Institute for Energy Technology); Akselrud, Lev G. (Ivan Franko National University of Lviv, Lviv); Vajeeston, Ponniah (Universitetet i Oslo); Dankelman, B.J.R. (TU Delft RID/TS/Technici Pool); Plomp, J. (TU Delft RST/Neutron and Positron Methods in Materials; TU Delft RID/TS/Instrumenten groep); Block, Theresa (University of Münster); Pöttgen, Rainer (University of Münster); Wragg, David (Institute for Energy Technology)","","2024","The work was aimed on reaching a better understanding of the effect of magnesium as a component of the hydride-forming LaMgSn intermetallic compound crystallising with the orthorhombic TiNiSi type of structure on the hydrogenation behaviours, crystal structure and bonding interactions with hydrogen. The LaMgSn structure is significantly expanded as compared to the earlier studied isotypic LaNiSn H storage material (volume expansion of 23%), as a result of a substitution of the smaller Ni atoms by much larger Mg atoms. This significantly affects the chemistry of the interaction of the intermetallic compound with hydrogen because a transition metal, Ni, in replaced by an active hydride-forming metal, Mg. The work involved computational studies of the electronic structure of the intermetallic compound and its hydride, and experimental studies of the hydrogenation behaviour and thermal stability of the formed hydride LaMgSnH, its structural characterisation by SR XRD and neutron powder diffraction, and Mössbauer spectroscopic studies of the stannide and its hydride. These studies showed that in the system LaMgSn-H2 a monohydride LaMgSnH is a thermodynamically favourable hydride composition. PDOS levels show that hydrogen and all constituting elemental metals, La, Mg and Sn, have peaks of electron density in the range between − 6 and − 4 eV indicating their hybridisation. The results show the hybridization of H atoms not only with bonded La and Mg atoms forming H-filled tetrahedra La3Mg, but also with Sn despite its atoms do not have bonding interactions with H. This explains the high stability of the metal substructure which does not disproportionate into the binary hydrides of La and Mg even when heated to 200 °C @ 20 bar H2, but instead forms an insertion type hydride. Formation of the monohydride LaMgSnH (Sp.gr. Pnma; a=8.1628(4); b= 4.5555(3); c= 9.2391(5) Å; V= 343.56(5) Å3) causes a small (1.26%) expansion of the unit cell volume compared to LaMgSn, and mainly proceeds along the [100] direction. Hydrogen absorption-desorption cycle results in a reversible formation of the initial compound LaMgSn, with the peak of hydrogen release occurring in vacuum at 355 °C, which is intermediate between the temperatures for the vacuum decomposition of the dihydrides MgH2 and LaH2. From the combined refinements of the Synchrotron (SR) XRD and Neutron Powder Diffraction (NPD) data, deuterium atoms completely and in an ordered way fill a half of the available La3Mg interstitial sites with metal-H/D distances of Mg-D= 2.026 Å; La-D= 2.381 and 2.502 Å. The occupied La3Mg sites are smaller in size than the vacant Mg3La tetrahedra. Sn and D exhibit a nonbonding interaction with the closest Sn-D separation of 3.033 Å. 119Sn Mössbauer spectra of LaMgSn and LaMgSnH show isomer shifts of 1.98(2) and 1.99(1) mm/s which are typical for the chemically similar stannides.","DFT studies; LaMgSn stannide; Metal hydrides; Mössbauer spectroscopy; Neutron diffraction","en","journal article","","","","","","","","","","","RID/TS/Technici Pool","","",""
"uuid:dbe6227b-ce75-4c53-a415-cdc313d10d66","http://resolver.tudelft.nl/uuid:dbe6227b-ce75-4c53-a415-cdc313d10d66","Stroke patients’ motivation for home-based upper extremity rehabilitation with eHealth tools","Langerak, A.J. (Erasmus MC); D'Olivo, P. (TU Delft Design Aesthetics); Thijm, O. S.A. (Erasmus MC; Leiden University Medical Center); Regterschot, G.R.H. (Erasmus MC); Meskers, C. G.M. (Amsterdam UMC); Rozendaal, M.C. (TU Delft Human Information Communication Design); Visch, V.T. (TU Delft Design Aesthetics); Bussmann, J.B.J. (Erasmus MC)","","2024","Purpose: eHealth-based exercise therapies were developed to increase stroke patients’ adherence to home-based motor rehabilitation. However, these eHealth tools face a rapid decrease in use after a couple of weeks. This study investigates stroke patients’ motivation for home-based upper extremity rehabilitation with eHealth tools and their relation with Basic Psychological Needs. Materials and methods: This is a qualitative study using thematic analysis. We conducted semi-structured interviews with stroke patients with upper extremity motor impairments, who were discharged home from a rehabilitation centre, after they interacted with a novel eHealth coach demonstrator in their homes for five consecutive days. Results: We included ten stroke patients. Thematic analysis resulted in eight themes for home-based rehabilitation motivation: Curiosity, Rationale, Choice, Optimal challenge, Reference, Encouragement, Social Support and Trustworthiness. Those themes are embedded into three Basic Psychological Needs: “Autonomy”, “Competence”, and “Relatedness”. Conclusion: Eight motivational themes related to the three Basic Psychological Needs describe stroke patients’ motivation for home-based upper extremity rehabilitation. We recommend considering those themes when developing a home-based eHealth intervention for stroke patients to increase the alignment of eHealth tools to the patient’s needs and reduce motivational decreases in home-based rehabilitation.","adherence; eHealth; motivation; rehabilitation; Stroke; upper extremity","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:6ef66de3-b3b1-4f9f-bed0-14301f753645","http://resolver.tudelft.nl/uuid:6ef66de3-b3b1-4f9f-bed0-14301f753645","Pointing Calibration of GroundBIRD Telescope Using Moon Observation Data","Sueno, Y. (Graduate School of Science); Baselmans, J.J.A. (TU Delft Tera-Hertz Sensing; SRON Netherlands Institute for Space Research); Coppens, A. (SRON Netherlands Institute for Space Research); Génova-Santos, R. T. (Instituto de Astrofísica de Canarias; Universidad de la Laguna); Hattori, M. (Tohoku University); Karatsu, K. (SRON Netherlands Institute for Space Research); Lee, K. (Korea University; Student TU Delft); Suzuki, J. (Graduate School of Science); Thoen, David (SRON Netherlands Institute for Space Research)","","2024","Understanding telescope pointing (i.e. line of sight) is important for observing the cosmic microwave background (CMB) and astronomical objects. The Moon is a candidate astronomical source for pointing calibration. Although the visible size of the Moon (30`) is larger than that of the planets, we can frequently observe the Moon once a month with a high signal-to-noise ratio. We developed a method for performing pointing calibration using observational data from the Moon. We considered the tilts of the telescope axes as well as the encoder and collimation offsets for pointing calibration. In addition, we evaluated the effects of the nonuniformity of the brightness temperature of the Moon, which is a dominant systematic error. As a result, we successfully achieved a pointing accuracy of 3.3`. This is one order of magnitude smaller than an angular resolution of 36`. This level of accuracy competes with past achievements in other ground-based CMB experiments using observational data from the planets.","","en","journal article","","","","","","","","","","","Tera-Hertz Sensing","","",""
"uuid:b1684432-c423-4ba8-adcb-41ad5fe1bfb0","http://resolver.tudelft.nl/uuid:b1684432-c423-4ba8-adcb-41ad5fe1bfb0","Time to failure analysis of wood adhesives: a non-linear approach based on chemical reaction kinetics","van de Kuilen, J.W.G. (TU Delft Bio-based Structures & Materials; Technische Universität München); Clerc, G. (Swiss Wood Solutions AG); Mosleh, Yasmine (TU Delft Bio-based Structures & Materials); Gard, W.F. (TU Delft Bio-based Structures & Materials); Richter, K. (Technische Universität München)","","2024","Similar to wood, adhesives may exhibit duration of load effects. When loaded for longer periods of time, damage processes in the material may develop, eventually leading to failure. From wood research it is known that load level, temperature and relative humidity have an important influence on this behaviour. In general, higher stress levels, temperatures, and moisture content will lead to shorter times to failure and these effects may be more pronounced in loading directions such as shear or tension perpendicular to the grain. It is shown that the reaction kinetics based approach for damage accumulation effects in polyurethane based adhesives can be described using the same non-linear damage accumulation expression as used for wood. The relationship between the time to failure and load-level as influenced by for instance temperature is determined for lap joints, immersed in hot water with temperature of 60oC and 90oC, and at load levels varying between 30 and 90% of the mean short term shear strength.
It is shown that a non-linear damage accumulation expression as used for wood, can also be used for damage accumulation effects in melamine-urea-formaldehyde adhesives. The relationship between the time to failure and load-level as influenced by temperature is determined for beech lap joints loaded in tensile shear. The specimens have been immersed in hot water with temperatures of 60oC and 90oC respectively, and at load levels varying between 30 and 90% of the mean short term shear strength.","adhesive; wood; reaction kinetic; failure analysis; reaction kinetics; temperature effect","en","journal article","","","","","","","","","","","Bio-based Structures & Materials","","",""
"uuid:127b7fc0-cb2b-4691-b97f-430d576bf661","http://resolver.tudelft.nl/uuid:127b7fc0-cb2b-4691-b97f-430d576bf661","Analytical treatments of time-fractional seventh-order nonlinear equations via Elzaki transform","Ali, Liaqat (Southern University of Science and Technology; Zhejiang University); Zou, Guang (Southern University of Science and Technology); Li, Na (Southern University of Science and Technology); Mehmood, Kashif (Zhejiang University); Fang, P. (TU Delft Transport Engineering and Logistics); Khan, Adnan (Abdul Wali Khan University Mardan)","","2024","In this article, we’ll show how to solve the time-fractional seventh-order Lax’s Korteweg–de Vries and Kaup–Kupershmidt equations analytically using the homotopy perturbation approach, the Adomian decomposition method, and the Elzaki transformation. The KdV equation is a general integrable equation with an inverse scattering transform-based solution that arises in a variety of physical applications, including surface water waves, internal waves in a density stratified fluid, plasma waves, Rossby waves, and magma flow. Fractional derivative is described in the Caputo sense. The solutions to fractional partial differential equation is computed using convergent series. The numerical computations and graphical representations of the analytical results obtained using the homotopy perturbation and decomposition techniques. Moreover, plots that are simple to grasp are used to compare the integer order and fractional-order solutions. After only a few iterations, we may easily obtain numerical results that provide us better approximations. The exact solutions and the derived solutions were observed to be very similar. The suggested methods have also acquired the highest level of accuracy. The most prevalent and convergent techniques for resolving nonlinear fractional-order partial differential issues are the applied techniques.","26A33; 34A25; 35A20; 35Q53; Analytical techniques; Caputo operator; Elzaki Transform; Kaup–Kupershmidt (KK) equation; Lax’s Korteweg–de Vries equation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-17","","","Transport Engineering and Logistics","","",""
"uuid:dd1db052-8834-4c07-af2b-3fe1bdeb64a6","http://resolver.tudelft.nl/uuid:dd1db052-8834-4c07-af2b-3fe1bdeb64a6","Unraveling the hydrogen sulfide aging mechanism on electrical-thermal–mechanical property degradation of sintered nanocopper interconnects used in power electronics packaging","Chen, Wei (Fudan University); Liu, Xu (Fudan University); Hu, D. (TU Delft Electronic Components, Technology and Materials); Liu, X. (TU Delft Electronic Components, Technology and Materials); Zhu, Xi (Fudan University; Research Institute of Fudan University, Ningbo); Fan, Xuejun (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (TU Delft Electronic Components, Technology and Materials; Fudan University; Research Institute of Fudan University, Ningbo)","","2024","During operation in environments containing hydrogen sulfide (H2S), such as in offshore and coastal environments, sintered nanoCu in power electronics is susceptible to degradation caused by corrosion. In this study, experimental and molecular dynamics (MD) simulation analyses were conducted to investigate the evolution and mechanism of H2S-induced corrosion of sintered nanoCu, and bulk Cu was used as the reference. The following results are obtained: (1) Both sintered nanoCu and bulk Cu reacted with O2 prior to reacting with H2S, forming Cu2O, Cu2S, CuO, and CuS. In addition, sintered nanoCu exhibited more severe corrosion. (2) For both sintered nanoCu and bulk Cu, H2S-induced corrosion resulted in the deterioration of electrical, thermal, and mechanical properties, and sintered nanoCu experienced a greater extent of deterioration. (3) As was ascertained through Reactive Force Field (ReaxFF) MD simulations, the penetration of H2S and O2 combined with the upward migration of Cu resulted in the formation of a corrosion film. In addition, compared to bulk Cu, the H2S and O2 penetration in the sintered nanoCu structure was observed to occur to a greater depth, accounting for the more pronounced performance degradation.","HS corrosion; Performance degradation; ReaxFF; Shear strength; Sintered nanocopper","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:97cfad13-ee97-4ec2-b8e8-ea029adde6bf","http://resolver.tudelft.nl/uuid:97cfad13-ee97-4ec2-b8e8-ea029adde6bf","Interdependence in rare earth element supply between China and the United States helps stabilize global supply chains","Chen, Wei (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Eckelman, Matthew J. (Northeastern University); Sprecher, B. (TU Delft Design for Sustainability); Chen, Wei (Chinese Academy of Sciences; University of Science and Technology of China); Wang, Peng (Chinese Academy of Sciences; University of Chinese Academy of Sciences)","","2024","Rare earth elements (REEs) are vital to the development of low-carbon technologies. There are rising concerns in the United States and elsewhere about REE supply chain stability and risks given the unvalidated perception in the heavy reliance of China, by far the largest REE supplier. However, the relationship between key countries at different stages of global REE supply chains remains unclear. Here, we use a dynamic flow analysis to explore supply dependence between the United States and China by tracing REE flows from mineral mining to market between 2000 and 2022. Our results indicate complementary and cooperative US–China interactions, especially after 2018 when the United States became a net exporter of REE and China's largest supplier, and China became the largest importer of the US REEs and manufacturer of REE-enabled low-carbon technologies. This intensifying interdependence stabilizes REE supply chains and highlights the importance of cooperative REE trade networks.","critical raw materials; material flow analysis; rare earth elements; sustainable development; US–China cooperation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Design for Sustainability","","",""
"uuid:1a534a77-ee67-4431-819a-1a50fe7cdd70","http://resolver.tudelft.nl/uuid:1a534a77-ee67-4431-819a-1a50fe7cdd70","Charge carrier trapping management in Bi3+ and lanthanides doped Li(Sc,Lu)GeO4 for x-ray imaging, anti-counterfeiting, and force recording","Lyu, Tianshuai (Huaqiao University); Dorenbos, P. (TU Delft RST/Luminescence Materials)","","2024","Discovering energy storage materials with rationally controlled trapping and de-trapping of electrons and holes upon x-rays, UV-light, or mechanical force stimulation is challenging. Such materials enable promising applications in various fields, for instance in multimode anti-counterfeiting, x-ray imaging, and non-real-time force recording. In this work, photoluminescence spectroscopy, the refined chemical shift model, and thermoluminescence studies will be combined to establish the vacuum referred binding energy (VRBE) diagrams for the LiSc1-xLuxGeO4 family of compounds containing the energy level locations of Bi2+, Bi3+, and the lanthanides. The established VRBE diagrams are used to rationally develop Bi3+ and lanthanides doped LiSc1-xLuxGeO4 storage phosphors and to understand trapping and de-trapping processes of charge carriers with various physical excitation means. The thermoluminescence intensity of x-ray irradiated LiSc0.25Lu0.75GeO4:0.001Bi3+,0.001Eu3+ is about two times higher than that of the state-of-the-art x-ray storage phosphor BaFBr(I):Eu2+. Particularly, a force induced charge carrier storage phenomenon appears in Eu3+ co-doped LiSc1-xLuxGeO4. Proof-of-concept non-real-time force recording, anti-counterfeiting, and x-ray imaging applications will be demonstrated. This work not only deepens our understanding of the capturing and de-trapping processes of electrons and holes with various physical excitation sources, but can also trigger scientists to rationally discover new storage phosphors by exploiting the VRBEs of bismuth and lanthanide levels.","","en","journal article","","","","","","","","2024-08-21","","","RST/Luminescence Materials","","",""
"uuid:5c00b6ee-4dc8-452b-865a-ae69ae187aa5","http://resolver.tudelft.nl/uuid:5c00b6ee-4dc8-452b-865a-ae69ae187aa5","Assessing the feasibility of CO2 removal strategies in achieving climate-neutral power systems: Insights from biomass, CO2 capture, and direct air capture in Europe","Béres, Rebeka (University Medical Center Groningen); Junginger, Martin (Universiteit Utrecht); van den Broek, M.A. (TU Delft Energie and Industrie)","","2024","To achieve the European Union's goal of climate neutrality by 2050, negative emissions may be required to compensate for emissions exceeding allocated carbon budgets. Therefore, carbon removal technologies such as bioenergy with carbon capture (BECCS) and direct air capture (DAC) may need to play a pivotal role in the power system. To design carbon removal strategies, more insights are needed into the impact of sustainable biomass availability and the feasibility of carbon capture and storage (CCS), including the expensive and energy-intensive DAC on achieving net-zero and net-negative targets. Therefore, in this study the European power system in 2050 is modelled at an hourly resolution in the cost-minimization PLEXOS modelling platform. Three climate-neutral scenarios with targets of 0, -1, and -3.9 Mt CO2/year (which agree with varying levels of climate justice) are assessed for different biomass levels, and CCS availability. Findings under baseline assumptions reveal that in a climate-neutral power system with biomass and CCS options, it is cost-effective to complement variable renewable energy with a mix of combined cycle natural gas turbines (CCNGT) for flexibility and BECCS as base load to compensate for the CO2 emissions from natural gas and additional carbon removal in the net-negative scenarios. The role of these technologies becomes more prominent, with -3.9 GtCO2/year target. Limited biomass availability necessitates additional 0.4–4 GtCO2/year DAC, 10–50 GW CCNGT with CCS, and 10–50 GW nuclear. Excluding biomass doubles system costs and increases reliance on nuclear energy up to 300 TWh/year. The absence of CCS increases costs by 78%, emphasizing significant investments in bioenergy, nuclear power, hydrogen storage, and biogas. Sensitivity analysis and limitations of the study are fully discussed.","Bioenergy with carbon capture; Direct air capture; European green deal; Negative emissions; Power system modelling","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:bd02c390-2e97-4ff5-89de-fdcd16a3615b","http://resolver.tudelft.nl/uuid:bd02c390-2e97-4ff5-89de-fdcd16a3615b","‘‘It’s okay because I’m just driving’’: an exploration of self-reported mobile phone use among Mexican drivers","Useche, Sergio A. (Universidad de Valencia (ICMol)); Alonso, Francisco (Universidad de Valencia (ICMol)); Faus, Mireia (Universidad de Valencia (ICMol)); Trejo, Arturo Cervantes (Anahuac University); Castaneda, Isaac (Anahuac University); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science)","","2024","Introduction. Technological advancements have the potential to enhance people’s quality of life, but their misuse can have a detrimental impact on safety. A notable example is the escalating issue of distracted driving resulting from the use of mobile phones behind the wheel, leading to severe crashes and injuries. Despite these concerns, both drivers’ usage patterns and their risk-related associations remain scarcely documented in Mexico. Therefore, this descriptive study aimed to examine the mobile phone usage of Mexican drivers, its relationships to risk awareness and near-miss/crash involvement, and the self-reported underlying reasons for this behavior. Methods. This cross-sectional study utilized a sample of 1,353 licensed Mexican drivers who took part in a nationwide series of interviews regarding their onboard phone use settings. Results. A significant percentage of drivers (96.8%) recognize using a mobile phone while driving as high-risk behavior. However, only 7.4% reported completely avoiding its use while driving, with 22.4% identified as high-frequency users. Frequency was also found positively associated with the self-reported rate of near-misses and crashes. Furthermore, qualitative data analysis highlights the emergence of a ‘sense of urgency’ to attend to phone-related tasks in response to daily demands and life dynamics, offering a potential explanation for this behavior. Conclusion. The results of this study suggest common patterns of onboard mobile use among Mexican drivers concerning driving situations and associated risks. This underscores the need for increased efforts to discourage onboard phone use in the country.","Cell phone; Driving; Habits; Road distractions; Texting","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:e4066dd5-6409-47dd-8176-f2b9c75c0632","http://resolver.tudelft.nl/uuid:e4066dd5-6409-47dd-8176-f2b9c75c0632","When does infrastructure hybridisation outperform centralised infrastructure paradigms? – Exploring economic and hydraulic impacts of decentralised urban wastewater system expansion","Duque, Natalia (Swiss Federal Institute of Aquatic Science and Technology; ETH Zürich); Scholten, L. (TU Delft Policy Analysis); Maurer, Max (Swiss Federal Institute of Aquatic Science and Technology; ETH Zürich)","","2024","We explore the dynamics of centralised and decentralised wastewater infrastructure across various scenarios and introduce novel insights into their performance regarding structural vulnerability, hydraulic capacity, and costs. This study determines circumstances under which infrastructure hybridisation outperforms traditional centralised infrastructure paradigms. We combined system analysis to map out the modelling problem with the model-based exploration of the transition space using the novel TURN-Sewers model. System diagramming was used to identify the parameters or combinations of parameters that significantly influence the performance indicators being assessed. This allowed the creation of relevant simulation scenarios to identify circumstances where a decentralised sewer system could outperform a centralised one. TURN-Sewers was applied to model the infrastructure maintenance and generation of new infrastructure over 20 years for a municipality on the Swiss Plateau, considering a population growth rate of 0.03 a−1. Results show that decentralisation in expansion areas with higher densification can outperform the hydraulic performance and structural vulnerability of expanding centralised sanitary wastewater infrastructure. Decentralised systems can also offer economic advantages when capital expenditure costs for small-scale wastewater treatment plants are significantly reduced compared to current costs, particularly at higher discount rates, e.g. reaping effects of economies of scale. The findings of this study emphasise the potential of transition pathways towards decentralisation in urban water infrastructures and the value of models that allow the exploration of this transition space.","Performance assessment; System diagramming; Transition planning; Urban drainage; Wastewater infrastructure","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:2f0f4ac3-66de-45cb-83c0-2a258fdd634a","http://resolver.tudelft.nl/uuid:2f0f4ac3-66de-45cb-83c0-2a258fdd634a","Assessment criteria for inter-organizational collaboration in interconnected infrastructure projects","Rikhtegarnezami, M. (TU Delft Integral Design & Management); de Bruijne, M.L.C. (TU Delft Organisation & Governance); Hertogh, M.J.C.M. (TU Delft Integral Design & Management); Bakker, H.L.M. (TU Delft Integral Design & Management)","","2024","Purpose: Societies depend on interconnected infrastructures that are becoming more complex over the years. Multi-disciplinary knowledge and skills are essential to develop modern infrastructures, requiring close collaboration of various infrastructure owners. To effectively manage and improve inter-organizational collaboration (IOC) in infrastructure construction projects, collaboration status should be assessed continually. This study identifies the assessment criteria, forming the foundation of a tool for assessing the status of IOC in interconnected infrastructure projects.
Design/methodology/approach: A systematic literature study and in-depth semi-structured interviews with practitioners in interconnected infrastructure construction projects in the Netherlands are performed to identify the criteria for assessing the status of IOC in infrastructure construction projects, based on which an assessment tool is developed.
Findings: The identified assessment criteria through the literature and the practitioner’s perspectives results in the designing and development of a collaboration assessment tool. The assessment tool consists of 12 criteria and 36 sub-criteria from three different categories of collaborative capacity: individual, relational, and organizational.
Originality/value: The assessment tool enables practitioners to monitor the status of IOC between infrastructure owners and assists them in making informed decisions to enhance collaboration. The assessment tool provides the opportunity to assess and analyze the status of collaboration based on three categories (i.e., individual, relational, and organizational).","Assessment tool; Construction industry; Horizontal collaboration; Inter-organizational collaboration; Interconnected infrastructure","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:ad0c4af8-58e7-4aea-a77f-b06166cf0fc4","http://resolver.tudelft.nl/uuid:ad0c4af8-58e7-4aea-a77f-b06166cf0fc4","Reynolds-number scaling of wall-pressure–velocity correlations in wall-bounded turbulence","Baars, W.J. (TU Delft Aerodynamics); Dacome, G. (TU Delft Aerodynamics); Lee, Myoungkyu (University of South Alabama)","","2024","Wall-pressure fluctuations are a practically robust input for real-time control systems aimed at modifying wall-bounded turbulence. The scaling behaviour of the wall-pressure-velocity coupling requires investigation to properly design a controller with such input data so that it can actuate upon the desired turbulent structures. A comprehensive database from direct numerical simulations (DNS) of turbulent channel flow is used for this purpose, spanning a Reynolds-number range. Spectral analysis reveals that the streamwise velocity is most strongly coupled to the linear term of the wall pressure, at a Reynolds-number invariant distance-from-the-wall scaling of (and for the wall-normal velocity). When extending the analysis to both homogeneous directions in and, the peak coherence is centred at and for and, and and, respectively. A stronger coherence is retrieved when the quadratic term of the wall pressure is concerned, but there is only little evidence for a wall-attached-eddy type of scaling. An experimental dataset comprising simultaneous measurements of wall pressure and velocity complements the DNS-based findings at one value of k, with ample evidence that the DNS-inferred correlations can be replicated with experimental pressure data subject to significant levels of (acoustic) facility noise. It is furthermore shown that velocity-state estimations can be achieved with good accuracy by including both the linear and quadratic terms of the wall pressure. An accuracy of up to 72 % in the binary state of the streamwise velocity fluctuations in the logarithmic region is achieved; this corresponds to a correlation coefficient of 0.6. This thus demonstrates that wall-pressure sensing for velocity-state estimation - e.g. for use in real-time control of wall-bounded turbulence - has merit in terms of its realization at a range of Reynolds numbers.","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:f46ee429-d0a4-4242-ac88-800908206cb8","http://resolver.tudelft.nl/uuid:f46ee429-d0a4-4242-ac88-800908206cb8","A shear stress parametrization for arbitrary wind farms in conventionally neutral boundary layers","Stipa, S. (TU Delft Wind Energy); Allaerts, D.J.N. (TU Delft Wind Energy); Brinkerhoff, Joshua (University of British Columbia)","","2024","In the context of large off-shore wind farms, power production is influenced greatly by the turbine array's interaction with the atmospheric boundary layer. One of the most influencing manifestations of such complex interaction is the increased level of shear stress observed within the farm. This leads to higher momentum fluxes that affect the wind speed at the turbine locations and in the cluster wake. At the wind farm entrance, an internal boundary layer (IBL) grows due to the change in effective roughness imposed by the wind turbines, and for large enough clusters, this can reach the unperturbed boundary layer height in what is referred to as the fully developed regime. Downwind, a second IBL starts growing, while the shear stress profile decays exponentially to its unperturbed state. In the present study, we propose a simple analytical model for the vertical profile of the horizontal shear stress components in the three regions identified above. The model builds upon the top-down model of Meneveau (J. Turbul., vol. 13, 2012, N7), and assumes that the flow develops in a conventionally neutral boundary layer. The proposed parametrization is verified successfully against large-eddy simulations, demonstrating its ability to capture the vertical profile of horizontal shear stress, and its evolution both inside and downwind of the wind farm. Our findings suggest that the developed model can prove extremely useful to enhance the physical grounds on which new classes of coupled wind farm engineering models are based, leading to a better estimation of meso-scale phenomena affecting the power production of large turbine arrays.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:51f26dae-ce4a-454f-8068-94af0628d30b","http://resolver.tudelft.nl/uuid:51f26dae-ce4a-454f-8068-94af0628d30b","Floods have become less deadly: an analysis of global flood fatalities 1975–2022","Jonkman, Sebastiaan N. (TU Delft Hydraulic Structures and Flood Risk; Texas A&M University at Galveston); Curran, A.N. (TU Delft Hydraulic Structures and Flood Risk; HKV Consultants); Bouwer, L. M. (Helmholtz-Zentrum Hereon)","","2024","Floods are amongst the most frequent disasters in terms of human and economic impacts. This study provides new insights into the frequency of loss of life at the global scale, mortality fractions of the population exposed to floods, and underlying trends. A dataset is compiled based on the EM-DAT disaster database covering the period 1975 until 2022, extending previous studies on this topic. Flood impact data are analysed over spatial, temporal and economic scales, decomposed in various flood types and compared with other natural disasters. Floods are the most frequent natural disasters up to 1000 fatalities, and flash floods lead to the highest mortality fractions per event, i.e. the number of deaths in an event relative to the exposed population. Despite population growth and increasing flood hazards, the average number of fatalities per event has declined over time. Mortality fractions per event have decreased over time for middle- and high-middle-income countries, but increased for low-income countries. This highlights the importance of continuing and expanding risk reduction and adaptation efforts.","Disaster risk reduction; Fatalities; Floods; Mortality; Trends","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:5611465d-9d0c-404e-afcd-587e9631e06f","http://resolver.tudelft.nl/uuid:5611465d-9d0c-404e-afcd-587e9631e06f","Engineering Saccharomyces cerevisiae for fast vitamin-independent aerobic growth","Ehrmann, Anja K. (Technical University of Denmark); Wronska, A.K. (TU Delft BT/Industriele Microbiologie); Perli, T. (TU Delft BT/Industriele Microbiologie); de Hulster, A.F. (TU Delft BT/Industriele Microbiologie); Luttik, M.A.H. (TU Delft BT/Industriele Microbiologie); van den Broek, M.A. (TU Delft BT/Industriele Microbiologie); Carqueija Cardoso, D.C. (TU Delft BT/Industriele Microbiologie); Pronk, J.T. (TU Delft BT/Biotechnologie); Daran, J.G. (TU Delft BT/Industriele Microbiologie)","","2024","Chemically defined media for cultivation of Saccharomyces cerevisiae strains are commonly supplemented with a mixture of multiple Class-B vitamins, whose omission leads to strongly reduced growth rates. Fast growth without vitamin supplementation is interesting for industrial applications, as it reduces costs and complexity of medium preparation and may decrease susceptibility to contamination by auxotrophic microbes. In this study, suboptimal growth rates of S. cerevisiae CEN.PK113-7D in the absence of pantothenic acid, para-aminobenzoic acid (pABA), pyridoxine, inositol and/or biotin were corrected by single or combined overexpression of ScFMS1, ScABZ1/ScABZ2, ScSNZ1/ScSNO1, ScINO1 and Cyberlindnera fabianii BIO1, respectively. Several strategies were explored to improve growth of S. cerevisiae CEN.PK113-7D in thiamine-free medium. Overexpression of ScTHI4 and/or ScTHI5 enabled thiamine-independent growth at 83% of the maximum specific growth rate of the reference strain in vitamin-supplemented medium. Combined overexpression of seven native S. cerevisiae genes and CfBIO1 enabled a maximum specific growth rate of 0.33 ± 0.01 h−1 in vitamin-free synthetic medium. This growth rate was only 17 % lower than that of a congenic reference strain in vitamin-supplemented medium. Physiological parameters of the engineered vitamin-independent strain in aerobic glucose-limited chemostat cultures (dilution rate 0.10 h−1) grown on vitamin-free synthetic medium were similar to those of similar cultures of the parental strain grown on vitamin-supplemented medium. Transcriptome analysis revealed only few differences in gene expression between these cultures, which primarily involved genes with roles in Class-B vitamin metabolism. These results pave the way for development of fast-growing vitamin-independent industrial strains of S. cerevisiae.","","en","journal article","","","","","","","","","","BT/Biotechnologie","BT/Industriele Microbiologie","","",""
"uuid:45496b8b-2ff4-4c71-a854-c027d4532cb7","http://resolver.tudelft.nl/uuid:45496b8b-2ff4-4c71-a854-c027d4532cb7","Visualizing greener cities","Metze, T.A.P. (TU Delft Organisation & Governance); Rojas-Padilla, Eduardo (Wageningen University & Research)","","2024","To realize sustainability transitions, there is a need for broad societal support. A study now shows that images can be influential in building that support, even in the case of policy decisions to invest in greener urban transportation, which more sceptical citizens would typically not endorse.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-23","","","Organisation & Governance","","",""
"uuid:58070867-ed09-4481-ad80-f5430929205e","http://resolver.tudelft.nl/uuid:58070867-ed09-4481-ad80-f5430929205e","To Share or Not to Share: Understanding and Modeling Individual Disclosure Preferences in Recommender Systems for the Workplace","Musick, Geoff (Clemson University); Duan, Wen (Clemson University); Najafian, S. (TU Delft Web Information Systems); Sengupta, Subhasree (Clemson University); Flathmann, Christopher (Clemson University); Knijnenburg, Bart (Clemson University); McNeese, Nathan (Clemson University)","","2024","Newly-formed teams often encounter the challenge of members coming together to collaborate on a project without prior knowledge of each other’s working and communication styles. This lack of familiarity can lead to conflicts and misunderstandings, hindering effective teamwork. Derived from research in social recommender systems, team recommender systems have shown the ability to address this challenge by providing personality-derived recommendations that help individuals interact with teammates with differing personalities. However, such an approach raises privacy concerns as to whether teammates would be willing to disclose such personal information with their team. Using a vignette survey conducted via a research platform that hosts a team recommender system, this study found that context and individual differences significantly impact disclosure preferences related to team recommender systems. Specifically, when working in interdependent teams where success required collective performance, participants were more likely to disclose personality information related to Emotionality and Extraversion unconditionally. Drawing on these findings, this study created and evaluated a machine learning model to predict disclosure preferences based on group context and individual differences, which can help tailor privacy considerations in team recommender systems prior to interaction.","Additional Key Words and Phrases: Group recommender systems; Individual difference; Privacy; Teamwork","en","journal article","","","","","","","","","","","Web Information Systems","","",""
"uuid:66d406bf-c98d-43de-bc64-0053546ef45d","http://resolver.tudelft.nl/uuid:66d406bf-c98d-43de-bc64-0053546ef45d","Target-oriented acquisition geometry design based on full-wavefield migration","Revelo Obando, B.A. (TU Delft Applied Geophysics and Petrophysics); Blacquière, G. (TU Delft Applied Geophysics and Petrophysics)","","2024","The ultimate goal of survey design is to find the acquisition parameters that enable acquiring high-quality data suitable for optimal imaging, while fulfilling budget, health, safety, and environmental constraints. We develop a target-oriented acquisition design algorithm based on full-wavefield migration. The algorithm optimizes a receiver density function that indicates the number of receivers per unit area required for obtaining the best possible image quality. The method makes use of available seismic data to create a reference model that is included in our objective function. To make the design target oriented, the objective function is multiplied with a mask that gives more weight to the target areas of interest. The results of the 2D and 3D implementations indicate an optimized receiver density function with higher values at the zones where more data are needed for improving image quality. The corresponding receiver geometries have more receivers placed in these areas. We validate the results by computing the images of the target zone using uniform and optimized geometries. The use of the latter indicates an improvement in the image quality at the target zone. In addition, we compute the number of receivers required for achieving a certain signal-to-noise ratio after imaging based on the optimized receiver density function.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-26","","","Applied Geophysics and Petrophysics","","",""
"uuid:fbf7b930-a863-456a-88e6-f157d09aa141","http://resolver.tudelft.nl/uuid:fbf7b930-a863-456a-88e6-f157d09aa141","TOSCA – an open-source, finite-volume, large-eddy simulation (LES) environment for wind farm flows","Stipa, S. (University of British Columbia); Ajay, Arjun (University of British Columbia); Allaerts, D.J.N. (TU Delft Wind Energy); Brinkerhoff, Joshua (University of British Columbia)","","2024","The growing number and growing size of wind energy projects coupled with the rapid growth in high-performance computing technology are driving researchers toward conducting large-scale simulations of the flow field surrounding entire wind farms. This requires highly parallel-efficient tools, given the large number of degrees of freedom involved in such simulations, and yields valuable insights into farm-scale physical phenomena, such as gravity wave interaction with the wind farm and farm–farm wake interactions. In the current study, we introduce the open-source, finite-volume, large-eddy simulation (LES) code TOSCA (Toolbox fOr Stratified Convective Atmospheres) and demonstrate its capabilities by simulating the flow around a finite-size wind farm immersed in a shallow, conventionally neutral boundary layer (CNBL), ultimately assessing gravity-wave-induced blockage effects. Turbulent inflow conditions are generated using a new hybrid off-line–concurrent-precursor method. Velocity is forced with a novel pressure controller that allows us to prescribe a desired average hub-height wind speed while avoiding inertial oscillations above the atmospheric boundary layer (ABL) caused by the Coriolis force, a known problem in wind farm LES studies. Moreover, to eliminate the dependency of the potential-temperature profile evolution on the code architecture observed in previous studies, we introduce a method that allows us to maintain the mean potential-temperature profile constant throughout the precursor simulation. Furthermore, we highlight that different codes do not predict the same velocity inside the boundary layer under geostrophic forcing owing to their intrinsically different numerical dissipation. The proposed methodology allows us to reduce such spread by ensuring that inflow conditions produced from different codes feature the same hub wind and thermal stratification, regardless of the adopted precursor run time. Finally, validation of actuator line and disk models, CNBL evolution, and velocity profiles inside a periodic wind farm is also presented to assess TOSCA’s ability to model large-scale wind farm flows accurately and with high parallel efficiency.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:9e2a881c-0238-4a37-ab2a-54d87d2a19dc","http://resolver.tudelft.nl/uuid:9e2a881c-0238-4a37-ab2a-54d87d2a19dc","Stability of dusty rings in protoplanetary discs","Chan, Kevin (Queen Mary University of London); Paardekooper, S. (TU Delft Planetary Exploration; Queen Mary University of London)","","2024","Dust rings in protoplanetary discs are often observed in thermal dust emission and could be fa v ourable environments for planet formation. While dust rings readily form in gas pressure maxima, their long-term stability is key to both their observability and potential to assist in planet formation. We investigate the stability of the dust ring generated by interactions of a protoplanetary disc with a Neptune-sized planet and consider its possible long-term evolution using the FARGO3D Multifluid code. We look at the onset of the Rossby Wave Instability (RWI) and compare how the addition of dust in a disc can alter the stability of the gas phase. We find that with the addition of dust, the rings generated by planet-disc interactions are more prone to RWI and can cause the gas phase to become unstable. The instability is shown to occur more easily for higher Stokes number dust, as it accumulates into a more narrow ring which triggers the RWI, while the initial dust fraction plays a more minor role in the stability properties. We show that the dusty RWI generates vortices that collect dust in their cores, which could be sites for further planetesimal formation. We conclude that the addition of dust can cause a ring in a protoplanetary disc to become more prone to instability leading to a different long-term evolution compared to gas-only simulations of the RWI.","hydrodynamics; methods: numerical; protoplanetary discs","en","journal article","","","","","","","","","","","Planetary Exploration","","",""
"uuid:23a20d2a-6107-4fd3-a3b9-31649179578a","http://resolver.tudelft.nl/uuid:23a20d2a-6107-4fd3-a3b9-31649179578a","Active Acoustic Metamaterial Based on Helmholtz Resonators to Absorb Broadband Low-Frequency Noise","Hedayati, R. (TU Delft Novel Aerospace Materials); Lakshmanan, Sandhya P. (Delft University of Technology (TU Delft))","","2024","The aim of the present work is to design active acoustic metamaterial consisting of an array of Helmholtz resonators and fabricating them using an additive manufacturing technique in order to assist in a reduction in noise levels in aerospace applications. To this aim, initially, a passive metamaterial consisting of an array of 64 Helmholtz resonator unit cells is designed and tested to establish the effectiveness and region of performance. The selected design variable for change is identified as the resonator cavity depth through the frequency response for each parameter of the Helmholtz resonance equation and randomized to achieve a broadband frequency range of the passive metamaterial. An active model of this design (actuated by a stepper motor) is fabricated and tested. The metamaterials are tested under two acoustic set-ups: a closed system aimed at recreating the environment of a soundproof room and an open-system aimed to recreate the condition of an active liner. For the case of passive system, the metamaterial gave sound attenuation of 18 dB (for f = 150 Hz) in open system configuration and 33 dB (f = 350 Hz) in closed system configuration. The attenuation obtained for the active model was 10–15 dB over the mean line performance for the case of closed system and 15–20 dB for the case of open system. The closed system was also tested for performance at multiple cavity depths by setting two wall depths at 10 mm and three walls at 50 mm. This test yielded an attenuation of 15 dB at 180 Hz, the frequency corresponding to 50 mm cavity depth, and 10 dB at 515 Hz, corresponding to 10 mm cavity depth.","acoustic metamaterial; additive manufacturing; Helmholtz resonator; noise attenuation","en","journal article","","","","","","","","","","","Novel Aerospace Materials","","",""
"uuid:fd4dad4b-495b-496a-83c4-8abd136499e3","http://resolver.tudelft.nl/uuid:fd4dad4b-495b-496a-83c4-8abd136499e3","Migration of low-mass planets in inviscid discs: the effect of radiation transport on the dynamical corotation torque","Ziampras, Alexandros (Queen Mary University of London); Nelson, Richard P. (Queen Mary University of London); Paardekooper, S. (TU Delft Planetary Exploration; Queen Mary University of London)","","2024","Low-mass planets migrate in the type-I regime. In the inviscid limit, the contrast between the vortensity trapped inside the planet's corotating region and the background disc vortensity leads to a dynamical corotation torque, which is thought to slow down inward migration. We investigate the effect of radiative cooling on low-mass planet migration using inviscid 2D hydrodynamical simulations. We find that cooling induces a baroclinic forcing on material U-turning near the planet, resulting in vortensity growth in the corotating region, which in turn weakens the dynamical corotation torque and leads to 2-3 × faster inw ard migration. This mechanism is most efficient when cooling acts on a time-scale similar to the U-turn time of material inside the corotating region, but is none the less rele v ant for a substantial radial range in a typical disc ( R ~5-50 au). As the planet migrates inwards, the contrast between the vortensity inside and outside the corotating region increases and partially regulates the effect of baroclinic forcing. As a secondary ef fect, we sho w that radiati ve damping can further weaken the vortensity barrier created by the planet's spiral shocks, supporting inward migration. Finally, we highlight that a self-consistent treatment of radiative diffusion as opposed to local cooling is critical in order to avoid overestimating the vortensity growth and the resulting migration rate.","hydrodynamics; methods: numerical; planet-disc interactions","en","journal article","","","","","","","","","","","Planetary Exploration","","",""
"uuid:bf89da1d-0ef5-4ec6-b1d0-e39d4bfe3a99","http://resolver.tudelft.nl/uuid:bf89da1d-0ef5-4ec6-b1d0-e39d4bfe3a99","DeltaDTM: A global coastal digital terrain model","Pronk, M.J. (TU Delft Urban Data Science; Deltares); Hooijer, Aljosja (Deltares); Eilander, Dirk (Deltares); Haag, Arjen (Deltares); de Jong, Tjalling (Deltares); Vousdoukas, Michalis (University of the Aegean, Mytilene); Vernimmen, Ronald (Data for Sustainability, Axel); Ledoux, H. (TU Delft Urban Data Science); Eleveld, M.A. (TU Delft Mathematical Geodesy and Positioning; Deltares)","","2024","Coastal elevation data are essential for a wide variety of applications, such as coastal management, flood modelling, and adaptation planning. Low-lying coastal areas (found below 10 m +Mean Sea Level (MSL)) are at risk of future extreme water levels, subsidence and changing extreme weather patterns. However, current freely available elevation datasets are not sufficiently accurate to model these risks. We present DeltaDTM, a global coastal Digital Terrain Model (DTM) available in the public domain, with a horizontal spatial resolution of 1 arcsecond (∼30 m) and a vertical mean absolute error (MAE) of 0.45 m overall. DeltaDTM corrects CopernicusDEM with spaceborne lidar from the ICESat-2 and GEDI missions. Specifically, we correct the elevation bias in CopernicusDEM, apply filters to remove non-terrain cells, and fill the gaps using interpolation. Notably, our classification approach produces more accurate results than regression methods recently used by others to correct DEMs, that achieve an overall MAE of 0.72 m at best. We conclude that DeltaDTM will be a valuable resource for coastal flood impact modelling and other applications.","energy access; energy security; power distribution","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:447a3d63-20f2-4454-b59c-5494c5c4f671","http://resolver.tudelft.nl/uuid:447a3d63-20f2-4454-b59c-5494c5c4f671","Closing the genome of unculturable cable bacteria using a combined metagenomic assembly of long and short sequencing reads","Hiralal, Anwar (Universiteit Antwerpen); Geelhoed, Jeanine S. (Universiteit Antwerpen); Hidalgo-Martinez, Silvia (Universiteit Antwerpen); Smets, Bent (Universiteit Antwerpen); van Dijk, Jesper R. (Universiteit Antwerpen); Meysman, F.J.R. (TU Delft BT/Environmental Biotechnology; Universiteit Antwerpen)","","2024","Many environmentally relevant micro-organisms cannot be cultured, and even with the latest metagenomic approaches, achieving complete genomes for specific target organisms of interest remains a challenge. Cable bacteria provide a prominent example of a microbial ecosystem engineer that is currently unculturable. They occur in low abundance in natural sediments, but due to their capability for long-distance electron transport, they exert a disproportionately large impact on the biogeochemistry of their environment. Current available genomes of marine cable bacteria are highly fragmented and incomplete, hampering the elucidation of their unique electrogenic physiology. Here, we present a metagenomic pipeline that combines Nanopore long-read and Illumina short-read shotgun sequencing. Starting from a clonal enrichment of a cable bacterium, we recovered a circular metagenome-assembled genome (5.09 Mbp in size), which represents a novel cable bacterium species with the proposed name Candidatus Electrothrix scaldis. The closed genome contains 1109 novel identified genes, including key metabolic enzymes not previously described in incomplete genomes of cable bacteria. We examined in detail the factors leading to genome closure. Foremost, native, non-amplified long reads are crucial to resolve the many repetitive regions within the genome of cable bacteria, and by analysing the whole metagenomic assembly, we found that low strain diversity is key for achieving genome closure. The insights and approaches presented here could help achieve genome closure for other keystone micro-organisms present in complex environmental samples at low abundance.","cable bacteria; Candidatus Electrothrix scaldis; clonal enrichment; genome closure; hybrid sequencing; metagenomics","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:55dd445a-a888-4b92-b89f-0636cda80dec","http://resolver.tudelft.nl/uuid:55dd445a-a888-4b92-b89f-0636cda80dec","How do residents perceive energy-producing kites?: Comparing the community acceptance of an airborne wind energy system and a wind farm in Germany","Schmidt, H.S. (TU Delft Wind Energy); Leschinger, Valentin (University of Applied Science and Medical University; Martin-Luther-Universität Halle-Wittenberg); Müller, Florian J.Y. (University of Applied Science and Medical University); de Vries, G. (TU Delft Organisation & Governance); Renes, Reint Jan (Hogeschool van Amsterdam); Schmehl, R. (TU Delft Wind Energy); Hübner, Gundula (University of Applied Science and Medical University; Martin-Luther-Universität Halle-Wittenberg)","","2024","Airborne wind energy (AWE) is an emerging renewable energy technology that uses kites to harvest winds at higher altitudes than wind turbines. Understanding how residents experience a local AWE system (AWES) is important as the technology approaches commercialization. Such knowledge can help adjust the design and deployment of an AWES to fit locals' needs better, thereby decreasing the technology's burden on people. Although the AWE literature claims that the technology affects nature and residents less than wind turbines, empirical evidence has been lacking. This first community acceptance study recruited residents within a 3.5 km radius of an AWE test site in Northern Germany. Using structured questionnaires, 54 residents rated the AWES and the closest wind farm on visual, sound, safety, siting, environmental, and ecological aspects. Contrary to the literature's claims, residents assessed the noise, ecological, and safety impacts similarly for the AWES and the wind farm. Only visual impacts were rated better for the AWES (e.g., no shadows were perceived). Consistent with research on wind turbines, residents who rated the site operation as fairer and the developer as more transparent tended to have more positive attitudes towards the AWES and to experience less noise annoyance. Consequently, recommendations for the AWE industry and policymakers include mitigating technology impacts and implementing evidence-based strategies to ensure just and effective project development. The findings are limited to one specific AWES using soft-wing kites. Future research should assess community responses across regions and different types of AWESs to test the findings' generalizability.","Airborne wind energy; Wind turbine; Community acceptance; Attitude; Annoyance; Social impacts","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:e48feb37-7327-4c3f-99f5-8facdb84f093","http://resolver.tudelft.nl/uuid:e48feb37-7327-4c3f-99f5-8facdb84f093","Lateral-torsional buckling resistance of non-prismatic and prismatic mono-symmetric I-section steel beams based on stress utilization","Gomes, J. O. (Universidade de Coimbra; Universidade Federal de Minas Gerais); Simões da Silva, L. (Universidade de Coimbra); Tankova, T. (TU Delft Steel & Composite Structures); Carvalho, H. (Universidade Federal de Minas Gerais; Universidade de São Paulo); Filho, J. O. Ferreira (Universidade de Coimbra)","","2024","The lateral-torsional resistance of prismatic double-symmetric I-section beams is accurately predicted using a mechanically consistent Ayrton-Perry approach, combined with a calibrated generalized imperfection. The corresponding design formulation was recently adopted in the revised version of Eurocode 3. However, for prismatic mono-symmetric I-section beams, the General Case shall be used while for non-prismatic beams only the General Method is available. Both methods present a very large scatter and highly underestimate the lateral-torsional buckling resistance. This paper proposes an extension to the General Formulation for non-prismatic beams with arbitrary boundary conditions, partial lateral restraints, and arbitrary loading for mono-symmetric I-sections. Using an advanced numerical model calibrated with experimental test results, a large parametric study is undertaken, and its results are used to assess the available design methodologies and the proposed method. It is concluded that the General Formulation provides excellent safe-sided estimates of the LTB resistance, and it is confirmed the very poor performance of the General Case and the General Method.","Eurocode 3; General formulation; Mono-symmetric beams; Stability; Steel","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:ae1f97a8-00ea-49bd-80dc-7ff0a454b26e","http://resolver.tudelft.nl/uuid:ae1f97a8-00ea-49bd-80dc-7ff0a454b26e","Augmented reality for supporting the interaction between pedestrians and automated vehicles: an experimental outdoor study","Aleva, T.K. (Student TU Delft); Tabone, W. (TU Delft Human-Robot Interaction); Dodou, D. (TU Delft Medical Instruments & Bio-Inspired Technology); de Winter, J.C.F. (TU Delft Human-Robot Interaction)","","2024","Introduction: Communication from automated vehicles (AVs) to pedestrians using augmented reality (AR) could positively contribute to traffic safety. However, previous AR research for pedestrians was mainly conducted through online questionnaires or experiments in virtual environments instead of real ones.
Methods: In this study, 28 participants conducted trials outdoors with an approaching AV and were supported by four different AR interfaces. The AR experience was created by having participants wear a Varjo XR-3 headset with see-through functionality, with the AV and AR elements virtually overlaid onto the real environment. The AR interfaces were vehicle-locked (Planes on vehicle), world-locked (Fixed pedestrian lights, Virtual fence), or head-locked (Pedestrian lights HUD). Participants had to hold down a button when they felt it was safe to cross, and their opinions were obtained through rating scales, interviews, and a questionnaire.
Results: The results showed that participants had a subjective preference for AR interfaces over no AR interface. Furthermore, the Pedestrian lights HUD was more effective than no AR interface in a statistically significant manner, as it led to participants more frequently keeping the button pressed. The Fixed pedestrian lights scored lower than the other interfaces, presumably due to low saliency and the fact that participants had to visually identify both this AR interface and the AV.
Discussion: In conclusion, while users favour AR in AV-pedestrian interactions over no AR, its effectiveness depends on design factors like location, visibility, and visual attention demands. In conclusion, this work provides important insights into the use of AR outdoors. The findings illustrate that, in these circumstances, a clear and easily interpretable AR interface is of key importance.","augmented reality; pedestrian safety; anchoring; see-through AR; head-mounted device (HMD)","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:aaf79cd7-5d25-4c12-8ccb-45a0345f48f9","http://resolver.tudelft.nl/uuid:aaf79cd7-5d25-4c12-8ccb-45a0345f48f9","The validity of simplifying gaming simulations","van Haaften, M.A. (TU Delft System Engineering; InHolland); Lefter, I. (TU Delft System Engineering); van Kooten, O. (Wageningen University & Research; InHolland); Brazier, F.M. (TU Delft System Engineering)","","2024","Simplifications of the real world affect the validity and reliability of gaming simulations. This challenges the application of gaming simulations as an instrument for experiential learning, reflective practices and data collection. This study investigates the effects of simplification on extracting tacit knowledge from human behavior by answering the research question: Can tacit knowledge in a simplified design of a gaming simulation be transferred without compromising the validity and reliability corresponding to the real-world complexity? By applying a participatory design a gaming simulation is tested as an instrument to extract tacit knowledge. To test and evaluate the validity of this application, simulation sessions have been performed with experts from the field. In simplifying reality, participants' participation emphasized that the most accurate representation of reality is a prerequisite for capturing tacit knowledge. This in turn contributes again to the validity of the simulation design. The results show that simplification of the real world didn't affect participants' perspective on the use of the gaming simulation as an experiential tool to enable learning processes or create awareness. And that a simplified simulation design, is still valid in addressing the real-world complexity, with minimization of the level of abstraction and maximization of the truthfulness.","Gaming simulation design; Horticulture; Participatory design; Simulation validity; Tacit knowledge","en","journal article","","","","","","","","","","","System Engineering","","",""
"uuid:4afeb65f-c39c-464a-8a5b-dbd75f809a10","http://resolver.tudelft.nl/uuid:4afeb65f-c39c-464a-8a5b-dbd75f809a10","Effects of surface roughness on the propulsive performance of pitching foils","Vilumbrales-Garcia, Rodrigo (University of Southampton); Kurt, Melike (University of Southampton); Weymouth, Gabriel D. (TU Delft Ship Hydromechanics; University of Southampton); Ganapathisubramani, Bharathram (University of Southampton)","","2024","The hydrodynamic influence of surface texture on static surfaces ranges from large drag penalties (roughness) to potential performance benefits (shark-like skin). Although it is of wide-ranging research interest, the impact of roughness on flapping systems has received limited attention. In this work, we explore the effect of roughness on the unsteady performance of a harmonically pitching foil through experiments using foils with different surface roughness, at a fixed Strouhal number and within the Reynolds number range of. The foils' surface roughness is altered by changing the distribution of spherical-cap-shaped elements over the propulsor area. We find that the addition of surface roughness does not improve the performance compared with a smooth surface over the range considered. The analysis of the flow fields shows near-identical wakes regardless of the foil's surface roughness. The performance reduction mainly occurs due to an increase in profile drag. However, we find that the drag penalty due to roughness is reduced from for a static foil to for a flapping foil at the same mean angle of attack, with the strongest decrease measured at the highest. Our findings highlight that the effect of roughness on dynamic systems is very different than that on static systems; thereby, it cannot be estimated by only using information obtained from static cases. This also indicates that the performance of unsteady, flapping systems is more robust to the changes in surface roughness.","flow–structure interactions; swimming/flying; drag reduction","en","journal article","","","","","","","","","","","Ship Hydromechanics","","",""
"uuid:ddb484e7-190d-4c98-8ede-2ab732c7f299","http://resolver.tudelft.nl/uuid:ddb484e7-190d-4c98-8ede-2ab732c7f299","A multi-step fast charging-based battery capacity estimation framework of real-world electric vehicles","Zhang, D. (TU Delft DC systems, Energy conversion & Storage; Beijing Institute of Technology); Wang, Zhenpo (Beijing Institute of Technology); Liu, Peng (Beijing Institute of Technology); She, Chengqi (Hunan University of Science and Technology); Wang, Qiushi (Beijing Institute of Technology); Zhou, Litao (Beijing Institute of Technology); Qin, Z. (TU Delft DC systems, Energy conversion & Storage)","","2024","Accurately evaluating battery degradation is not only crucial for ensuring the safe and reliable operation of electric vehicles (EVs) but also fundamental for their intelligent management and maximum utilization. However, the non-linearity, non-measurability, and multi-stress coupled operating conditions have posed significant challenges for battery health prediction. This paper proposes a battery capacity estimation framework based on real-world operating data. Firstly, a comprehensive feature pool is constructed from the direct external features extracted during multi-step fast charging processes and the quantitative representation of operating conditions. Subsequently, a two-step feature engineering is introduced to select the most relevant features and eliminate the interference components. The battery capacity estimation framework is then implemented using machine learning methods. Validation results demonstrate that the proposed framework achieves superior estimation accuracy with lower computational expense compared to the modelling process without feature engineering. The MAPE and RMSE reach 1.18% and 1.98 Ah, respectively, representing reductions in errors of up to 8.53% and 11.21%. Collectively, the proposed framework paves the foundation for online health prognostics of batteries under practical operating conditions.","Lithium-ion battery; Capacity estimation; Multi-step fast charging; Machine learning; Real-world data","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-22","","","DC systems, Energy conversion & Storage","","",""
"uuid:94f8fbdc-cdf8-44a1-8bf8-3a441a5ad631","http://resolver.tudelft.nl/uuid:94f8fbdc-cdf8-44a1-8bf8-3a441a5ad631","Salinity-induced succession of phototrophic communities in a southwestern Siberian soda lake during the solar activity cycle","Samylina, Olga S. (Russian Academy of Sciences); Kosyakova, Anastasia I. (Russian Academy of Sciences; Moscow State University); Krylov, Artem A. (Russian Academy of Sciences); Sorokin, Dimitry Y. (TU Delft BT/Environmental Biotechnology; Russian Academy of Sciences); Pimenov, Nikolay V. (Russian Academy of Sciences)","","2024","A variety of lakes located in the dry steppe area of southwestern Siberia are exposed to rapid climatic changes, including intra-century cycles with alternating dry and wet phases driven by solar activity. As a result, the salt lakes of that region experience significant fluctuations in water level and salinity, which have an essential impact on the indigenous microbial communities. But there are few microbiological studies that have analyzed this impact, despite its importance for understanding the functioning of regional water ecosystems. This work is a retrospective study aimed at analyzing how solar activity-related changes in hydrological regime affect phototrophic microbial communities using the example of the shallow soda lake Tanatar VI, located in the Kulunda steppe (Altai Region, Russia, southwestern Siberia). The main approach used in this study was the comparison of hydrochemical and microscopic data obtained during annual field work with satellite and solar activity data for the 12-year observation period (2011–2022). The occurrence of 33 morphotypes of cyanobacteria, two key morphotypes of chlorophytes, and four morphotypes of anoxygenic phototrophic bacteria was analyzed due to their easily recognizable morphology. During the study period, the lake surface changed threefold and the salinity changed by more than an order of magnitude, which strongly correlated with the phases of the solar activity cycles. The periods of high (2011–2014; 100–250 g/L), medium (2015–2016; 60 g/L), extremely low (2017–2020; 13–16 g/L), and low (2021–2022; 23–34 g/L) salinity with unique biodiversity of phototrophic communities were distinguished. This study shows that solar activity cycles determine the dynamics of the total salinity of a southwestern Siberian soda lake, which in turn determines the communities and microorganisms that will occur in the lake, ultimately leading to cyclical changes in alternative states of the ecosystem (dynamic stability).","Diversity; Dynamic stability; Phototrophs; Salinity; Soda lakes; Solar activity","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:3f609a0a-b277-4595-9061-88160f8a80ef","http://resolver.tudelft.nl/uuid:3f609a0a-b277-4595-9061-88160f8a80ef","Optomechanical methodology for characterizing the thermal properties of 2D materials","Liu, Hanqing (TU Delft Dynamics of Micro and Nano Systems); Brahmi, Hatem (ASML); Boix-Constant, Carla (Universidad de Valencia (ICMol)); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft); Verbiest, G.J. (TU Delft Dynamics of Micro and Nano Systems)","","2024","Heat transport in two dimensions is fundamentally different from that in three dimensions. As a consequence, the thermal properties of 2D materials are of great interest, from both scientific and application points of view. However, few techniques are available for the accurate determination of these properties in ultrathin suspended membranes. Here, we present an optomechanical methodology for extracting the thermal expansion coefficient, specific heat, and thermal conductivity of ultrathin membranes made of 2H-TaS2, FePS3, polycrystalline silicon, MoS2, and WSe2. The obtained thermal properties are in good agreement with the values reported in the literature for the same materials. Our work provides an optomechanical method for determining the thermal properties of ultrathin suspended membranes, which are difficult to measure otherwise. It provides a route toward improving our understanding of heat transport in the 2D limit and facilitates engineering of 2D structures with a dedicated thermal performance.","","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:ee4cdfe3-53c1-4962-b2c0-cac021779085","http://resolver.tudelft.nl/uuid:ee4cdfe3-53c1-4962-b2c0-cac021779085","The value of collision feedback in robotic surgical skills training","Postema, R.R. (TU Delft Biomechanical Engineering; Amsterdam UMC); Hardon, Hidde (Amsterdam UMC; Vrije Universiteit Amsterdam); Rahimi, A. Masie (Amsterdam UMC; Amsterdam Skills Centre for Health Sciences); Horeman, Roel; Nickel, Felix (University of Heidelberg); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Bloemendaal, A.L.A. (TU Delft Medical Instruments & Bio-Inspired Technology; Reinier de Graaf Gasthuis); van der Elst, M. (TU Delft Medical Instruments & Bio-Inspired Technology; Reinier de Graaf Gasthuis); van der Peet, Donald L. (Amsterdam UMC); Daams, Freek (Amsterdam UMC); Hardon, S.F. (TU Delft Medical Instruments & Bio-Inspired Technology; Amsterdam UMC); Horeman, T. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2024","Collision feedback about instrument and environment interaction is often lacking in robotic surgery training devices. The PoLaRS virtual reality simulator is a newly developed desk trainer that overcomes drawbacks of existing robot trainers for advanced laparoscopy. This study aimed to assess the effect of haptic and visual feedback during training on the performance of a robotic surgical task. Robotic surgery-naïve participants were randomized and equally divided into two training groups: Haptic and Visual Feedback (HVF) and No Haptic and Visual Feedback. Participants performed two basic virtual reality training tasks on the PoLaRS system as a pre- and post-test. The measurement parameters Time, Tip-to-tip distance, Path length Left/Right and Collisions Left/Right were used to analyze the learning curves and statistically compare the pre- and post-tests performances. In total, 198 trials performed by 22 participants were included. The visual and haptic feedback did not negatively influence the time to complete the tasks. Although no improvement in skill was observed between pre- and post-tests, the mean rank of the number of collisions of the right grasper (dominant hand) was significantly lower in the HVF feedback group during the second post-test (Mean Rank = 8.73 versus Mean Rank = 14.27, U = 30.00, p = 0.045). Haptic and visual feedback during the training on the PoLaRS system resulted in fewer instrument collisions. These results warrant the introduction of haptic feedback in subjects with no experience in robotic surgery. The PoLaRS system can be utilized to remotely optimize instrument handling before commencing robotic surgery in the operating room.","Haptic feedback; Patient safety; Robotic surgery; Simulation training; Skills acquisition; Visual feedback","en","journal article","","","","","","","","","","Biomechanical Engineering","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:ee94c940-6ee0-49bf-8ed4-14c76081484b","http://resolver.tudelft.nl/uuid:ee94c940-6ee0-49bf-8ed4-14c76081484b","An experimental study on the aerodynamic loads of a floating offshore wind turbine under imposed motions","Taruffi, F. (TU Delft Wind Energy); Miranda Novais, F. (Politecnico di Milano; Maritime Research Institute Netherlands (MARIN)); Viré, A.C. (TU Delft Wind Energy)","","2024","The rotor of a floating wind turbine is subject to complex aerodynamics due to changes in relative wind speeds at the blades and potential local interactions between blade sections and the rotor near wake. These complex interactions are not yet fully understood. Lab-scale experiments are highly relevant for capturing these phenomena and provide means for the validation of numerical design tools. This paper presents a new wind tunnel experimental setup able to study the aerodynamic response of a wind turbine rotor when subjected to prescribed motions. The present study uses a 1:148 scale model of the DTU 10 MW reference wind turbine mounted on top of a 6 degrees of freedom parallel kinematic robotic platform. Firstly, the thrust variation of the turbine is investigated when single degree of freedom harmonic motions are imposed by the platform, with surge, pitch and yaw being considered in this study. For reduced frequencies greater than 1.2, it is found that the thrust variation is amplified by up to 150 % compared to the quasi-steady value when the turbine is subject to pitch and surge motions, regardless of the amplitude of motion. A similar behaviour is also noticed under yaw motions. Secondly, realistic 6 degrees of freedom motions are imposed by the platform. The motions are derived from FAST simulations performed on the full-scale turbine coupled with the TripleSpar floater, and the tests aim at exploring the thrust force dynamics for different sea states and wind conditions, obtaining reasonable agreement with the simulations. Finally, the work shows the capabilities of an off-the-shelf hexapod to conduct hybrid testing of floating offshore wind turbines in wind tunnels, as well as its limitations in performing such tests.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:15ddc123-7a5c-4083-9dab-662f639a8bb4","http://resolver.tudelft.nl/uuid:15ddc123-7a5c-4083-9dab-662f639a8bb4","Global well-posedness and interior regularity of 2D Navier–Stokes equations with stochastic boundary conditions","Agresti, A. (TU Delft Analysis; Institute of Science and Technology Austria); Luongo, Eliseo (Istituto Nanoscienze-CNR and Scuola Normale Superiore)","","2024","The paper is devoted to the analysis of the global well-posedness and the interior regularity of the 2D Navier–Stokes equations with inhomogeneous stochastic boundary conditions. The noise, white in time and coloured in space, can be interpreted as the physical law describing the driving mechanism on the atmosphere–ocean interface, i.e. as a balance of the shear stress of the ocean and the horizontal wind force.","60H15; 76D03 (47A60, 35J25)","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:414b851a-b91a-4b32-a23c-600cecf8279c","http://resolver.tudelft.nl/uuid:414b851a-b91a-4b32-a23c-600cecf8279c","Shape-Changing Wood Joints in Crafts and Industry and Their Potential for Building Construction and Wood Culture: State-of-the-Art of Utilizing the Hygrocopicity and Resulting Dimensional Change of Wood for the Moisture-Induced Joining of Wooden Elements","Salzberger, M.F. (TU Delft Architectural Technology)","","2024","Timber has regained popularity in construction in recent years due to its ecological benefits. The connection methods used in this study play a vital role in the sustainability of structures and materials. Monomaterial timber connections are sustainable alternatives to metal fasteners and adhesives commonly used in construction. Wood is an anisotropic material with dimensional changes resulting from changes in atmospheric conditions. Understanding and accounting for this property are crucial for the longevity and functionality of wooden structures. The cumulative knowledge of wood´s material characteristics and its use in design, construction, and human culture can be defined as wood culture developed through artists’ and craftsmen’s experiences, science, and industry. The development of various techniques by artisans to leverage the dimensional change in wood to join timber elements is a major contribution to wood culture. In contrast, until now, the timber industry has mainly focused on limiting or controlling these changes in standardized production and has neglected their use for joining timber elements. However, technological advances have changed dramatically. The digital manufacturing and analysis of wood structures have the potential to guide machine tools and may allow the integration of dimensional changes, especially in the design and construction of timber joints. This study explores the state-of-the-art utilization of dimensional changes in timber to join elements in craft, material science, and industrial production. The potential of techniques utilizing this behavior for innovation in modern design and construction and their implications for wood culture were examined. Research gaps and avenues for further research are identified.","densified wood; dimensional change; timber construction; timber technology; wood connectors; wood; wood joints","en","journal article","","","","","","","","","","","Architectural Technology","","",""
"uuid:d7a3f29d-a0db-4c89-acd1-29a95daa235f","http://resolver.tudelft.nl/uuid:d7a3f29d-a0db-4c89-acd1-29a95daa235f","Morphological and microstructural characterization of an ancient Chola bronze statuette by neutron‑based non‑invasive techniques","Cantini, Francesco (Università degli Studi di Firenze (UNIFI), Dipartimento di Fisica, Sesto Fiorentino, Italy; Consiglio Nazionale delle Ricerche, Istituto di Fisica Applicata Nello Carrara (CNR-IFAC), Sesto Fiorentino, Italy; Istituto Nazionale di Fisica Nucleare, Laboratorio di Tecniche Nucleari per i Beni Culturali (INFN-Labec), Sesto Fiorentino, Italy); Creange, Sara (Rijksmuseum); Li, Y. (TU Delft RST/Neutron and Positron Methods in Materials); van Eijck, L. (TU Delft RST/Neutron and Positron Methods in Materials); Kardjilov, Nikolay (Helmholtz-Zentrum Berlin (HZB), Wannsee, Germany); Kabra, Saurabh (ISIS Neutron and Muon Facility, STFC-UKRI, Rutherford-Appleton Laboratory, Harwell Campus, Didcot OX11 0QX, UK); Grazzi, Francesco (Consiglio Nazionale delle Ricerche, Istituto di Fisica Applicata Nello Carrara (CNR-IFAC), Sesto Fiorentino, Italy; Istituto Nazionale di Fisica Nucleare, Laboratorio di Tecniche Nucleari per i Beni Culturali (INFN-Labec), Sesto Fiorentino, Italy)","","2024","The evolution of metallurgy is a fundamental aspect related to the knowledge of the technological level of ancient civilizations, for which the information was mostly part of an oral tradition. The ancient, preserved artefacts are the only keepers of this long gone knowledge. Most advanced non-invasive techniques provide us the key to access it. Neutron techniques are nowadays the only available approach for revealing, non-destructively and with good spatial resolution, the morphological and microstructural properties within the whole volume of densely composed artefacts such as bronze statues. Application of neutron methods allows us to learn about ancient artefact manufacturing methods and to study at a very detailed level the current conservation status in their different parts. As part of a research project dedicated to the study of ancient Asian bronzes led by the Rijksmuseum Metal Conservation Department, four statues from the Rijksmuseum Asian collection were analysed using non-invasive neutron techniques. In this work, we present the investigation of a South Indian bronze statuette depicting Shiva in the form of Chandrasekhara (AK-MAK-1291, c. 1000–1200 A.D.) by means of white beam tomography, energy-selective neutron imaging (performed on CONRAD-2 at HZB, DE, and on FISH at TU-Delft, NL), and neutron diffraction (on ENGIN-X at ISIS, UK). The application of neutron imaging revealed the inner structure of the statue and allowed us to investigate the conservation state and potential cracking on the surface and in the bulk, to understand the interconnection of the different sections of the statue, and to obtain clues about the manufacturing processes. These morphological and microstructural results were employed to guide neutron diffraction analyses that allowed us to precisely characterize compositional differences, the presence of dendrites and columnar growth peak structures related to casting. This work is a complete non-invasive analytical investigation on an archaeological bronze artefact, providing outstanding results: from a quantitative analysis of the composition and microstructure to an in-depth morphological analysis capable of unveiling details on the ancient casting methods of the statue.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-28","","","RST/Neutron and Positron Methods in Materials","","",""
"uuid:e8bdc6ca-956d-4372-a91f-ae3a7977564a","http://resolver.tudelft.nl/uuid:e8bdc6ca-956d-4372-a91f-ae3a7977564a","Integrated careful homes for differentiated needs","Eijkelenboom, A.M. (TU Delft Environmental & Climate Design; EGM Architects); Alkema, Rosanne (EGM Architects); Meinsma, Heleen (EGM Architects); Hammer, Willemineke (EGM Architects)","","2024","Due to the ageing society, policy focuses on independent living of elderly in need for care. While the preferences of the elderly to live autonomously vary, there is limited information on variation in needs for the physical environment, e.g., shared and private places. A pilot study was done to develop insights into preferences for social and physical environment of the elderly in need for care. A questionnaire (N=52) and workshop (N=22) were conducted with future elderly. The study showed that preferences for ways of living largely varied. Many were willing to share facilities and help each other, although they did not want to provide somatic care. Based on the results two new concepts were explored, i.e. farm like housing in the city centre and small-scale housing with friends. The concepts, resulting from the questionnaire and workshop, suggest that the method can be used to further explore connections between preferences and design.","assisted living; independent living; communal living; preferences; session 4b","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:2bdd5d0a-032a-4b54-906e-32f82f19ab6a","http://resolver.tudelft.nl/uuid:2bdd5d0a-032a-4b54-906e-32f82f19ab6a","The Mechanism behind Vibration Assisted Fluidization of Cohesive Micro-Silica","Kamphorst, R. (TU Delft ChemE/Product and Process Engineering); van der Sande, P.C. (TU Delft ChemE/Product and Process Engineering); Wu, K. (TU Delft ChemE/Product and Process Engineering); Wagner, E.C. (TU Delft ChemE/O&O groep); David, M.K. (TU Delft ChemE/O&O groep); Meesters, G.M.H. (TU Delft ChemE/Product and Process Engineering); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering)","","2024","Vibro-assisted fluidization of cohesive micro-silica has been studied by means of X-ray imaging, pressure drop measurements, and off-line determination of the agglomerate size. Pressure drop and bed height development could be explained by observable phenomena taking place in the bed; slugging, channeling, fluidization or densification. It was observed that channeling is the main cause of poor fluidization of the micro-silica, resulting in poor gas-solid contact and little internal mixing. Improvement in fluidization upon starting the mechanical vibration was achieved by disrupting the channels. Agglomerate sizes were found to not significantly change during experiments.","agglomeration; assistance methods; cohesive powder; fluidized bed; X-ray imaging","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:bdda77a8-1cfc-4a48-aa11-f2cbe5062a57","http://resolver.tudelft.nl/uuid:bdda77a8-1cfc-4a48-aa11-f2cbe5062a57","Preferences and satisfaction with social comfort of outpatient workers in six hospitals before and during the COVID-19 pandemic","Eijkelenboom, A.M. (TU Delft Environmental & Climate Design; EGM Architects)","","2024","A PhD study was carried out on comfort and health of workers in outpatient areas because hospital workers are generally less satisfied with comfort than patients and outpatient areas were understudied. To better understand differences in preferences and satisfaction of individuals, profiles were produced with Two-Step Cluster analysis, based on a questionnaire, responded by 556 outpatient workers, and building inspection of six hospital locations. Thereafter, interviews were performed to explain the preferences. As the COVID-19 pandemic started after production of the profiles, changes due to de pandemic were included. A gap between preferences and satisfaction was identified for all profiles. Also, those with similar preferences for social comfort (privacy, interaction, distraction) performed similar activities. Contact with others was for all profiles important, while satisfaction was overall high before the COVID-19 pandemic. Due to the shift to digital care during the COVID-19 pandemic, impoverished interaction was a main concern of the outpatient workers. In conclusion the profiles for social comfort show that preferences for social comfort are associated with work-related aspects and can change. The profiles may open a new horizon to accommodate for flexibility and variety beyond standardized solutions.","social comfort; hospital staff; COVID-19 pandemic; preferences; section 1c","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:3443ff21-ce7b-4e35-b9a0-a6cee3c297e5","http://resolver.tudelft.nl/uuid:3443ff21-ce7b-4e35-b9a0-a6cee3c297e5","Integration of EBD principles in a futureproof academic hospital from campus to bed","Eijkelenboom, A.M. (TU Delft Environmental & Climate Design; EGM Architects); Hobo, Iris (Radboud University Medical Center); Bleeker, René (Radboud University Medical Center); van den Berg, Daniël (EGM Architects)","","2024","Design solutions bases on Evidence Based Design (EBD) principles vary due to the context and organizational aspects of hospitals. This paper explains how EBD principles were included during the design process of an academic hospital, including design guidelines that were developed with a representative group of key stakeholders from the organization. The hospital comprises several buildings on a campus, that also includes several university buildings. Some of the hospital buildings are connected through “the main axe.” The principles were applied on different scales in the design of a new main building and transformation of an existing building and the main axe, connecting other buildings. Specific design solutions for daylight access, connection to nature, art, privacy, and interaction are discussed.","evidence based design; hospital; architecture; session 1a","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:a29c1fb2-05f7-4560-a053-9e02163a7560","http://resolver.tudelft.nl/uuid:a29c1fb2-05f7-4560-a053-9e02163a7560","Release of phosphorus through pretreatment of waste activated sludge differs essentially from that of carbon and nitrogen resources: Comparative analysis across four wastewater treatment facilities","Deng, Shaoyu (Beijing Forestry University); Liu, J. (Beijing Forestry University); Yang, Xiaofan (Beijing Forestry University); Sun, Dezhi (Beijing Forestry University); Wang, Aijie (Harbin Institute of Technology); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Cheng, Xiang (Beijing Forestry University)","","2024","The accumulation of phosphorus in activated sludge in wastewater treatment plants (WWTPs) provides potential for phosphorus recovery from sewage. This study delves into the potential for releasing phosphorus from waste activated sludge through two distinct treatment methods—thermal hydrolysis and pH adjustment. The investigation was conducted with activated sludge sourced from four WWTPs, each employing distinct phosphorus removal strategies. The findings underscore the notably superior efficacy of pH adjustment in solubilizing sludge phosphorus compared to the prevailing practice of thermal hydrolysis, widely adopted to enhance sludge digestion. The reversibility of phosphorus release within pH fluctuations spanning 2 to 12 implies that the release of sludge phosphorus can be attributed to the dissolution of phosphate precipitates. Alkaline sludge treatment induced the concurrent liberation of COD, nitrogen, and phosphorus through alkaline hydrolysis of sludge biomass and the dissolution of iron or aluminium phosphates, offering potential gains in resource recovery and energy efficiency.","Activated sludge; Alkaline treatment; Resource recovery; Thermal hydrolysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-09","","","BT/Environmental Biotechnology","","",""
"uuid:36d17527-0a3a-4322-966d-21d47ed989c2","http://resolver.tudelft.nl/uuid:36d17527-0a3a-4322-966d-21d47ed989c2","Simulation-based mathematical learning for higher education students from heterogeneous backgrounds","Campos, Neila (Universitat Oberta de Catalunya; University of Cantabria); Corlu, Canan G. (Boston University); Nogal Macho, M. (TU Delft Integral Design & Management); Juan, Angel A. (Universitat Oberta de Catalunya; Universitat Politécnica de Valencia); Caliz, Cristina (Euncet Business School)","","2024","This paper explores the use of simulation-based training for mathematical learning in undergraduate and graduate mathematics, science, and engineering courses. Simulation-based training offers the advantages of active learning and inquiry-based learning techniques. Furthermore, it provides extensive flexibility, ranging from user-level usage of simulations to the modification or creation of new possibilities by the student, thus engaging different cognitive levels to achieve the learning objectives. This is particularly interesting in groups consisting of students from diverse backgrounds and levels, due to factors such as their international origin or varying prior education, especially in interdisciplinary Master’s degree programmes. Additionally, in online or blended environments (which have become widespread during the last years), simulation-based learning has the advantage of granting students a certain degree of autonomy, which can, to some extent, compensate for the absence of the instructor’s physical presence.","cognitive levels; Higher education; mathematical e-learning; online education; simulation-based education","en","journal article","","","","","","","","2024-08-13","","","Integral Design & Management","","",""
"uuid:b0950925-9d33-40a6-8d5d-8b2dc1e060a2","http://resolver.tudelft.nl/uuid:b0950925-9d33-40a6-8d5d-8b2dc1e060a2","Comparison of Compact and Decentralized Urban Development Pathways for Flood Mitigation in Urbanizing Deltas: Guangzhou in the Pearl River Delta as a Case Study","Lin, Weibin (Central South University); Sun, Yimin (Central South University); Nijhuis, S. (TU Delft Landscape Architecture)","","2024","Floods are common and inevitable natural disasters. Achieve Sustainable Development Goal (SDG) 11.5 is a critical challenge for coastal cities, especially those in deltaic lowlands such as in the case of Guangzhou, China. Regarding the spatial planning and design of such urban regions, it is crucial to study the impacts of flooding in compact or decentralized spatial development pathways. This reinforces the understanding of the relationship between strategic decisions for spatial planning and flood mitigation. However, the lack of a computer model to assess spatial evolution paths is a significant limitation. The non-dominated Sorting Genetic Algorithm II (NSGA-II) explores the possibility of a compact built-up land layout in 2030. The results showed that, concerning the 2030 decentralized scenario, the 2030 compact scenario presents a large increase in the integrated fitness function value from 0.618 to 0.771 (the increase is equivalent to 0.153 or about 24.75%). In addition, different development scenarios were constructed by setting different target weights. Compared to the decentralized scenario results, the fitness function values of the optimization results of each scenario showed better results at different levels. They could also serve as a reference for other similar coastal areas to achieve SDG 11.5 by 2030.","spatial evolution path; Guangzhou estuary area; multi-objective optimization; flood disaster; SDG 11.5","en","journal article","","","","","","","","","","","Landscape Architecture","","",""
"uuid:f649af0c-c451-4781-895b-e67c34016fbd","http://resolver.tudelft.nl/uuid:f649af0c-c451-4781-895b-e67c34016fbd","Adapting Temperature Predictions to MR Imaging in Treatment Position to Improve Simulation-Guided Hyperthermia for Cervical Cancer","Vilasboas-Ribeiro, Iva (Erasmus MC); Sumser, Kemal (Eindhoven University of Technology); Nouwens, Sven (Eindhoven University of Technology); Feddersen, Theresa (Erasmus MC); Heemels, W. P.M.H. (Eindhoven University of Technology); van Rhoon, G.C. (TU Delft RST/Applied Radiation & Isotopes; Erasmus MC); Paulides, Margarethus M. (Eindhoven University of Technology)","","2024","Hyperthermia treatment consists of elevating the temperature of the tumor to increase the effectiveness of radiotherapy and chemotherapy. Hyperthermia treatment planning (HTP) is an important tool to optimize treatment quality using pre-treatment temperature predictions. The accuracy of these predictions depends on modeling uncertainties such as tissue properties and positioning. In this study, we evaluated if HTP accuracy improves when the patient is imaged inside the applicator at the start of treatment. Because perfusion is a major uncertainty source, the importance of accurate treatment position and anatomy was evaluated using different perfusion values. Volunteers were scanned using MR imaging without ('planning setup') and with the MR-compatible hyperthermia device ('treatment setup'). Temperature-based quality indicators were used to assess the differences between the standard, apparent and the optimized hyperthermia dose. We conclude that pre-treatment imaging can improve HTP predictions accuracy but also, that tissue perfusion modelling is crucial if temperature-based optimization is applied.","Hyperthermia treatment planning (HTP); MR imaging; optimization approach; perfusion; thermal modeling","en","journal article","","","","","","","","","","","RST/Applied Radiation & Isotopes","","",""
"uuid:8babbc9c-1424-42fb-9231-0046e0acc023","http://resolver.tudelft.nl/uuid:8babbc9c-1424-42fb-9231-0046e0acc023","Improving End-to-End Models for Children’s Speech Recognition","Patel, T.B. (TU Delft Multimedia Computing); Scharenborg, O.E. (TU Delft Multimedia Computing)","","2024","Children’s Speech Recognition (CSR) is a challenging task due to the high variability in children’s speech patterns and limited amount of available annotated children’s speech data. We aim to improve CSR in the often-occurring scenario that no children’s speech data is available for training the Automatic Speech Recognition (ASR) systems. Traditionally, Vocal Tract Length Normalization (VTLN) has been widely used in hybrid ASR systems to address acoustic mismatch and variability in children’s speech when training models on adults’ speech. Meanwhile, End-to-End (E2E) systems often use data augmentation methods to create child-like speech from adults’ speech. For adult speech-trained ASRs, we investigate the effectiveness of augmentation methods; speed perturbations and spectral augmentation, along with VTLN, in an E2E framework for the CSR task, comparing these across Dutch, German, and Mandarin. We applied VTLN at different stages (training/test) of the ASR and conducted age and gender analyses. Our experiments showed highly similar patterns across the languages: Speed Perturbations and Spectral Augmentation yield significant performance improvements, while VTLN provided further improvements while maintaining recognition performance on adults’ speech (depending on when it is applied). Additionally, VTLN showed performance improvement for both male and female speakers and was particularly effective for younger children.","children’s speech recognition; speed perturbations; spectral augmentation; vocal tract length normalization; end-to-end automatic speech recognition","en","journal article","","","","","","","","","","","Multimedia Computing","","",""
"uuid:e30d2a88-46f8-4a24-a2b8-36fd684345fe","http://resolver.tudelft.nl/uuid:e30d2a88-46f8-4a24-a2b8-36fd684345fe","Microstrain effects of laser-ablated Au nanoparticles in enhancing CZTS-based 1 Sun photodetector devices","Gezgin, Serap Yiğit (Selçuk University); Belaid, Walid (Selçuk University); Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; Selçuk University; Necmettin Erbakan University); Ramazan Ekerde, Yasin (Necmettin Erbakan University); Kılıç, Hamdi Şükür (Selçuk University)","","2024","Copper zinc tin sulfide (CZTS) thin films were synthesized on soda lime glass using pulsed laser deposition (PLD) at room temperature. Introducing gold nanoparticles (AuNPs) in a sandwich structure led to increased CZTS particle size and a shift in the localized surface plasmon resonance (LSPR) peak of the AuNPs, influenced by different laser energy levels. The absorption measurements revealed intriguing behavior across the visible and near-infrared (NIR) regions, making these films appealing for 1 Sun photodetectors. Furthermore, the presence of AuNPs in the sandwich structure reduced microstrain effects, measuring 1.94 × 10−3 compared to 3.38 × 10−3 in their absence. This reduction directly enhances carrier transport, which is particularly beneficial for accelerating the performance of photodetector devices. This effect of AuNPs also contributed to higher dielectric coefficients, further improving the photodetector performance. Under 1 Sun illumination conditions, this enhancement resulted in a rapid rising time of 95.4 ms, showcasing the potential for faster photodetection.","","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:a22ada54-8856-43e8-a0f0-22d5ec39677a","http://resolver.tudelft.nl/uuid:a22ada54-8856-43e8-a0f0-22d5ec39677a","Explaining Grover's algorithm with a colony of ants: a pedagogical model for making quantum technology comprehensible","Schalkers, M.A. (TU Delft Numerical Analysis); Dankers, K.T. (TU Delft Ethics & Philosophy of Technology; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wimmer, M.T. (TU Delft QN/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Vermaas, P.E. (TU Delft Ethics & Philosophy of Technology)","","2024","The rapid growth of quantum technologies requires an increasing number of physicists, computer scientists, and engineers who can work on these technologies. For educating these professionals, quantum mechanics should stop being perceived as incomprehensible. In this paper we contribute to this change by presenting a pedagogical model for explaining Grover's search algorithm, a prominent quantum algorithm. This model visualizes the three main steps of Grover's algorithm and, in addition to explaining the algorithm itself, introduces three key principles of quantum mechanics: superposition, interference, and state collapse at measurement. The pedagogical model, visualized by a video, is called the ant colony maze model. It represents the search problems as finding the exit of a maze, and visualizes Grover's search algorithm as a strategy by which a colony of ants finds that exit.","","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:e9206ded-75e9-44bd-a3b6-e30f1738ad4d","http://resolver.tudelft.nl/uuid:e9206ded-75e9-44bd-a3b6-e30f1738ad4d","Quantifying the minimum localization uncertainty of image scanning localization microscopy","Kalisvaart, D. (TU Delft Team Carlas Smith); Hung, S. (TU Delft Team Carlas Smith); Smith, C.S. (TU Delft BN/Nynke Dekker Lab; TU Delft ImPhys/Rieger group; TU Delft Team Carlas Smith; TU Delft ImPhys/Computational Imaging)","","2024","Modulation enhanced single-molecule localization microscopy (meSMLM), where emitters are sparsely activated with sequentially applied patterned illumination, increases the localization precision over single-molecule localization microscopy (SMLM). The precision improvement of modulation enhanced SMLM is derived from retrieving the position of an emitter relative to individual illumination patterns, which adds to existing point spread function information from SMLM. Here, we introduce SpinFlux: modulation enhanced localization for spinning disk confocal microscopy. SpinFlux uses a spinning disk with pinholes in its illumination and emission paths, to sequentially illuminate regions in the sample during each measurement. The resulting intensity-modulated emission signal is analyzed for each individual pattern to localize emitters with improved precision. We derive a statistical image formation model for SpinFlux and we quantify the theoretical minimum localization uncertainty in terms of the Cramér-Rao lower bound. Using the theoretical minimum uncertainty, we compare SpinFlux to localization on Fourier reweighted image scanning microscopy reconstructions. We find that localization on image scanning microscopy reconstructions with Fourier reweighting ideally results in a global precision improvement of 2.1 over SMLM. When SpinFlux is used for sequential illumination with three patterns around the emitter position, the localization precision improvement over SMLM is twofold when patterns are focused around the emitter position. If four donut-shaped illumination patterns are used for SpinFlux, the maximum local precision improvement over SMLM is increased to 3.5. Localization of image scanning microscopy reconstructions thus has the largest potential for global improvements of the localization precision, where SpinFlux is the method of choice for local refinements.","","en","journal article","","","","","","","","","","","Team Carlas Smith","","",""
"uuid:26ea1f84-4490-47cf-b174-d89238393cf5","http://resolver.tudelft.nl/uuid:26ea1f84-4490-47cf-b174-d89238393cf5","Augmenting Media Experiences with Affective Haptics","Ooms, Simone (Universiteit Utrecht); Röggla, Thomas (Centrum Wiskunde & Informatica (CWI)); Cesar, Pablo (TU Delft Multimedia Computing); El Ali, Abdallah (Centrum Wiskunde and Informatica)","","2024","Within our Distributed and Interactive Systems research group, we focus on affective haptics, where we design and develop systems that can enhance human emotional states through the sense of touch. Such artificial haptic sensations can potentially augment and enhance our mind, body, and (virtual) social connections. In three works—voice communication, news consumption, and virtual embodiment—we explore the effects of enriching media experiences with thermal and vibrotactile affective haptics, and how such stimulation influences our affective perception.","human-centered computing; human computer interaction (HCI); social and professional topics; professional topics; computing and business; socio-technical systems","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-02","","","Multimedia Computing","","",""
"uuid:a7582c0e-bbf1-4450-af87-522f72b40123","http://resolver.tudelft.nl/uuid:a7582c0e-bbf1-4450-af87-522f72b40123","Digital twin in high throughput chromatographic process development for monoclonal antibodies","Picanço Castanheira Da Silva, T. (TU Delft BT/Bioprocess Engineering); Eppink, M.H.M. (Wageningen University & Research); Ottens, M. (TU Delft BT/Design and Engineering Education)","","2024","The monoclonal antibody (mAb) industry is becoming increasingly digitalized. Digital twins are becoming increasingly important to test or validate processes before manufacturing. High-Throughput Process Development (HTPD) has been progressively used as a tool for process development and innovation. The combination of High-Throughput Screening with fast computational methods allows to study processes in-silico in a fast and efficient manner. This paper presents a hybrid approach for HTPD where equal importance is given to experimental, computational and decision-making stages. Equilibrium adsorption isotherms of 13 protein A and 16 Cation-Exchange resins were determined with pure mAb. The influence of other components in the clarified cell culture supernatant (harvest) has been under-investigated. This work contributes with a methodology for the study of equilibrium adsorption of mAb in harvest to different protein A resins and compares the adsorption behavior with the pure sample experiments. Column chromatography was modelled using a Lumped Kinetic Model, with an overall mass transfer coefficient parameter (kov). The screening results showed that the harvest solution had virtually no influence on the adsorption behavior of mAb to the different protein A resins tested. kov was found to have a linear correlation with the sample feed concentration, which is in line with mass transfer theory. The hybrid approach for HTPD presented highlights the roles of the computational, experimental, and decision-making stages in process development, and how it can be implemented to develop a chromatographic process. The proposed white-box digital twin helps to accelerate chromatographic process development.","Harvest High-throughput screening; High-throughput process development; Lumped kinetic model; Overall mass transfer coefficient","en","journal article","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:0caa221f-fad2-4a1f-af88-d615b9394054","http://resolver.tudelft.nl/uuid:0caa221f-fad2-4a1f-af88-d615b9394054","Particle dynamics in horizontal stirred bed reactors characterized by single-photon emission radioactive particle tracking","van der Sande, P.C. (TU Delft ChemE/Product and Process Engineering); Wagner, E.C. (TU Delft ChemE/O&O groep); de Mooij, Jack (Student TU Delft); Meesters, G.M.H. (TU Delft ChemE/Product and Process Engineering); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering)","","2024","Horizontal stirred bed reactors are widely used in the commercial manufacturing of polypropylene. However, a comprehensive understanding of the particle dynamics in horizontal stirred bed reactors remains elusive, primarily due to the lack of detailed experimental data. In this work, we studied the influence of operating parameters on the particle flow dynamics in a laboratory-scale horizontal stirred bed reactor using single-photon emission radioactive particle tracking. The results show that the general solids flow behavior is strongly affected by both the agitator rotation speed and reactor fill level. Operation at low rotation speed and low fill level results in solids flow with poor radial and circumferential distribution due to internal bed circulation. On the contrary, at increased rotation speeds and fill levels, solids motion throughout the bed is continuous resulting in excellent solids distribution. The solids circulation was found to increase for both an increase in rotation speed and reactor fill level. The axial dispersion coefficient, on the other hand, shows a linear relation with the rotation speed, but no conclusive relation between the axial dispersion coefficient and the reactor fill level was found.","Horizontal stirred bed reactors; Multiphase reactors; Polypropylene; Radioactive particle tracking; Reactor optimization","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:c618625b-0193-42be-9edc-dc187a89eea3","http://resolver.tudelft.nl/uuid:c618625b-0193-42be-9edc-dc187a89eea3","Rapid single-shot parity spin readout in a silicon double quantum dot with fidelity exceeding 99%","Takeda, Kenta (RIKEN Center for Emergent Matter Science (CEMS)); Noiri, Akito (RIKEN Center for Emergent Matter Science (CEMS)); Nakajima, Takashi (RIKEN Center for Emergent Matter Science (CEMS)); Camenzind, Leon C. (RIKEN Center for Emergent Matter Science (CEMS)); Kobayashi, Takashi (RIKEN Center for Quantum Computing (RQC), Wako); Sammak, A. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; TNO); Scappucci, G. (TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Tarucha, Seigo (RIKEN Center for Quantum Computing (RQC), Wako; RIKEN Center for Emergent Matter Science (CEMS))","","2024","Silicon-based spin qubits offer a potential pathway toward realizing a scalable quantum computer owing to their compatibility with semiconductor manufacturing technologies. Recent experiments in this system have demonstrated crucial technologies, including high-fidelity quantum gates and multiqubit operation. However, the realization of a fault-tolerant quantum computer requires a high-fidelity spin measurement faster than decoherence. To address this challenge, we characterize and optimize the initialization and measurement procedures using the parity-mode Pauli spin blockade technique. Here, we demonstrate a rapid (with a duration of a few μs) and accurate (with >99% fidelity) parity spin measurement in a silicon double quantum dot. These results represent a significant step forward toward implementing measurement-based quantum error correction in silicon.","","en","journal article","","","","","","","","","","","BUS/TNO STAFF","","",""
"uuid:9a9d9274-8ef8-453e-80b0-f3da6894e5b3","http://resolver.tudelft.nl/uuid:9a9d9274-8ef8-453e-80b0-f3da6894e5b3","Co-eigenvector Graphs","Van Mieghem, P.F.A. (TU Delft Network Architectures and Services); Jokic, I. (TU Delft Network Architectures and Services)","","2024","Except for the empty graph, we show that the orthogonal matrix X of the adjacency matrix A determines that adjacency matrix completely, but not always uniquely. The proof relies on interesting properties of the Hadamard product Ξ = X ◦ X. As a consequence of the theory, we show that irregular co-eigenvector graphs exist only if the number of nodes N ≥ 6. Coeigenvector graphs possess the same orthogonal eigenvector matrix X, but different eigenvalues of the adjacency matrix. Co-eigenvector graphs are the dual of co-spectral graphs, that share all eigenvalues of the adjacency matrix, but possess a different orthogonal eigenvector matrix. We deduce general properties of co-eigenvector graph and start to enumerate all co-eigenvector graphs on N = 6 and N = 7 nodes. Finally, we list many open problems.","graph spectra; eigenvectors; eigenvalues; co-eigenvector graphs","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:905164f0-b023-4606-9769-1f598907fa43","http://resolver.tudelft.nl/uuid:905164f0-b023-4606-9769-1f598907fa43","Fast-charge high-voltage layered cathodes for sodium-ion batteries","Wang, Q. (TU Delft RST/Storage of Electrochemical Energy); Zhou, Dong (Helmholtz-Zentrum Berlin); Zhao, C. (TU Delft RST/Storage of Electrochemical Energy); Wang, Jianlin (Chinese Academy of Sciences); Guo, Hao (China Institute of Atomic Energy); Wang, Liguang (Zhejiang University); Yao, Zhenpeng (Shanghai Jiao Tong University); Lu, Jun (Zhejiang University); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2024","Sodium-ion batteries have not only garnered substantial attention for grid-scale energy storage owing to the higher abundance of sodium compared with lithium, but also present the possibility of fast charging because of the inherently higher sodium-ion mobility. However, it remains a phenomenal challenge to achieve a combination of these merits, given the complex structural chemistry of sodium-ion oxide materials. Here we show that O3-type sodium-ion layered cathodes (for example, Na5/6Li2/27Ni8/27Mn11/27Ti6/27O2) have the potential to attain high power density, high energy density (260 Wh kg−1 at the electrode level) and long cycle life (capacity retention of 80% over 700 cycles in full cells). The design involves introduction of characteristic P3-structural motifs into an O3-type framework that serves to promote sodium-ion diffusivity and address detrimental transition metal migration and phase transition at a high state of charge. This study provides a principle for the rational design of sodium-ion layered oxide electrodes and advances the understanding of the composition–structure–property relationships of oxide cathode materials.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-15","","","RST/Storage of Electrochemical Energy","","",""
"uuid:8047abed-13d3-4766-9d1a-899644749ab1","http://resolver.tudelft.nl/uuid:8047abed-13d3-4766-9d1a-899644749ab1","Peroxygenase-Catalyzed Allylic Oxidation Unlocks Telescoped Synthesis of (1S,3R)-3-Hydroxycyclohexanecarbonitrile","Heckmann, C.M. (TU Delft BT/Biocatalysis); Bürgler, Moritz (Bisy GmbH); Paul, C.E. (TU Delft BT/Biocatalysis)","","2024","The unmatched chemo-, regio-, and stereoselectivity of enzymes renders them powerful catalysts in the synthesis of chiral active pharmaceutical ingredients (APIs). Inspired by the discovery route toward the LPA1-antagonist BMS-986278, access to the API building block (1S,3R)-3-hydroxycyclohexanecarbonitrile was envisaged using an ene reductase (ER) and alcohol dehydrogenase (ADH) to set both stereocenters. Starting from the commercially available cyclohexene-1-nitrile, a C-H oxyfunctionalization step was required to introduce the ketone functional group, yet several chemical allylic oxidation strategies proved unsuccessful. Enzymatic strategies for allylic oxidation are underdeveloped, with few examples on selected substrates with cytochrome P450s and unspecific peroxygenases (UPOs). In this case, UPOs were found to catalyze the desired allylic oxidation with high chemo- and regioselectivity, at substrate loadings of up to 200 mM, without the addition of organic cosolvents, thus enabling the subsequent ER and ADH steps in a three-step one-pot cascade. UPOs even displayed unreported enantioselective oxyfunctionalization and overoxidation of the substituted cyclohexene. After screening of enzyme panels, the final product was obtained at titers of 85% with 97% ee and 99% de, with a substrate loading of 50 mM, the ER being the limiting step. This synthetic approach provides the first example of a three-step, one-pot UPO-ER-ADH cascade and highlights the potential for UPOs to catalyze diverse enantioselective allylic hydroxylations and oxidations that are otherwise difficult to achieve.","alcohol dehydrogenase; biocatalysis; cascade; ene reductase; peroxygenase; retrosynthesis","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:4c7eaea2-61fc-479f-9532-f68d3c1e2470","http://resolver.tudelft.nl/uuid:4c7eaea2-61fc-479f-9532-f68d3c1e2470","A new approach for fast field calculation in electrostatic electron lens design and optimization","Hesam Mahmoudi Nezhad, N. (TU Delft ImPhys/Hagen group); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Hagen, C.W. (TU Delft ImPhys/Hagen group); Kruit, P. (TU Delft ImPhys/Hoogenboom group)","","2024","In electron optics, calculation of the electric field plays a major role in all computations and simulations. Accurate field calculation methods such as the finite element method (FEM), boundary element method and finite difference method, have been used for years. However, such methods are computationally very expensive and make the computer simulation challenging or even infeasible when trying to apply automated design of electrostatic lens systems with many free parameters. Hence, for years, electron optics scientists have been searching for a fast and accurate method of field calculation to tackle the aforementioned problem in the design and optimization of electrostatic electron lens systems. This paper presents a novel method for fast electric field calculation in electrostatic electron lens systems with reasonably high accuracy to enable the electron-optical designers to design and optimize an electrostatic lens system with many free parameters in a reasonably short time. The essence of the method is to express the off-axis potential in an axially symmetrical coordinate system in terms of derivatives of the axial potential up to the fourth order, and equate this to the potential of the electrode at that axial position. Doing this for a limited number of axial positions, we get a set of equations that can be solved to obtain the axial potential, necessary for calculating the lens properties. We name this method the fourth-order electrode method because we take the axial derivatives up to the fourth order. To solve the equations, a quintic spline approximation of the axial potential is calculated by solving three sets of linear equations simultaneously. The sets of equations are extracted from the Laplace equation and the fundamental equations that describe a quintic spline. The accuracy and speed of this method is compared with other field calculation methods, such as the FEM and second order electrode method (SOEM). The new field calculation method is implemented in design/optimization of electrostatic lens systems by using a genetic algorithm based optimization program for electrostatic lens systems developed by the authors. The effectiveness of this new field calculation method in optimizing optical parameters of electrostatic lens systems is compared with FEM and SOEM and the results are presented. It should be noted that the formulation is derived for general axis symmetrical electrostatic electron lens systems, however the examples shown in this paper are with cylindrical electrodes due to the simplicity of the implementation in the software.","","en","journal article","","","","","","","","","","","ImPhys/Hagen group","","",""
"uuid:2d922fc6-db78-4c04-9463-904714352cae","http://resolver.tudelft.nl/uuid:2d922fc6-db78-4c04-9463-904714352cae","Amplifying weak signals: a method-building approach for inclusive climate resilience strategy making","Champlin, C.J. (TU Delft Design Conceptualization and Communication); Eapen, Ann (Student TU Delft); Vitkutė, R. (Student TU Delft); Groot, Janne (Student TU Delft); Forgaci, C. (TU Delft Urban Design)","","2024","Socio-ecological inclusion and the impacts of climate change on the built environment are two shared concerns central to the design and planning of the just transition in cities. The just transition leans heavily on inclusive convergence processes that are grounded in knowledge integration and transdisciplinary practice. However, there is a paucity of effective methods for the inclusion of so-called weak signals from actors situated at the periphery of these convergence processes. Building on the concept of structured flexibility, we introduce a building-blocks approach as a modular architecture for constructing methods for distributed engagement and knowledge integration beyond conventional small-group settings. By engaging in research through design, the Amplifying Weak Signals approach was prototyped with students in the context of dealing with heatwaves in The Hague and tested with expert users from the region who facilitate resilience strategy-making processes. Out of 900 possible building block combinations, 18 methods were created during prototyping. The resulting heatwave strategies that were drafted based on the collected peripheral knowledge showed the integration of new socio-ecological issues rather than a drastic departure from the baseline resilience strategy of the city. We discuss the research findings and their use in the production of guidelines for the construction of methods to integrate peripheral knowledge in convergence processes. Ongoing work to develop the guidelines in the form of an open-access, interactive repository of knowledge elicitation methods for urban resilience spatial planning will also be described. Recommendations for scaling the approach are provided.","building blocks; co-design; research through design; strategy making; structured flexibility; toolkitting; transdisciplinarity; urban resilience","en","journal article","","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:07288470-d19d-47f7-9814-eabf228a2eab","http://resolver.tudelft.nl/uuid:07288470-d19d-47f7-9814-eabf228a2eab","Series magnetic coupled reactor saturation considerations for high voltage AC and DC power systems","Heidary, Amir (TU Delft Intelligent Electrical Power Grids); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2024","The rapid increase of integrated distributed generators results in higher fault currents in the future modern grids. A remedy for the concern is employing series reactors as fault current limiters. This paper elaborates on a ferromagnetic core series reactor, which, when saturated, adversely affects the operation of the series reactor during faults. The main goal of the paper is to calculate grid and series reactor coefficients by applying a simplified power line model during a fault condition. These coefficients are the primary considerations of a series reactor design to avoid its saturation. Moreover, the study of the relationship between the reactor inductance and obtained coefficients will be carried out. The obtained results are validated by simulations performed in MATLAB Simulink.","Fault current limiter; Series reactor; Saturation region","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:d2f0dfb9-85c2-4c3d-9607-759315cfce58","http://resolver.tudelft.nl/uuid:d2f0dfb9-85c2-4c3d-9607-759315cfce58","Digital Twin of Calais Canal with Model Predictive Controller: A Simulation on a Real Database","Ranjbar, Roza (Institut Mines-Telecom); Segovia Castillo, P. (TU Delft Transport Engineering and Logistics); Duviella, Eric (Institut Mines-Telecom); Etienne, Lucien (Institut Mines-Telecom); Maestre, José M. (University of Seville); Camacho, Eduardo F. (University of Seville)","","2024","This paper presents the design of a model predictive control (MPC) for the Calais canal, located in the north of France for satisfactory management of the system. To estimate the unknown inputs/outputs arising from the uncontrolled pumps, a digital twin (DT) in the framework of a Matlab-SIC2 is used to reproduce the dynamics of the canal, and the real database corresponding to a period of three days is employed to evaluate the control strategy. The canal is characterized by two operating modes due to high and low tides. As a consequence of this, time-varying constraints on the use of gates must be considered, which leads to the design of two multiobjective control problems, one for the high tide and another for the low tide. Furthermore, a moving horizon estimation (MHE) strategy is used to provide the MPC with unmeasured states. The simulation results show that the different objectives are met satisfactorily.","Digital twin (DT); Inland waterways; Model predictive control (MPC); Real database; Unknown inputs/outputs","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-27","","","Transport Engineering and Logistics","","",""
"uuid:17ed518d-20f2-4147-81e8-557a9f51efd0","http://resolver.tudelft.nl/uuid:17ed518d-20f2-4147-81e8-557a9f51efd0","A linear matrix inequality approach to optimal reset control design for a class of nonlinear systems","Shahbazzadeh, Majid (Babol Noshirvani University of Technology); Sadati, S. Jalil (Babol Noshirvani University of Technology); Hassan HosseinNia, S. (TU Delft Mechatronic Systems Design)","","2024","In this article, the problem of the optimal reset control design for Lipschitz nonlinear systems is addressed. The reset controller includes a base linear controller and a reset law that enforces resets to the controller states. The reset law design is strongly dependent on the appropriate design of the base controller. For this reason, in this article, the base controller and reset law are simultaneously designed. More precisely, an optimal dynamic output feedback is considered as the base controller which minimizes the upper bound of a quadratic performance index, and a reset law is used to improve the transient response of the closed-loop system. This design is done in a full offline procedure. The problem is transformed into a set of linear matrix inequalities (LMIs), and the reset controller is obtained by solving an offline LMI optimization problem. Finally, two examples are presented to illustrate the effectiveness and validity of the proposed method.","dynamic output feedback; linear matrix inequalities; Lipschitz condition; reset control systems; reset law","en","journal article","","","","","","","","2024-07-19","","","Mechatronic Systems Design","","",""
"uuid:68cbed6b-cf92-413a-8454-bc2c95ec30e2","http://resolver.tudelft.nl/uuid:68cbed6b-cf92-413a-8454-bc2c95ec30e2","A bi-level framework for heterogeneous fleet sizing of ride-hailing services considering an approximated mixed equilibrium between automated and non-automated traffic","Fan, Q. (TU Delft Discrete Mathematics and Optimization; TU Delft Transport and Planning); van Essen, J.T. (TU Delft Discrete Mathematics and Optimization); Correia, Gonçalo (TU Delft Transport and Planning)","","2024","Ride-hailing companies will face the emergence and gradual expansion of AVs-only zones in urban areas where only automated vehicles (AVs) are allowed to circulate. When owning a mixed fleet (automated and conventional taxis), a ride-hailing company has to determine the optimal fleet size as a function of the gradually expanding coverage of AVs-only zones while taking into account interactions with privately-owned human-driven vehicles. To model this problem, we propose a bi-level framework in which the lower level captures the mixed routing behaviour of the vehicles and the endogenous traffic congestion, and the upper level determines fleet sizes to maximise profit. A parallel genetic algorithm is introduced to solve this bi-level framework, which is embedded with a tailored algorithm for solving the lower-level model. Numerical experiments are conducted on instances based on a small network and the network of the city of Delft, The Netherlands, to demonstrate the performance of the proposed solution method and investigate the impacts of AVs-only zones on traffic and ride-hailing operations. Results indicate that the fleet size of automated taxis increases nonlinearly with the expansion of the AVs-only zone while that of conventional taxis decreases as demand shifts from human-driven vehicles to automated taxis. The fleet size decision depends heavily on the fleet's cost structure, the location and the distribution of parking depots. Furthermore, the existence of an AVs-only zone leads to detours for human-driven vehicles in the early stages, but it will bring major benefits by reducing congestion as its size increases.","Routing; Fleet sizing; Approximated mixed equilibrium; AVs-only zone; e-hailing services","en","journal article","","","","","","","","","","","Discrete Mathematics and Optimization","","",""
"uuid:08752acf-f898-4c41-ae7b-966f3a8fa17d","http://resolver.tudelft.nl/uuid:08752acf-f898-4c41-ae7b-966f3a8fa17d","The conflicting geographies of social frontiers: Exploring the asymmetric impacts of social frontiers on household mobility in Rotterdam","Olner, Dan (University of Sheffield); Pryce, Gwilym (University of Sheffield); van Ham, M. (TU Delft Urbanism); Janssen, H.J. (TU Delft Urban Studies)","","2024","Social frontiers arise when there are sharp differences in the demographic composition of adjacent communities. This paper provides the first quantitative study of their impact on household mobility. We hypothesise that conflicting forces of white flight and territorial allegiance lead to asymmetrical effects, impacting residents on one side of the frontier more than the other due to differences in the range of housing options available to different groups, and different symbolic interpretations of the frontier. Using Dutch registry data for the city of Rotterdam we identify ethnic social frontier locations using a Bayesian spatial model (Dean et al., 2019), exploiting the data’s one hundred metre resolution to estimate frontiers at a very small spatial scale. Regression analysis of moving decisions finds that the ethnic asymmetry of the frontier matters more than ethnicity of individual households. On the ethnic minority side of the frontier, households of all ethnicities in the 28–37 age range have reduced probability of moving compared to non-frontier parts of the city. The opposite is true on the Dutch native side of the frontier. We supplement this analysis with flow models which again find strong frontier effects. Our findings illustrate how the study of social frontiers can shed light on local population dynamics and neighbourhood change.","geographical mobility; immigration; Netherlands; segregation; Social frontiers","en","journal article","","","","","","","","","","Urbanism","Urban Studies","","",""
"uuid:64c38066-d331-425b-b8a0-710bbc1cfcf5","http://resolver.tudelft.nl/uuid:64c38066-d331-425b-b8a0-710bbc1cfcf5","Multi-wavelength Raman microscopy of nickel-based electron transport in cable bacteria","Smets, Bent (Universiteit Antwerpen); Boschker, H.T.S. (TU Delft BT/Environmental Biotechnology; Universiteit Antwerpen); Wetherington, Maxwell T. (Pennsylvania State University); Lelong, Gérald (Sorbonne Université); Hidalgo-Martinez, Silvia (Universiteit Antwerpen); Polerecky, Lubos (Universiteit Utrecht); Nuyts, Gert (Universiteit Antwerpen); De Wael, Karolien (Universiteit Antwerpen); Meysman, F.J.R. (TU Delft BT/Environmental Biotechnology; Universiteit Antwerpen)","","2024","Cable bacteria embed a network of conductive protein fibers in their cell envelope that efficiently guides electron transport over distances spanning up to several centimeters. This form of long-distance electron transport is unique in biology and is mediated by a metalloprotein with a sulfur-coordinated nickel (Ni) cofactor. However, the molecular structure of this cofactor remains presently unknown. Here, we applied multi-wavelength Raman microscopy to identify cell compounds linked to the unique cable bacterium physiology, combined with stable isotope labeling, and orientation-dependent and ultralow-frequency Raman microscopy to gain insight into the structure and organization of this novel Ni-cofactor. Raman spectra of native cable bacterium filaments reveal vibrational modes originating from cytochromes, polyphosphate granules, proteins, as well as the Ni-cofactor. After selective extraction of the conductive fiber network from the cell envelope, the Raman spectrum becomes simpler, and primarily retains vibrational modes associated with the Ni-cofactor. These Ni-cofactor modes exhibit intense Raman scattering as well as a strong orientation-dependent response. The signal intensity is particularly elevated when the polarization of incident laser light is parallel to the direction of the conductive fibers. This orientation dependence allows to selectively identify the modes that are associated with the Ni-cofactor. We identified 13 such modes, some of which display strong Raman signals across the entire range of applied wavelengths (405–1,064 nm). Assignment of vibrational modes, supported by stable isotope labeling, suggest that the structure of the Ni-cofactor shares a resemblance with that of nickel bis(1,2-dithiolene) complexes. Overall, our results indicate that cable bacteria have evolved a unique cofactor structure that does not resemble any of the known Ni-cofactors in biology.","Raman microscopy; cable bacteria; nickel cofactor; metalloprotein; long-distance electron transport","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:1721cfd3-d50c-42c6-a62b-ab40af15e341","http://resolver.tudelft.nl/uuid:1721cfd3-d50c-42c6-a62b-ab40af15e341","On spatially correlated observations in importance sampling methods for subsidence estimation","Kim, S.S.R. (TU Delft Reservoir Engineering); Vossepoel, F.C. (TU Delft Reservoir Engineering)","","2024","The particle filter is a data assimilation method based on importance sampling for state and parameter estimation. We apply a particle filter in two different quasi-static experiments with models of subsidence caused by a compacting reservoir. The first model considers uncorrelated model state variables and observations, with observed subsidence resulting from a single source of strain. In the second model, subsidence is a summation of subsidence contributions from multiple sources which causes spatial dependencies and correlations in the observed subsidence field. Assimilating these correlated subsidence fields may trigger weight collapse. With synthetic tests, we show in a model of subsidence with 50 independent state variables and spatially correlated subsidence a minimum of 1013 particles are required to have information in the posterior distribution identical to that in a model with 50 independent and spatially uncorrelated observations. Spatial correlations cause an information loss which can be quantified with mutual information. We illustrate how a stronger spatial correlation results in lower information content in the posterior and we empirically derive the required ensemble size for the importance sampling to remain effective. We furthermore illustrate how this loss of information is reflected in the log likelihood, and how this depends on the number of model state variables. Based on these empirical results, we propose criteria to evaluate the required ensemble size in data assimilation of spatially correlated observation fields.","Ensemble size; Information theory; Particle method; Reservoir; Subsidence; Weight collapse","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:9af93419-2648-4a16-89d8-e34aa863e637","http://resolver.tudelft.nl/uuid:9af93419-2648-4a16-89d8-e34aa863e637","A fast and robust constraint-based online re-optimization approach for automated online adaptive intensity modulated proton therapy in head and neck cancer","Oud, Michelle (Erasmus MC; Holland Particle Therapy Centre); Breedveld, Sebastiaan (Erasmus MC); Rojo-Santiago, Jesús (Erasmus MC; Holland Particle Therapy Centre); Giżyńska, Marta Krystyna (Holland Particle Therapy Centre); Kroesen, Michiel (Erasmus MC); Habraken, S.J.M. (Erasmus MC; Holland Particle Therapy Centre); Perko, Z. (TU Delft RST/Reactor Physics and Nuclear Materials); Heijmen, Ben (Erasmus MC); Hoogeman, M.S. (TU Delft RST/Medical Physics & Technology; Erasmus MC; Holland Particle Therapy Centre)","","2024","Objective. In head-and-neck cancer intensity modulated proton therapy, adaptive radiotherapy is currently restricted to offline re-planning, mitigating the effect of slow changes in patient anatomies. Daily online adaptations can potentially improve dosimetry. Here, a new, fully automated online re-optimization strategy is presented. In a retrospective study, this online re-optimization approach was compared to our trigger-based offline re-planning (offlineTB re-planning) schedule, including extensive robustness analyses. Approach. The online re-optimization method employs automated multi-criterial re-optimization, using robust optimization with 1 mm setup-robustness settings (in contrast to 3 mm for offlineTB re-planning). Hard planning constraints and spot addition are used to enforce adequate target coverage, avoid prohibitively large maximum doses and minimize organ-at-risk doses. For 67 repeat-CTs from 15 patients, fraction doses of the two strategies were compared for the CTVs and organs-at-risk. Per repeat-CT, 10.000 fractions with different setup and range robustness settings were simulated using polynomial chaos expansion for fast and accurate dose calculations. Main results. For 14/67 repeat-CTs, offlineTB re-planning resulted in <50% probability of D98% ≥ 95% of the prescribed dose (Dpres) in one or both CTVs, which never happened with online re-optimization. With offlineTB re-planning, eight repeat-CTs had zero probability of obtaining D98% ≥ 95%Dpres for CTV7000, while the minimum probability with online re-optimization was 81%. Risks of xerostomia and dysphagia grade ≥ II were reduced by 3.5 ± 1.7 and 3.9 ± 2.8 percentage point [mean ± SD] (p < 10−5 for both). In online re-optimization, adjustment of spot configuration followed by spot-intensity re-optimization took 3.4 min on average. Significance. The fast online re-optimization strategy always prevented substantial losses of target coverage caused by day-to-day anatomical variations, as opposed to the clinical trigger-based offline re-planning schedule. On top of this, online re-optimization could be performed with smaller setup robustness settings, contributing to improved organs-at-risk sparing.","intensity modulated proton therapy (IMPT); daily online adaptive radiotherapy using dose restoration; head-and-neck cancer; inter-fraction anatomy variation; automated treatment planning","en","journal article","","","","","","","","","","","RST/Reactor Physics and Nuclear Materials","","",""
"uuid:cb6444be-f094-46bd-9b51-2df2ab00dba8","http://resolver.tudelft.nl/uuid:cb6444be-f094-46bd-9b51-2df2ab00dba8","Transforming Engineering Education in Learning Ecosystems for Resilient Engineers","Klaassen, R.G. (TU Delft Policy & Implementation); Hellendoorn, J. (TU Delft Cognitive Robotics); Bossen, R.H. (TU Delft Education and Student Affairs)","","2024","TU Delft education system is transformed on three levels: 1) new courses and projects in existing B.Sc. and M.Sc. programs for multidisciplinary and reflective learning; 2) new M.Sc. programs focusing on multi and interdisciplinarity, personal development, and professional skills; and 3) central Interdisciplinary Projects for Master Students from different programs. With these steps, the university offers students a learning ecosystem where identity-building can occur, fosters interdisciplinary teamwork, and strong interaction with the professional world and government is necessary to finish projects. In this article, the ecosystem will be explained, and results will be shared of surveys among students who experienced learning in the learning ecosystem. The surveys show that students under stand their future role in the community as engineers, feel that they have acquired new skills, feel better about framing complex problems, and are more competent to work in the industry.","Curriculum Renewal; Future Engineer; ecosystem; stakeholders; knolwedge engineering; education; ethics; teamwork; survey","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-18","","Cognitive Robotics","Policy & Implementation","","",""
"uuid:ea542d00-1a25-46eb-ac0b-9ab5126f023e","http://resolver.tudelft.nl/uuid:ea542d00-1a25-46eb-ac0b-9ab5126f023e","Dynamic thermal model of passenger aircraft for the estimation of the cabin cooling and heating requirements","Giuffré, A. (TU Delft Flight Performance and Propulsion); Colonna, Piero (TU Delft Flight Performance and Propulsion); de Servi, C.M. (TU Delft Flight Performance and Propulsion; Flemish Institute for Technological Research)","","2024","The Environmental Control System (ECS) of passenger aircraft is the main consumer of non-propulsive power aboard. A computationally efficient and accurate thermal model of the fuselage is needed for future sustainable aircraft to address ECS preliminary sizing and control design, as the ECS should be re-designed to exploit possible synergies with other thermal management systems on board. Differently from previous works, the present aircraft thermal model is extensively documented and released open-source. Moreover, it is completely based on first principles and the acausal modeling paradigm. It results that the model is scalable, easily extendable, and allows for the estimation of the aircraft thermal loads given limited information about its configuration and flight mission. The predictive capabilities of the model have been assessed by comparing the thermodynamic state estimated at the pack discharge for three ECS operating points of an Airbus A320 with data provided by the manufacturer. The maximum deviation is limited to 2.4 K and 4.5 kPa. The validated thermal model has been used to compute the operating envelope of the A320 ECS, showing that the air supply requirements vary substantially with ambient conditions and flight phases. This calls for a multi-point design strategy when assessing novel ECS configurations.","Dynamic simulation; Environmental control system (ECS); Fuselage thermal model; Modelica; Thermal management system","en","journal article","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:dd163f10-70c5-4094-8e88-3ea6b754651c","http://resolver.tudelft.nl/uuid:dd163f10-70c5-4094-8e88-3ea6b754651c","ApHMM: Accelerating Profile Hidden Markov Models for Fast and Energy-efficient Genome Analysis","Firtina, Can (ETH Zürich); Pillai, Kamlesh (Intel Labs); Kalsi, Gurpreet S. (Intel Labs); Suresh, Bharathwaj (Intel Labs); Cali, Damla Senol (Carnegie Mellon University); Kim, Jeremie S. (ETH Zürich); Shahroodi, Taha (TU Delft Computer Engineering); Cavlak, Meryem Banu (ETH Zürich); Lindegger, Joël (ETH Zürich)","","2024","Profile hidden Markov models (pHMMs) are widely employed in various bioinformatics applications to identify similarities between biological sequences, such as DNA or protein sequences. In pHMMs, sequences are represented as graph structures, where states and edges capture modifications (i.e., insertions, deletions, and substitutions) by assigning probabilities to them. These probabilities are subsequently used to compute the similarity score between a sequence and a pHMM graph. The Baum-Welch algorithm, a prevalent and highly accurate method, utilizes these probabilities to optimize and compute similarity scores. Accurate computation of these probabilities is essential for the correct identification of sequence similarities. However, the Baum-Welch algorithm is computationally intensive, and existing solutions offer either software-only or hardware-only approaches with fixed pHMM designs. When we analyze state-of-the-art works, we identify an urgent need for a flexible, high-performance, and energy-efficient hardware-software co-design to address the major inefficiencies in the Baum-Welch algorithm for pHMMs. We introduce ApHMM, the first flexible acceleration framework designed to significantly reduce both computational and energy overheads associated with the Baum-Welch algorithm for pHMMs. ApHMM employs hardware-software co-design to tackle the major inefficiencies in the Baum-Welch algorithm by (1) designing flexible hardware to accommodate various pHMM designs, (2) exploiting predictable data dependency patterns through on-chip memory with memoization techniques, (3) rapidly filtering out unnecessary computations using a hardware-based filter, and (4) minimizing redundant computations. ApHMM achieves substantial speedups of 15.55×–260.03×, 1.83×–5.34×, and 27.97× when compared to CPU, GPU, and FPGA implementations of the Baum-Welch algorithm, respectively. ApHMM outperforms state-of-the-art CPU implementations in three key bioinformatics applications: (1) error correction, (2) protein family search, and (3) multiple sequence alignment, by 1.29×–59.94×, 1.03×–1.75×, and 1.03×–1.95×, respectively, while improving their energy efficiency by 64.24×–115.46×, 1.75×, and 1.96×.","Bioinformatics; genomics; profile hidden markov models; the Baum-Welch Algorithm","en","journal article","","","","","","","","","","","Computer Engineering","","",""
"uuid:6397b54e-27d3-4f33-84ca-f2eff324b71d","http://resolver.tudelft.nl/uuid:6397b54e-27d3-4f33-84ca-f2eff324b71d","Initiation and evolution of systemic innovations: Patterns and interactions in the emergence of additive manufacturing technologies","Luomaranta, Toni (WU Wien); Martinsuo, Miia (University of Turku; Tampere University); Ortt, J.R. (TU Delft Economics of Technology and Innovation; Erasmus Universiteit Rotterdam)","","2024","Technological innovations are becoming increasingly systemic in the complex and interconnected world. The initiation and evolution of systemic innovations take time and include numerous challenges, and the mechanisms through which systemic innovations emerge in the interaction between different technologies represent a research gap. This paper explores the emergence of ceramic additive manufacturing as an example of a systemic manufacturing technology innovation. We implemented an event history analysis of four ceramic-material additive manufacturing technologies. We traced the initiation and evolution paths of each of the four technologies over time and showed a pattern of activities within and across the technologies. The study contributes by revealing that systemic innovations emerge as a result of parallel and sequential development paths of within-technology system components as well as the interaction between multiple technologies. The timing of the coalescing development paths of the system components and technologies appears crucial but serendipitous instead of coordinated. The findings open new pathways for speeding up the emergence of systemic innovations and forthcoming research to support the evolution of additive manufacturing.","additive manufacturing; event history analysis; systemic innovation; technology evolution","en","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:6fc368af-b276-400c-84c7-7b891cec508f","http://resolver.tudelft.nl/uuid:6fc368af-b276-400c-84c7-7b891cec508f","Risk vs. restriction—An investigation of capacity-limitation based congestion management in electric distribution grids","Hennig, R.J. (TU Delft Energie and Industrie); De Vries, Laurens (TU Delft Energie and Industrie); Tindemans, Simon H. (TU Delft Intelligent Electrical Power Grids)","","2024","Electrification of energy end-uses brings an increasing load on electric distribution grids with load peaks that can cause network congestion. However, many new end-uses like electric vehicles, heat pumps, and electrified industrial processes have some flexibility to move their power consumption away from peak times. Congestion management mechanisms can harness this flexibility. This paper investigates congestion management mechanisms based on limited available network capacity for flexible loads during peak times. A case study discusses and investigates real-world examples of such mechanisms from proposals in Germany and the Netherlands. They differ concerning the lead time at which the capacity limitation is announced, with options from near real-time and day-ahead to long-term. These mechanisms are suited to remove network congestion, but there are significant trade-offs concerning the lead time. A shorter lead time leaves more room for using the network during non-congested times but creates a risk of curtailment for end-users, which may come with associated balancing and re-procurement costs. Longer lead times give more certainty on network access conditions but often restrict network usage even when there is no network congestion.","distribution network; Load control; congestion management; Capacity subscription; Flexibility; Electricity","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:8711ee3f-3ad0-43e2-9f20-7d6c101ef3c0","http://resolver.tudelft.nl/uuid:8711ee3f-3ad0-43e2-9f20-7d6c101ef3c0","Improved Anomaly Detection and Localization Using Whitening-Enhanced Autoencoders","Wang, C. (TU Delft Intelligent Electrical Power Grids); Tindemans, Simon H. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Intelligent Electrical Power Grids)","","2024","Anomaly detection is of considerable significance in engineering applications, such as the monitoring and control of large-scale energy systems. This article investigates the ability to accurately detect and localize the source of anomalies, using an autoencoder neural network-based detector. Correlations between residuals are identified as a source of misclassifications, and whitening transformations that decorrelate input features and/or residuals are analyzed as a potential solution. For two use cases, regarding spatially distributed wind power generation and temporal profiles of electricity consumption, the performance of various data processing combinations was quantified. Whitening of the input data was found to be most beneficial for accurate detection, with a slight benefit for the combined whitening of inputs and residuals. For localization of anomalies, whitening of residuals was preferred, and the best performance was obtained using standardization of the input data and whitening of the residuals using the zero-phase component analysis (ZCA) or zero-phase component analysis-correlation (ZCA-cor) whitening matrix with a small additional offset.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-03","","","Intelligent Electrical Power Grids","","",""
"uuid:ed0e2cc7-4d89-4a5a-9783-f95a3bf9abba","http://resolver.tudelft.nl/uuid:ed0e2cc7-4d89-4a5a-9783-f95a3bf9abba","DBHC: Discrete Bayesian HMM Clustering","Budel, G.J.A. (TU Delft Network Architectures and Services); Frasincar, Flavius (Erasmus Universiteit Rotterdam); Boekestijn, David (Erasmus Universiteit Rotterdam)","","2024","Sequence data mining has become an increasingly popular research topic as the availability of data has grown rapidly over the past decades. Sequence clustering is a type of method within this field that is in high demand in the industry, but the sequence clustering problem is non-trivial and, as opposed to static cluster analysis, interpreting clusters of sequences is often difficult. Using Hidden Markov Models (HMMs), we propose the Discrete Bayesian HMM Clustering (DBHC) algorithm, an approach to clustering discrete sequences by extending a proven method for continuous sequences. The proposed algorithm is completely self-contained as it incorporates both the search for the number of clusters and the search for the number of hidden states in each cluster model in the parameter inference. We provide a working example and a simulation study to explain and showcase the capabilities of the DBHC algorithm. A case study illustrates how the hidden states in a mixture of HMMs can aid the interpretation task of a sequence cluster analysis. We conclude that the algorithm works well as it provides well-interpretable clusters for the considered application.","Graphical models; Mixture hidden Markov models; Probability smoothing; Sequence clustering; Sequence data mining","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:5f8d76d8-394d-4265-906a-c0c68a9f16f8","http://resolver.tudelft.nl/uuid:5f8d76d8-394d-4265-906a-c0c68a9f16f8","Frequency-domain framework for floating installation of wind-turbine towers","Fidalgo Domingos, D.A. (TU Delft Team Jan-Willem van Wingerden); Wellens, P.R. (TU Delft Ship Hydromechanics and Structures); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2024","As a result of more stable wind conditions and the depletion of near-shore locations, wind farms are moving farther offshore into deeper waters, challenging the current limits of offshore heavy-lift operations. This paper presents and verifies a novel frequency-domain framework to perform extensive site-specific analysis, of floating installations of wind-turbine towers, subjected to wind and wave loads. The versatility and potential of this framework is demonstrated with a case-study of a wind farm near the coast of Portugal. The results lead to the following conclusions: (1) Only considering beam-seas the yearly workability is 39 %; (2) Workability is mostly limited by wave loads; (3) Tower motions tend to decrease with tower size and are not significantly affected by hook-tower distance (sling length); and finally, (4) In this case-study the most contributing frequencies for tower motions are 0.3 and 0.4 rad/s, corresponding mainly to the first pendulation mode.","Dynamic error budgeting; Heavy lift; Offshore wind; Sensitivity analysis; Stochastic disturbances; Workability analysis","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:6696a88c-81d3-4e74-9bd0-8941561b57fa","http://resolver.tudelft.nl/uuid:6696a88c-81d3-4e74-9bd0-8941561b57fa","Rights and Wrongs in Talk of Mind-Reading Technology","Rainey, S. (TU Delft Ethics & Philosophy of Technology)","","2024","This article examines the idea of mind-reading technology by focusing on an interesting case of applying a large language model (LLM) to brain data. On the face of it, experimental results appear to show that it is possible to reconstruct mental contents directly from brain data by processing via a chatGPT-like LLM. However, the author argues that this apparent conclusion is not warranted. Through examining how LLMs work, it is shown that they are importantly different from natural language. The former operates on the basis of nonrational data transformations based on a large textual corpus. The latter has a rational dimension, being based on reasons. Using this as a basis, it is argued that brain data does not directly reveal mental content, but can be processed to ground predictions indirectly about mental content. The author concludes that this is impressive but different in principle from technology-mediated mind reading. The applications of LLM-based brain data processing are nevertheless promising for speech rehabilitation or novel communication methods.","brain data; chatGPT; fMRI; large language models; mind reading; reasons","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:901f5688-0010-4467-930f-69f6596e45b4","http://resolver.tudelft.nl/uuid:901f5688-0010-4467-930f-69f6596e45b4","Capturing experts’ knowledge in heritage planning enhanced by AI: A case study of windcatchers in Yazd, Iran","Foroughi, M. (TU Delft Heritage & Architecture); de Andrade, Bruno (TU Delft Heritage & Architecture); Pereira Roders, A. (TU Delft Heritage & Architecture)","","2024","Experts have always played an important role in heritage planning, practice, and theory. There is a wealth of literature published every year regarding heritage and its cultural significance. Experts also contribute to heritage planning and developing policy documents. Still, literature is rarely used as a source of primary research to systematically reveal and compare experts’ opinions on the cultural significance of built heritage. Analyzing them as a whole is costly and time-consuming, especially on built heritage, when much has been written about. While the automation of methods has proven to mitigate such restrictions in other fields, as digital humanities, their application in heritage planning, practice, and theory is still scarce. Hence, this paper aims to investigate the potentials of AI models (e.g., multi label text classification) in analyzing scientific documents, revealing the cultural significance of built heritage, values and attributes. This was done to better understand the similarities and differences between the experts’ opinions. Yazd, Iran, is taken a case study, with a particular focus on windcatchers, a key attribute conveying cultural significance, of outstanding universal value, due to its inscription on the UNESCO World Heritage List. This paper has three subsequent phases: 1) state of the art on the application of AI in heritage planning; 2) methodology of data collection and data analysis related to coding values and attributes of windcatchers, addressed in relevant documents; 3) preliminary findings on the experts’ opinions over values and attributes of windcatchers. Results contribute to the scientific discussion, revealing the cultural significance of windcatchers of Yazd from experts’ point of view. Besides, the potential of AI for heritage planning is revealed in terms of (de)coding and measuring the cultural significance of built heritage from the available documents, showing the opinions of experts with various backgrounds. This model can be applied to other key attributes in Yazd and other case studies and scales to support heritage planning, practice, and theory.","Attribute; Cultural significance; Expert; Natural language processing; Text classification; Value","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:0e199283-d6e2-4a02-8231-8d63dcfdbed3","http://resolver.tudelft.nl/uuid:0e199283-d6e2-4a02-8231-8d63dcfdbed3","Examining green space characteristics for social cohesion and mental health outcomes: A sensitivity analysis in four European cities","Cardinali, M. (TU Delft Heritage & Architecture; TH OWL); Beenackers, Mariëlle A. (Erasmus MC); Fleury-Bahi, Ghozlane (Université de Nantes; L'Institut de Recherche en Sciences et Techniques de la Ville (CNRS FR2488)); Bodénan, Philippe (Conseil de Développement de Nantes Métropole); Petrova, Milena Tasheva (University of Architecture, Civil Engineering and Geodesy); van Timmeren, A. (TU Delft Environmental Technology and Design); Pottgiesser, U. (TU Delft Heritage & Architecture; TH OWL)","","2024","Introduction: In recent decades, there has been a rise in mental illnesses. Community infrastructures are increasingly acknowledged as important for sustaining good mental health. Moreover, green spaces are anticipated to offer advantages for both mental health and social cohesion. However, the mediating pathway between green space, social cohesion and mental health and especially the proximity and characteristics of green spaces that trigger these potential effects remain of interest. Methods: We gathered data from 1365 individuals on self-reported social cohesion and mental health across four satellite districts in European cities: Nantes (France), Porto (Portugal), Sofia (Bulgaria), and Høje-Taastrup (Denmark). Green space data from OpenStreetMap was manually adjusted using the PRIGSHARE guidelines. We used the AID-PRIGSHARE tool to generate 7 indicators about green space characteristics measured in distances from 100–1500 m, every 100 m. This resulted in 105 different green space variables that we tested in a single mediation model with structural equation modelling. Results: Accessible greenness (900–1400 m), accessible green spaces (900–1500 m), accessible green space corridors (300–800 m), accessible total green space (300−800), and mix of green space uses (700–1100 m) were significantly associated with social cohesion and indirectly with mental health. Green corridors also showed negative indirect and direct associations with mental health in larger distances. Surrounding greenness and the quantity of green space uses were not associated with social cohesion nor indirectly with mental health. We also observed no positive direct associations between any green space variable in any distance to mental health. Conclusions: Our results suggest that accessibility, connectivity, mix of use and proximity are key characteristics that drive the relationship between green spaces, social cohesion and mental health. This gives further guidance to urban planners and decision-makers on how to design urban green spaces to foster social cohesion and improve mental health.","Green space; Mediation; Social cohesion; Structural equation modelling; Well-being","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:259572c1-f79a-4351-87d3-02efdbd20ee8","http://resolver.tudelft.nl/uuid:259572c1-f79a-4351-87d3-02efdbd20ee8","Kirkendall effect-induced uniform stress distribution stabilizes nickel-rich layered oxide cathodes","Gao, Ziyao (Tsinghua University); Zhao, C. (Tsinghua University); Zhou, Kai (Tsinghua University); Wu, Junru (Tsinghua University); Tian, Yao (Tsinghua University); Deng, Xianming (Tsinghua University); Zhang, Lihan (Tsinghua University); Lin, Kui (Tsinghua University); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2024","Nickel-rich layered oxide cathodes promise ultrahigh energy density but is plagued by the mechanical failure of the secondary particle upon (de)lithiation. Existing approaches for alleviating the structural degradation could retard pulverization, yet fail to tune the stress distribution and root out the formation of cracks. Herein, we report a unique strategy to uniformize the stress distribution in secondary particle via Kirkendall effect to stabilize the core region during electrochemical cycling. Exotic metal/metalloid oxides (such as Al2O3 or SiO2) is introduced as the heterogeneous nucleation seeds for the preferential growth of the precursor. The calcination treatment afterwards generates a dopant-rich interior structure with central Kirkendall void, due to the different diffusivity between the exotic element and nickel atom. The resulting cathode material exhibits superior structural and electrochemical reversibility, thus contributing to a high specific energy density (based on cathode) of 660 Wh kg−1 after 500 cycles with a retention rate of 86%. This study suggests that uniformizing stress distribution represents a promising pathway to tackle the structural instability facing nickel-rich layered oxide cathodes.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:b933dc9b-0f6a-4084-bce8-1945010a7cb0","http://resolver.tudelft.nl/uuid:b933dc9b-0f6a-4084-bce8-1945010a7cb0","Design of a Majorana trijunction","Luna, Juan Daniel Torres (Student TU Delft); Rangaswamy Kuppuswamy, S.K. (TU Delft QN/Akhmerov Group; Kavli institute of nanoscience Delft); Akhmerov, A.R. (TU Delft QN/Akhmerov Group; Kavli institute of nanoscience Delft)","","2024","Braiding of Majorana states demonstrates their non-Abelian exchange statistics. One implementation of braiding requires control of the pairwise couplings between all Majorana states in a trijunction device. To have adiabaticity, a trijunction device requires the desired pair coupling to be sufficiently large and the undesired couplings to vanish. In this work, we design and simulate a trijunction device in a two-dimensional electron gas with a focus on the normal region that connects three Majorana states. We use an optimisation approach to find the operational regime of the device in a multi-dimensional voltage space. Using the optimization results, we simulate a braiding experiment by adiabatically coupling different pairs of Majorana states without closing the topological gap. We then evaluate the feasibility of braiding in a trijunction device for different shapes and disorder strengths.","See also, Online presentation recording","en","journal article","","","","","","","","","","","QN/Akhmerov Group","","",""
"uuid:0d0b5348-b86d-4c50-aa76-cb5555e158bd","http://resolver.tudelft.nl/uuid:0d0b5348-b86d-4c50-aa76-cb5555e158bd","Thermal and alkaline pre-treatments of inoculum halt methanogenesis and enable cheese whey valorization by batch acidogenic fermentation","Almeida Benalcazar, E.F. (TU Delft BT/Bioprocess Engineering; University of Campinas); Mondini, Camille (Student TU Delft); Bruant, Guillaume (National Research Council Canada); Tremblay, Julien (National Research Council Canada); Weissbrodt, D.G. (TU Delft BT/Environmental Biotechnology; Norwegian University of Science and Technology (NTNU)); Mockaitis, Gustavo (University of Campinas)","","2024","BACKGROUND: Carboxylates such as volatile fatty acids (VFA) can be produced by acidogenic fermentation (AF) of dairy wastes including cheese whey, a massive residue produced at 160.67 million m3 of which 42% are not valorized and impact the environment. In mixed-culture fermentations, selection pressures can favor AF and halt methanogenesis. In this study, inoculum pre-treatment was evaluated as a selective pressure for AF demineralized cheese whey in batches. Alkaline (NaOH, pH 8.0, 6 h) and thermal (90 °C for 5 min, ice-bath until 23 °C) pre-treatments were tested with batch operations runs at initial pH 7.0 and 9.0, food-to-microorganism (F/M) ratios of 0.5 to 4.0 g COD g−1 VS, and under pressurized (P) and nonpressurized (NP) headspace, in experiments duplicated in two different research institutes. RESULTS: Acetic acid was highly produced on both Unicamp and TU Delft samples (1.36 and 1.40 g CODAcOH L−1, respectively), at the expense of methanogenesis by combining a thermal pre-treatment of inoculum with a NP batch operation started at pH 9.0. Microbial communities comprising VFA and alcohol producers, such as Clostridium, Fonticella and Intestinimonas, and fermenters such as Longilinea and Leptolinea. The lipid-accumulating Candidatus microthrix was observed in both bulk material and foam. Despite the absence of methane production, Methanosaeta were detected within the microbial community. An F/M ratio of 0.5 g COD g−1 VS led to the best VFA production of 1769.4 mg L−1. CONCLUSION: Overall, inoculum thermal pre-treatment, initial pH 9.0 and NP headspace acted as a selective pressure for halting methanogenesis and producing VFAs, valorizing cheese whey via batch acidogenic fermentation.","acidogenic fermentation; alkaline; cheese whey; physicochemical pre-treatments; thermal; volatile fatty acids","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-31","","","BT/Bioprocess Engineering","","",""
"uuid:1be668b5-1296-42c9-92ec-5928a0103e5e","http://resolver.tudelft.nl/uuid:1be668b5-1296-42c9-92ec-5928a0103e5e","Quantifying non-stabilizerness via information scrambling","Ahmadi, A. (TU Delft QN/Greplová Lab; Kavli institute of nanoscience Delft); Greplová, E. (TU Delft QN/Greplová Lab; Kavli institute of nanoscience Delft)","","2024","The advent of quantum technologies brought forward much attention to the theoretical characterization of the computational resources they provide. A method to quantify quantum resources is to use a class of functions called magic monotones and stabilizer entropies, which are, however, notoriously hard and impractical to evaluate for large system sizes. In recent studies, a fundamental connection between information scrambling, the magic monotone mana and 2-Renyi stabilizer entropy was established. This connection simplified magic monotone calculation, but this class of methods still suffers from exponential scaling with respect to the number of qubits. In this work, we establish a way to sample an out-of-time-order correlator that approximates magic monotones and 2-Renyi stabilizer entropy. We numerically show the relation of these sampled correlators to different non-stabilizerness measures for both qubit and qutrit systems and provide an analytical relation to 2-Renyi stabilizer entropy. Furthermore, we put forward and simulate a protocol to measure the monotonic behaviour of magic for the time evolution of local Hamiltonians.","","en","journal article","","","","","","","","","","","QN/Greplová Lab","","",""
"uuid:0c42da05-0eb0-48be-9a0d-3b167135eeb7","http://resolver.tudelft.nl/uuid:0c42da05-0eb0-48be-9a0d-3b167135eeb7","Thermodynamic investigations of the NaI-CsI, KI-CsI, and NaF-CsI pseudo-binary systems","Scuro, N. L. (University of Ontario Institute of Technology); Fitzpatrick, B. W.N. (University of Ontario Institute of Technology); Geiger, E. (University of Ontario Institute of Technology; Canadian Nuclear Laboratories, ON); Poschmann, M. (University of Ontario Institute of Technology; Canadian Nuclear Laboratories, ON); Dumaire, T. (TU Delft RST/Reactor Physics and Nuclear Materials; European Commission Joint Research Centre); Beneš, O. (European Commission Joint Research Centre); Piro, M. H.A. (University of Ontario Institute of Technology)","","2024","The present study describes the thermodynamic assessment of three pseudo-binary systems relevant to CsI solubility in molten iodide salts: KI-CsI, NaI-CsI, and NaF-CsI. The motivation for this study was to corroborate a single previously reported data set of the NaI-CsI system, resolve inconsistencies reported by two different data-sets of the KI-CsI system, and generate new experimental data on the NaF-CsI system. Equilibrium data for all systems were obtained using Differential Scanning Calorimetry. Thermodynamic treatments of the three pseudo-binary systems were revised using the CALPHAD method with the thermodynamic software FactSage and Thermochimica. Both experimental and computational investigations provide increased confidence in the thermochemical behaviour of CsI in Molten Salt Reactor nuclear systems.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","","RST/Reactor Physics and Nuclear Materials","","",""
"uuid:ce45ce18-6b1b-43ad-8943-8aec896604d5","http://resolver.tudelft.nl/uuid:ce45ce18-6b1b-43ad-8943-8aec896604d5","Author Correction: A global model of hourly space heating and cooling demand at multiple spatial scales (Nature Energy, (2023), 8, 12, (1328-1344), 10.1038/s41560-023-01341-5)","Staffell, Iain (Imperial College London); Pfenninger, Stefan (TU Delft Energie and Industrie); Johnson, Nathan (Imperial College London)","","2024","Correction to: Nature Energyhttps://doi.org/10.1038/s41560-023-01341-5, published online 14 September 2023. In the version of this article initially published, there was a typographical error in the third term of equation (2) in the Methods section, which now reads “S * = 100 + 7T, W * = 4.5 – 0.025T, H * = e 1.1+0.06T, T * = 16”, where e 1.1+0.06T appeared originally as e 1.1+0.6T. This error was in presentation only and does not affect the results or source code. The equation has been amended in the HTML and PDF versions of the article.","","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:a73bcf93-1a5d-453d-94ea-24613b096acc","http://resolver.tudelft.nl/uuid:a73bcf93-1a5d-453d-94ea-24613b096acc","Laying the experimental foundation for corrosion inhibitor discovery through machine learning","Özkan, C. (TU Delft Team Arjan Mol); Sahlmann, Lisa (Helmholtz-Zentrum Hereon); Feiler, Christian (Helmholtz-Zentrum Hereon); Zheludkevich, Mikhail (Helmholtz-Zentrum Hereon); Lamaka, Sviatlana (Helmholtz-Zentrum Hereon); Sewlikar, Parth (Vrije Universiteit Brussel); Kooijman, A.M. (TU Delft Team Arjan Mol); Taheri, P. (TU Delft Team Peyman Taheri); Mol, J.M.C. (TU Delft Team Arjan Mol)","","2024","Creating durable, eco-friendly coatings for long-term corrosion protection requires innovative strategies to streamline design and development processes, conserve resources, and decrease maintenance costs. In this pursuit, machine learning emerges as a promising catalyst, despite the challenges presented by the scarcity of high-quality datasets in the field of corrosion inhibition research. To address this obstacle, we have created an extensive electrochemical library of around 80 inhibitor candidates. The electrochemical behaviour of inhibitor-exposed AA2024-T3 substrates was captured using linear polarisation resistance, electrochemical impedance spectroscopy, and potentiodynamic polarisation techniques at different exposure times to obtain the most comprehensive electrochemical picture of the corrosion inhibition over a 24-h period. The experimental results yield target parameters and additional input features that can be combined with computational descriptors to develop quantitative structure–property relationship (QSPR) models augmented by mechanistic input features.","","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:f9d2d24d-7da4-49a0-866b-75e8a16d9f86","http://resolver.tudelft.nl/uuid:f9d2d24d-7da4-49a0-866b-75e8a16d9f86","The Effects of Different Motor Teaching Strategies on Learning a Complex Motor Task","Kunavar, Tjasa (Jozef Stefan Institute; Jožef Stefan International Postgraduate School); Jamšek, Marko (Jozef Stefan Institute); Avila-Mireles, Edwin Johnatan (Jozef Stefan Institute); Rueckert, Elmar (Montan University of Leoben); Peternel, L. (TU Delft Human-Robot Interaction); Babič, Jan (Jozef Stefan Institute; University of Ljubljana)","","2024","During the learning of a new sensorimotor task, individuals are usually provided with instructional stimuli and relevant information about the target task. The inclusion of haptic devices in the study of this kind of learning has greatly helped in the understanding of how an individual can improve or acquire new skills. However, the way in which the information and stimuli are delivered has not been extensively explored. We have designed a challenging task with nonintuitive visuomotor perturbation that allows us to apply and compare different motor strategies to study the teaching process and to avoid the interference of previous knowledge present in the naïve subjects. Three subject groups participated in our experiment, where the learning by repetition without assistance, learning by repetition with assistance, and task Segmentation Learning techniques were performed with a haptic robot. Our results show that all the groups were able to successfully complete the task and that the subjects’ performance during training and evaluation was not affected by modifying the teaching strategy. Nevertheless, our results indicate that the presented task design is useful for the study of sensorimotor teaching and that the presented metrics are suitable for exploring the evolution of the accuracy and precision during learning.","human–robot interaction; motor learning; motor teaching; robot tutoring; skill learning; tracking task; visuomotor perturbation","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:61a64c77-3162-48db-917b-62a2f0d96092","http://resolver.tudelft.nl/uuid:61a64c77-3162-48db-917b-62a2f0d96092","Validation of a hand hygiene visual feedback system to improve compliance with drying time of alcohol-based hand rub in a neonatal intensive care unit: the Incubator Traffic Light system","van Gils, R.H.J. (Erasmus MC; Rotterdam University of Applied Sciences); Kornelisse, R. F. (Erasmus MC); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Helder, O. K. (Erasmus MC; Rotterdam University of Applied Sciences)","","2024","Background: Compliance with the recommended 30 s drying time of alcohol-based hand rub (ABHR) is often suboptimal. To increase hand hygiene compliance at a neonatal intensive care unit (NICU), we installed an Incubator Traffic Light (ITL) system which shows ‘green light’ to open incubator doors after the recommended drying time. Aim: To measure the impact of this visual feedback system on NICU healthcare professionals' compliance with the recommended ABHR drying time. Methods: Ten traffic light systems were installed on incubators at a NICU, five of which provided visual feedback, and five, serving as a control group, did not provide visual feedback. During a two-month period, the systems measured drying time between the moment of dispensing ABHR and opening the incubator's doors. The drying times of the incubators were compared with and without feedback. Findings: Of the 6422 recorded hand hygiene events, 658 were valid for data analysis. Compliance with correct drying time reached 75% (N = 397/526) for incubators equipped with visual feedback versus 36% (N = 48/132; P < 0.0001) for incubators lacking this feature. Conclusion: The ITL improves compliance with the recommended 30 s ABHR drying time in a NICU setting.","Alcohol-based hand rub; Drying time; Guideline adherence; Hand hygiene; Hand sanitizers; Neonatal intensive care unit; Sensory feedback","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:82fae3a0-badf-4dcc-8862-42adec500cd6","http://resolver.tudelft.nl/uuid:82fae3a0-badf-4dcc-8862-42adec500cd6","Managing public real estate and the trade-off between supporting user activities and sustainable development: case of the Netherlands police","van Staveren, D. (TU Delft Real Estate Management); Arkesteijn, M.H. (TU Delft Real Estate Management); den Heijer, A.C. (TU Delft Real Estate Management)","","2024","Purpose: Corporate real estate management (CREM) is complex due to an increasing number of real estate (RE) added values and the tensions between them. RE managers are faced with trade-offs: to choose a higher performance for one added value at the cost of another. CREM research mainly deals with trade-offs in a hypothetical sense, without looking at the characteristics of the RE portfolio nor the specific context in which trade-offs are made. The purpose of this paper is to further develop the concept of real estate value (REV) optimisation with regard to tensions between decreasing CO2 emissions and supporting user activities. Design/methodology/approach: Mixed method study. REV optimisation between user activities and energy efficiency for police stations in the Netherlands built between 2000 and 2020 is analysed. This is complemented by interviews with an RE manager and senior user of police stations and analysis of policy documents. Findings: xThe characteristics of the police station portfolio indicate no correlation between user activities and energy efficiency for the case studied. This is complemented by interviews, from which it becomes clear that there was in fact little tension between supporting user activities and energy efficiency. The performances of these two different added values were optimised separately. Originality/value: This study combines different scales (building and portfolio level) with different types of data: portfolio analysis, document analysis and interviews. This creates a comprehensive image of whether and how the Netherlands police optimised the two RE values.","Management; Police; Real estate; Trade-off; Value","en","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:1f2892fc-a73a-4398-8c29-eecb130694d9","http://resolver.tudelft.nl/uuid:1f2892fc-a73a-4398-8c29-eecb130694d9","Effect of delayed inhibitor supply on AA2024-T3 intermetallic activity: A local in situ analysis with reflected microscopy","Mopon, M. (TU Delft Group Garcia Espallargas; University of the Philippines Diliman); Mol, J.M.C. (TU Delft Team Arjan Mol); Garcia, Santiago J. (TU Delft Group Garcia Espallargas)","","2024","Understanding how late an inhibitor can be released once corrosion initiated without compromising corrosion protection may help in developing more efficient anticorrosion coatings. We explored this idea through time-controlled Ce(NO3)3 availability to AA2024-T3 immersed in 0.05 M NaCl. Ce(NO3)3 was supplied at 0, 30, 60, and 180 s from the start of immersion to get a concentration of 0.001 M. Detailed visualization of surface changes at the intermetallic particle level was obtained using in-situ reflected microscopy. SEM-EDX and confocal laser microscopy confirmed the extent of intermetallic degradation and local inhibitor deposition corresponding to operando changes. When the inhibitor is supplied within 60 s of immersion, the surface changes slowdown earlier and are visually less extensive than in uninhibited systems. Furthermore, our results highlight the potential of reflected microscopy for local corrosion inhibition studies and underscore the importance of understanding the interaction between inhibitor release timing and corrosion protection.","Corrosion inhibition; Difference imaging; Inhibitor deposition kinetics; Metallographic microscopy","en","journal article","","","","","","","","","","","Group Garcia Espallargas","","",""
"uuid:fd417948-5544-4cef-a577-cc4c1c2d08c4","http://resolver.tudelft.nl/uuid:fd417948-5544-4cef-a577-cc4c1c2d08c4","Occupants’ inquiries for energy efficiency retrofitting in the Netherlands","Maghsoudi Nia, E. (TU Delft Environmental & Climate Design; TU Delft Design & Construction Management); Qian, QK (TU Delft Design & Construction Management); Visscher, H.J. (TU Delft Design & Construction Management)","","2024","Highlights
• The aging systems, and the house's condition, are important factors that motivate occupants to consider energy retrofitting.
• Educating occupants on regular monitoring can enhance the durability and performance of energy-efficient technologies.
• Policymakers need to implement region-specific strategies, to promote energy retrofitting initiatives.","","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:97aeb242-8aa7-415b-9c45-84e0023e5eae","http://resolver.tudelft.nl/uuid:97aeb242-8aa7-415b-9c45-84e0023e5eae","Optimal chartering decisions for vessel fleet to support offshore wind farm maintenance operations","Li, M. (TU Delft Transport Engineering and Logistics; University of Strathclyde); Bijvoet, Bas (Student TU Delft); Wu, Kangjie (Student TU Delft); Jiang, X. (TU Delft Transport Engineering and Logistics); Negenborn, R.R. (TU Delft Transport Engineering and Logistics)","","2024","Offshore wind energy is expected to be the most significant source of future electricity supply in Europe. Offshore wind farms are located far from the shores, requiring a fleet of various types of vessels to access sites when maintaining offshore wind turbines. The employment of the vessels is costly, accounting for the majority of the total O&M costs for offshore wind energy. Therefore, configuring the size and mix of the vessel fleet to support maintenance operations in a cost-effective manner is an issue of importance to enhance economics of offshore wind sector. In this paper, a discrete event simulation based model is proposed to present how a mixed vessel fleet with the specific configuration, including crew transfer vessels, field support vessels, and heavy lift vessels, performs maintenance for an offshore wind farm. The economic performance of the vessel fleet under a predetermined condition-based opportunistic maintenance strategy is investigated by using the model. A metaheuristic algorithm, simulated annealing, is employed to find the optimal fleet size and mix to make leasing decisions with the minimum costs. The performance of the developed approaches is evaluated by using a generic offshore wind farm in the North Sea. The sensitivity analysis is performed to investigate the most influential O&M factors.","Condition-based opportunistic maintenance; Offshore wind energy; Operation and maintenance; Vessel fleet","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:eefd5649-cd09-4702-b801-f247b0300377","http://resolver.tudelft.nl/uuid:eefd5649-cd09-4702-b801-f247b0300377","Highly-sensitive wafer-scale transfer-free graphene MEMS condenser microphones","Pezone, R. (TU Delft Electronic Components, Technology and Materials); Anzinger, Sebastian (Infineon Technologies AG); Baglioni, G. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Wasisto, Hutomo Suryo (Infineon Technologies AG); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems; TU Delft QN/Steeneken Lab; Kavli institute of nanoscience Delft); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials)","","2024","Since the performance of micro-electro-mechanical system (MEMS)-based microphones is approaching fundamental physical, design, and material limits, it has become challenging to improve them. Several works have demonstrated graphene’s suitability as a microphone diaphragm. The potential for achieving smaller, more sensitive, and scalable on-chip MEMS microphones is yet to be determined. To address large graphene sizes, graphene-polymer heterostructures have been proposed, but they compromise performance due to added polymer mass and stiffness. This work demonstrates the first wafer-scale integrated MEMS condenser microphones with diameters of 2R = 220–320 μm, thickness of 7 nm multi-layer graphene, that is suspended over a back-plate with a residual gap of 5 μm. The microphones are manufactured with MEMS compatible wafer-scale technologies without any transfer steps or polymer layers that are more prone to contaminate and wrinkle the graphene. Different designs, all electrically integrated are fabricated and characterized allowing us to study the effects of the introduction of a back-plate for capacitive read-out. The devices show high mechanical compliances Cm = 0.081–1.07 μmPa−1 (10–100 × higher than the silicon reported in the state-of-the-art diaphragms) and pull-in voltages in the range of 2–9.5 V. In addition, to validate the proof of concept, we have electrically characterized the graphene microphone when subjected to sound actuation. An estimated sensitivity of S1kHz = 24.3–321 mV Pa−1 for a Vbias = 1.5 V was determined, which is 1.9–25.5 × higher than of state-of-the-art microphone devices while having a ~9 × smaller area. (Figure presented.).","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:cb5f32ea-6f50-4764-b343-c150aa9a487d","http://resolver.tudelft.nl/uuid:cb5f32ea-6f50-4764-b343-c150aa9a487d","A History Matching Study for the FluidFlower Benchmark Project","Tian, X. (TU Delft Reservoir Engineering; Chinese Academy of Sciences); Wapperom, M.B. (TU Delft Reservoir Engineering); Gunning, James (CSIRO Energy); Jackson, Samuel (CSIRO Energy); Wilkins, Andy (CSIRO Mineral Resources); Green, Chris (CSIRO Energy); Ennis-King, Jonathan (CSIRO Energy); Voskov, D.V. (TU Delft Reservoir Engineering; Stanford University)","","2024","In this study, we conduct a comprehensive history matching study for the FluidFlower benchmark model. This benchmark was prepared and organized by the University of Bergen, the University of Stuttgart, and Massachusetts Institute of Technology, for promoting understanding of the complex physics of geological carbon storage (GCS) through in-house experiments and numerical simulations. This paper synthesizes the experiences of history matching the benchmark data encountered by the Delft-DARTS and CSIRO participants. History matching is first performed based on a low-dimensional-zonated structured model using a simple Poisson-like solver. The permeability of six facies and two faults is inferred in this stage to match the digitized concentration data. The history matching is then further enhanced to richer spatial and physical models to capture the spatial variation of permeability and buoyancy effects, using an unstructured grid. Efficient adjoint methods are used to evaluate the gradient used in the optimization of data misfits or equivalent Bayesian log-likelihoods. With efficient optimization methods available for both maximum a posteriori model inference and Randomized Maximum Likelihood methods for model uncertainty, we perform history matching using both binary and continuous concentration observations. The results show that the tracer plumes in the enriched model match the experimental plumes more accurately compared with the results from the parsimonious-zonated model. The history matching results based on the concentration observations provide more similar plume shapes compared with the case based on the binary observations. The permeability difference between the model before and after history matching reveals that the tracer plume zone and the high permeable zone are the regions of high sensitivity in terms of data misfit between the model response and observations. Surprisingly, CO 2 concentration plume forecasts based on these history-matched models were not especially sensitive to the improvements observed in the enhanced model.","Adjoint gradients; CCS; DARTS; FluidFlower; History matching","en","journal article","","","","","","DOI 10.1007/s11242-024-02065-0 correction: In the original published article, one of the affliation details for corresponding author ""Denis Voskov"" were incorrectly given as ""Department of Energy Resources Engineering, Stanford University, CA, USA"" but should have been ""Department of Energy Science and Engineering, Stanford University, CA, USA. It is updated in the correction","","","","","Reservoir Engineering","","",""
"uuid:7283ac18-a8fa-4a90-966b-30999a3ee918","http://resolver.tudelft.nl/uuid:7283ac18-a8fa-4a90-966b-30999a3ee918","Risk assessment methods for process safety, process security and resilience in the chemical process industry: A thorough literature review","Bin Ab Rahim, M.S. (TU Delft Safety and Security Science; Ministry of Human Resources); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia; University of Tasmania); Bajpai, Shailendra (Dr B.R. Ambedkar National Institute of Technology)","","2024","This paper presents a systematic literature review of risk assessment methods in the chemical process industry (CPI), focusing on process safety, process security, and resilience. We analyzed peer-reviewed articles from 2000 to 2022 using the PRISMA methodology and identified twelve predominant methods. Our findings reveal a shift towards dynamic, systemic-based assessments like the Functional Resonance Analysis Method (FRAM) and System-Theoretic Accident Model and Processes (STAMP). These methods are particularly effective at capturing the complexities of sociotechnical systems in the CPI. However, a significant observation from our review is the limited emphasis on the resilience paradigm within many existing methods when addressing both process safety and process security risks, which is crucial for preventing and recovering from disruptions. Given the evolving challenges in system safety and security threats, there is an urgent need for holistic methods that integrate process safety, process security, and resilience. Our review highlights the opportunity for further research to better prepare the industry for future challenges, ensuring safer, more secure, reliable, and resilient operations.","Chemical process industry; Process safety; Process security; Resilience; Risk assessment; Sociotechnical systems","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:f732eb66-a151-4634-b1c6-78672b5fdbec","http://resolver.tudelft.nl/uuid:f732eb66-a151-4634-b1c6-78672b5fdbec","A risk-based fuzzy arithmetic model to determine safety integrity levels considering individual and societal risks","Cheraghi, Morteza (Toronto Metropolitan University); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Katholieke Universiteit Leuven; Universiteit Antwerpen); Eslami Baladeh, Aliakbar (Toronto Metropolitan University); Khakzad, Nima (Toronto Metropolitan University); Taghipour, Sharareh (Toronto Metropolitan University)","","2024","Risk-based techniques such as risk graph and Layer of Protection Analysis (LOPA) are used to determine the Safety Integrity Level (SIL) of safety instrumented functions to ensure that risk is reduced to a tolerable level. However, these techniques have some drawbacks. For instance, they need absolute and precise numbers to evaluate SIL parameters, which are rarely available or are highly uncertain. In addition, they are incapable of considering individual and societal risks simultaneously. Moreover, risk tolerance criteria are likely to be used incorrectly in the LOPA technique, and risk graph is difficult to calibrate. In the current paper, a novel comprehensive fuzzy arithmetic model has been developed to determine the required SILs in process industries. The fuzzy required Risk Reduction Factor (RRF) is calculated for both individual and societal risks. Fuzzy numbers are developed from crisp intervals, based on the expected interval of the fuzzy numbers. Expert fuzzy-scaled elicitation has been applied to obtain the SIL parameters. In the proposed model, the overall risk tolerance criterion and apportionment factor are defined as SIL parameters for both individual and societal risks to ensure that the applied risk criteria are compliant with the requirements of the system. In addition, an approach is introduced for determining the required SIL based on the fuzzy required RRF. The proposed methodology was demonstrated to alleviate the limitations, and thus, can be considered as a more precise alternative to the conventional methods.","fuzzy; individual risk; safety instrumented system; safety integrity level; societal risk","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-13","","","Safety and Security Science","","",""
"uuid:feaeb0ba-a52b-4bb2-b564-5005b38884ff","http://resolver.tudelft.nl/uuid:feaeb0ba-a52b-4bb2-b564-5005b38884ff","Why Shot Noise Does Not Generally Detect Pairing in Mesoscopic Superconducting Tunnel Junctions","Niu, Jiasen (Universiteit Leiden); Bastiaans, K.M. (TU Delft QN/Otte Lab; Kavli institute of nanoscience Delft); Ge, Jian Feng (Universiteit Leiden); Tomar, Ruchi (Tata Institute of Fundamental Research); Jesudasan, John (Tata Institute of Fundamental Research); Raychaudhuri, Pratap (Tata Institute of Fundamental Research); Karrer, Max (Eberhard Karls Universität Tübingen); Driessen, Eduard F.C. (Université Grenoble Alpes); Blanter, Y.M. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft)","","2024","The shot noise in tunneling experiments reflects the Poissonian nature of the tunneling process. The shot-noise power is proportional to both the magnitude of the current and the effective charge of the carrier. Shot-noise spectroscopy thus enables us, in principle, to determine the effective charge q of the charge carriers of that tunnel. This can be used to detect electron pairing in superconductors: In the normal state, the noise corresponds to single electron tunneling (q=1e), while in the paired state, the noise corresponds to q=2e. Here, we use a newly developed amplifier to reveal that in typical mesoscopic superconducting junctions, the shot noise does not reflect the signatures of pairing and instead stays at a level corresponding to q=1e. We show that transparency can control the shot noise, and this q=1e is due to the large number of tunneling channels with each having very low transparency. Our results indicate that in typical mesoscopic superconducting junctions, one should expect q=1e noise and lead to design guidelines for junctions that allow the detection of electron pairing.","","en","journal article","","","","","","","","","","","QN/Otte Lab","","",""
"uuid:db27eb09-d289-4126-b88f-7c510108ae92","http://resolver.tudelft.nl/uuid:db27eb09-d289-4126-b88f-7c510108ae92","Social acceptance of district heating: evidence from the Netherlands","Onencan, A. M. (Erasmus Universiteit Rotterdam); Ou, J. (Universiteit Utrecht); de Koning, J.I.J.C. (TU Delft Design for Sustainability)","","2024","The Netherlands Climate Change Agreement aims to reduce CO2 emissions and seismic events by halting natural gas usage by 2050. This will require widespread societal acceptance by 90% of households. The study investigates the social acceptance of a district heating network (DHN) among social housing tenants in Haarlem, Netherlands. The findings of a survey administered to ninety-five tenants revealed a substantial level of support for the DHN project. A significant portion of respondents, 75%, expressed their approval for the DHN, surpassing the legally required threshold of 70% for implementing building retrofits. Findings imply that although the participants possess an adequate comprehension of the rationale for energy transition, their familiarity with the precise particulars and practical information pertaining to the proposed transition to DHN is inadequate. The level of trust in housing corporations, energy providers, and the municipality is uniformly low, indicating a lack of institutional trust. Generally, the interpersonal trust among tenants tends to be lower than their trust in the broader public, which in turn restricts their capacity for self-organization and exercising influence over energy institutions. Although DHNs are typically regarded as environmentally friendly and secure, there are several challenges that need to be addressed, including the uncertainty about who will cover the costs of transitioning and the doubts surrounding DHN feasibility (warmth and reliability). We suggest implementing interventions to improve tenants' comprehension of the DHN project's particulars (capability), provide practical information regarding costs and feasibility (motivation), and foster trust at both interpersonal and institutional levels (opportunity).","COM-B model; Energy transition; Institutional change; Social acceptance; Sustainable behaviour; Systemic change","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:c7b3ba18-62ce-43d2-ae95-ae03f72c349e","http://resolver.tudelft.nl/uuid:c7b3ba18-62ce-43d2-ae95-ae03f72c349e","Assessing the Impact of Metrics on the Choice of Prognostic Methodologies","Bieber, M.T. (TU Delft Air Transport & Operations); Verhagen, W.J.C. (Royal Melbourne Institute of Technology University); Santos, Bruno F. (TU Delft Air Transport & Operations)","","2024","Over the past years, advanced prognostic models and approaches have been developed. Most existing approaches are tailored to one specific system and cannot adaptively be used on different systems. This can lead to years of research and expertise being put into implementing prognostic models without the capacity to predict system failures, either because of a lack of data or data quality or because failure behavior cannot be captured by data-driven models. In addition, prognostic models are often evaluated using metrics only related to the correctness of predictions, preventing meaningful evaluation of operational performance. This paper makes use of a framework that can automatically choose prognostic settings based on specific system data. It simultaneously optimizes the choice of methodologies using metrics that capture multiple aspects of prediction quality. We apply this framework to both a simulated data set and a real aircraft data set to characterize the impact of metrics on the choice of prognostic methodologies. The results show that the choice of optimization metric greatly impacts the output of the generic prognostic framework and the overall performance. In addition, a definition for data suitability is provided and assessed on the aircraft system data sets.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-09","","","Air Transport & Operations","","",""
"uuid:7664ea4f-f8c6-4bd3-9087-161e2eb41aa3","http://resolver.tudelft.nl/uuid:7664ea4f-f8c6-4bd3-9087-161e2eb41aa3","A 1-dimensional-two-layer transient drift-flux model for hydraulic transport pipelines: modelling and experiments of bed layer erosion and density wave amplification","de Hoog, E. (TU Delft Offshore and Dredging Engineering; Royal IHC); van der Voort, Tjalie (Royal IHC); Talmon, A.M. (TU Delft Offshore and Dredging Engineering; Deltares); van Rhee, C. (TU Delft Offshore and Dredging Engineering)","","2024","Hydraulic transport pipelines in the dredging, mining and deep sea mining are designed using steady-state methods. However, these methods cannot predict density wave formation. Density waves form a risk for pipeline blockages, therefore there is a need to understand and preferably be able to model the process. The density waves studied in this research are caused by a stationary sediment deposit in the pipeline. This article explores the development of a new transient design model, based on 1-dimensional-two-layer Driftflux CFD. The two layers model the exchange of sediment between the turbulent suspension, and a stationary bed layer, and can therefore model density wave amplification. An empirical erosion-sedimentation closure relationship is applied to model the sediment exchange between the two layers, and is calibrated using experiments. The final model is also validated against a second experiment, specifically for density wave amplification. The experiments and the model show good agreement on the erosion of a stationary bed layer and the growth rate of a density wave and the amplitude of the density wave.","Deep sea mining; Dredging; Driftflux; Flow assurance; Hydraulic transport; Transients","en","journal article","","","","","","","","","","","Offshore and Dredging Engineering","","",""
"uuid:c4997b49-e52b-4d5c-a7be-c3a26d941b72","http://resolver.tudelft.nl/uuid:c4997b49-e52b-4d5c-a7be-c3a26d941b72","Analysis of the impact of traffic density on training of reinforcement learning based conflict resolution methods for drones","Groot, D.J. (TU Delft Control & Simulation); Ellerbroek, Joost (TU Delft Control & Simulation); Hoekstra, J.M. (TU Delft Control & Simulation)","","2024","Conventional Air Traffic Control is still predominantly being done by human Air Traffic Controllers, however, as the traffic density increases, the workload of the controllers increases as well. Especially for the area of unmanned aviation, driven by the rise in drones, having human controllers might become unfeasible. One of the methods that is currently being investigated for replacing the conflict resolution task of Air Traffic Control is Reinforcement Learning. As violation of the required separation margins, also called an intrusion, is an event of relatively low frequency, using Reinforcement Learning for this task comes with difficulties that can potentially be attributed to data imbalance. This paper artificially increased the traffic density during the training phase of the Reinforcement Learning method to investigate what the importance is of a balanced data set on the performance of the Reinforcement Learning method. It was found that as the traffic density increased, the Reinforcement Learning methods started to outperform the analytical methods. Beyond this it was found that methods trained at higher traffic densities, but tested at lower traffic densities, outperformed the methods trained at that specific density. This indicates that it might be better to always ensure that the training scenarios are more complex than anticipated during the execution phase, even if that results in unrealistic scenarios.","BlueSky simulator; Conflict resolution; Deep reinforcement learning; High-traffic density; Simulation; Soft actor critic; Unmanned traffic management","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:98d99bc8-8a4b-4299-a103-0f455bd47c66","http://resolver.tudelft.nl/uuid:98d99bc8-8a4b-4299-a103-0f455bd47c66","Pulsed Operation of a Weakly-Dispersive, Leaky-Wave Antenna: A Causal Numerical Study","Gu, Junhong (Student TU Delft); Stumpf, Martin (Brno University of Technology; Luleå University of Technology); Neto, A. (TU Delft Tera-Hertz Sensing); Lager, I.E. (TU Delft Electrical Engineering Education)","","2024","A strictly causal numerical study of the pulsed operation of a weakly dispersive, leaky wave (LW) antenna is presented. The intricacies at the forefront of the electromagnetic (EM) field radiated from a gap-fed slot in a perfectly electrically conducting (PEC) sheet are evidenced for the first time. The radical effect of a free-space gap separating the PEC sheet from the dielectric half-space into which the slot radiates is demonstrated, thus providing time-domain (TD) arguments for the effectiveness of this essential element of leaky-lens antennas (LLAs). The response of the gapped structure to an excitation consisting of pulse trains is evaluated. The discussed results pave the way toward building a genuine TD counterpart of the LW radiation from gap-fed slots. Furthermore, they are conditional to understanding the transients occurring in between intervals when a steady-state, time-harmonic (TH) operation can be assumed, an extremely relevant ingredient to implementing highly complex modulations in carrier-based, wireless transfer.","Leaky wave antennas; numerical analysis; time-domain analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-31","","","Tera-Hertz Sensing","","",""
"uuid:b8aaf6d0-516d-4480-aafc-84e171ed89c7","http://resolver.tudelft.nl/uuid:b8aaf6d0-516d-4480-aafc-84e171ed89c7","Meaningful human control and variable autonomy in human-robot teams for firefighting","Verhagen, R.S. (TU Delft Interactive Intelligence); Neerincx, M.A. (TU Delft Interactive Intelligence; TNO); Tielman, M.L. (TU Delft Interactive Intelligence)","","2024","Introduction: Humans and robots are increasingly collaborating on complex tasks such as firefighting. As robots are becoming more autonomous, collaboration in human-robot teams should be combined with meaningful human control. Variable autonomy approaches can ensure meaningful human control over robots by satisfying accountability, responsibility, and transparency. To verify whether variable autonomy approaches truly ensure meaningful human control, the concept should be operationalized to allow its measurement. So far, designers of variable autonomy approaches lack metrics to systematically address meaningful human control.
Methods: Therefore, this qualitative focus group (n = 5 experts) explored quantitative operationalizations of meaningful human control during dynamic task allocation using variable autonomy in human-robot teams for firefighting. This variable autonomy approach requires dynamic allocation of moral decisions to humans and non-moral decisions to robots, using robot identification of moral sensitivity. We analyzed the data of the focus group using reflexive thematic analysis.
Results: Results highlight the usefulness of quantifying the traceability requirement of meaningful human control, and how situation awareness and performance can be used to objectively measure aspects of the traceability requirement. Moreover, results emphasize that team and robot outcomes can be used to verify meaningful human control but that identifying reasons underlying these outcomes determines the level of meaningful human control.
Discussion: Based on our results, we propose an evaluation method that can verify if dynamic task allocation using variable autonomy in human-robot teams for firefighting ensures meaningful human control over the robot. This method involves subjectively and objectively quantifying traceability using human responses during and after simulations of the collaboration. In addition, the method involves semi-structured interviews after the simulation to identify reasons underlying outcomes and suggestions to improve the variable autonomy approach.","","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:19b80c41-ef6f-42c0-9a81-2c600c9dd53f","http://resolver.tudelft.nl/uuid:19b80c41-ef6f-42c0-9a81-2c600c9dd53f","Large deviations for Markov processes with switching and homogenisation via Hamilton–Jacobi–Bellman equations","Della Corte, S. (TU Delft Applied Probability); Kraaij, R.C. (TU Delft Applied Probability)","","2024","We consider the context of molecular motors modelled by a diffusion process driven by the gradient of a weakly periodic potential that depends on an internal degree of freedom. The switch of the internal state, that can freely be interpreted as a molecular switch, is modelled as a Markov jump process that depends on the location of the motor. Rescaling space and time, the limit of the trajectory of the diffusion process homogenises over the periodic potential as well as over the internal degree of freedom. Around the homogenised limit, we prove the large deviation principle of trajectories with a method developed by Feng and Kurtz based on the analysis of an associated Hamilton–Jacobi–Bellman equation with an Hamiltonian that here, as an innovative fact, depends on both position and momenta.","Large deviations; Switching Markov process; Hamilton–Jacobi equation; Viscosity solutions; Comparison principle","en","journal article","","","","","","","","","","","Applied Probability","","",""
"uuid:1349f6ae-1f9e-49ca-8850-4c50a0ba96d7","http://resolver.tudelft.nl/uuid:1349f6ae-1f9e-49ca-8850-4c50a0ba96d7","Exceptional mechanical performance by spatial printing with continuous fiber: Curved slicing, toolpath generation and physical verification","Fang, G. (TU Delft Emerging Materials; The University of Manchester); Zhang, Tianyu (The University of Manchester); Huang, Yuming (The University of Manchester); Zhang, Zhizhou (The University of Manchester); Masania, K. (TU Delft Group Masania); Wang, C.C. (TU Delft Emerging Materials; The University of Manchester)","","2024","This work explores a spatial printing method to fabricate continuous fiber-reinforced thermoplastic composites (CFRTPCs), which can achieve exceptional mechanical performance. For models giving complex 3D stress distribution under loads, typical planar-layer based fiber placement usually fails to provide sufficient reinforcement due to their orientations being constrained to planes. The effectiveness of fiber reinforcement could be maximized by using multi-axis additive manufacturing (MAAM) to better control the orientation of continuous fibers in 3D-printed composites. Here, we propose a computational approach to generate 3D toolpaths that satisfy two major reinforcement objectives: (1) following the maximal stress directions in critical regions and (2) connecting multiple load-bearing regions by continuous fibers. Principal stress lines are first extracted in an input solid model to identify critical regions. Curved layers aligned with maximal stresses in these critical regions are generated by computing an optimized scalar field and extracting its iso-surfaces. Then, topological analysis and operations are applied to each curved layer to generate a computational domain that preserves fiber continuity between load-bearing regions. Lastly, continuous fiber toolpaths aligned with maximal stresses are generated on each surface layer by computing an optimized scalar field and extracting its iso-curves. A hardware system with dual robotic arms is employed to conduct the physical MAAM tasks depositing polymer or fiber reinforced polymer composite materials by applying a force normal to the extrusion plane to aid consolidation. When comparing to planar-layer based printing results in tension, up to 644% failure load and 240% stiffness are observed on shapes fabricated by our spatial printing method. We demonstrate the versatility of our approach through various complex load cases which demonstrate their successful implementation of continuous fiber printing in 3D.","Continuous fiber reinforced thermoplastic composites; Multi-axis additive manufacturing; Toolpath generation","en","journal article","","","","","","","","","","","Emerging Materials","","",""
"uuid:c45d72e9-aab1-4ad4-b15a-52101b6cc695","http://resolver.tudelft.nl/uuid:c45d72e9-aab1-4ad4-b15a-52101b6cc695","Photoluminescence of combinatorically sputtered Al2O3–Y2O3 thin films with a Cr3+ and Nd3+ co-doping concentration gradient","Derksen, M. (TU Delft RST/Luminescence Materials); Bizinoto Ferreira Bosco, G. (Fotonic); Muller, Timo (Student TU Delft); van der Kolk, E. (TU Delft RST/Luminescence Materials)","","2024","The characterization of a wide range of luminescent thin films can be a long and tedious endeavor. With reactive combinatorial sputtering of multiple metal targets, it possible to fabricate thin films with a gradient in composition simply by not rotating the substrate. In this work, combinatorically sputtered thin films of Cr3+ and Nd3+ doped in the Al2O3–Y2O3 system (YAlO) are studied for thin film based luminescent solar concentrators (TFLSCs) application. Contrary to mm's thick plate type LSC's, TFLSCs of just several 100 nm thick require much higher Cr3+ concentration to achieve 40% absorption which can enable several 10's of W/m2 LSC power efficiencies. Our transmission measurements on a Cr2O3 film with a thickness gradient result in an absorption cross section at 460 nm of 1.3 ± 0.7 × 10−19 cm2 showing that the TFLSC absorption requirement can be fulfilled provided that the Cr3+ concentration is in the order of 1022 ions/cm3. The Y:Al ratio of the YAlO host in our films ranged between 0.5 and 3.5, thereby including the monoclinic (Y4Al2O9), perovskite (YAlO3) and garnet (Y3Al5O12) stoichiometry's on a single film. Position dependent XRD, EDX, excitation, emission and lifetime measurements of Cr3+ and Nd3+ show that the unique gradient film sputtering method is able to characterize thin films as a function of host composition and doping concentration. Energy transfer between Cr3+ and Nd3+ in co-doped YAlO films is concluded from Cr3+ excitation bands observed while monitoring Nd3+ emission and from the matching of the rise-time of Nd3+ 1340 nm emission (4F3/2 -> 4I11/2) and the decay time of Cr3+ 840 nm emission (4T2 -> 4A2). Nd3+ lifetime systematically decreases from 0.24 to 0.05 ms with increasing Cr3+ concentration in Y3Al5-xCrxO12:Nd (0.05 < x < 2). The constraints of heavily doped Cr3+ thin films for enabling adequate absorption and energy transfer to Nd3+ in TFLSC applications are the subjects of the discussion.","Al2O3–Y2O3; Chromium; Energy transfer; Luminescent solar concentrator; Neodymium; Reactive magnetron sputtering; Thin films; YAG, YAP, YAM","en","journal article","","","","","","","","","","","RST/Luminescence Materials","","",""
"uuid:c0b6b99a-971f-4dfb-b13c-238e327ae09b","http://resolver.tudelft.nl/uuid:c0b6b99a-971f-4dfb-b13c-238e327ae09b","An alternative process-based approach to predicting the response of water-saturated porous media to harmonic hydrodynamic loads","van Damme, M. (TU Delft Numerical Analysis); den Ouden-van der Horst, D. (TU Delft Numerical Analysis)","","2024","Methods have been developed to predict how hydrodynamic loads acting on nearly saturated porous media are transmitted to the subsoil. In line with the effective stress principle of Terzaghi, these methods apply the boundary conditions that the effective stresses at the surface of a porous medium are zero, and that the pore water pressures carry the full load. Here, a new approach is presented which is based on defining a stress and a stress gradient as boundary conditions. The stress gradient follows from the momentum balance equation, thereby assuring that the solution abides by d'Alembert's principle of minimization of virtual work. The corresponding solution is in full accordance with the volume and momentum balance equations of the linear elastic soil matrix and the volume and momentum balance equations of the pore water across the computational domain. The new method is thereby able to correctly reproduce measurements of pore pressure changes due to hydrodynamic loads under the assumption of a porous medium consisting of incompressible particles and pore water which could either be compressible or incompressible. The advantage of the proposed method is that it requires one less boundary condition at the surface of the porous medium. The method is therefore able to predict the magnitude of the effective stresses on a soil surface. Due to the ability to retain the assumption of incompressible water, the method has also become independent on a calibration parameter. The results of the method induce questions with respect to the validity of Terzaghi's principle of effective stress at the boundary when porous media are subjected to hydrodynamic loads.","elastic; harmonic; liquefaction; momentum; porous; pulling force; saturated; waves","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-01","","","Numerical Analysis","","",""
"uuid:2a089659-6695-49b2-894e-3647f95f79fa","http://resolver.tudelft.nl/uuid:2a089659-6695-49b2-894e-3647f95f79fa","Particle filter-based fatigue damage prognosis by fusing multiple degradation models","Li, Tianzhi (Politecnico di Milano); Chen, Jian (Nanjing University of Aeronautics and Astronautics); Yuan, Shenfang (Nanjing University of Aeronautics and Astronautics); Zarouchas, D. (TU Delft Group Zarouchas); Sbarufatti, Claudio (Politecnico di Milano); Cadini, Francesco (Politecnico di Milano)","","2024","Fatigue damage prognosis always requires a degradation model describing the damage evolution with time; thus, the prognostic performance highly depends on the selection of such a model. The best model should probably be case specific, calling for the fusion of multiple degradation models for a robust prognosis. In this context, this paper proposes a scheme of online fusing multiple models in a particle filter (PF)-based damage prognosis framework. First, each prognostic model has its process equation built through a physics-based or data-driven degradation model and has its measurement equation linking the damage state and the measurement. Second, each model is independently processed through one PF to provide one group of particles. Then, the particles from all models are adopted for remaining useful life prediction. Finally, the particles from each PF are fused with those from all the other PFs to improve their particle diversity, and consequently, to provide better estimation and prognostic performance. The feasibility and robustness of the proposed method are validated by an experimental study, where an aluminum lug structure subject to fatigue crack growth is monitored by a guided wave measurement system.","damage prognosis; degradation model; fusion; Lamb waves; particle diversity; particle filter; Structural health monitoring","en","journal article","","","","","","","","","","","Group Zarouchas","","",""
"uuid:6daa339a-7531-4491-9d2d-ffa0073092ea","http://resolver.tudelft.nl/uuid:6daa339a-7531-4491-9d2d-ffa0073092ea","A New High Step-Up SC-Based Grid-Tied Inverter With Limited Charging Spike for RES Applications","Marangalu, Milad Ghavipanjeh (University of Tabriz); Kurdkandi, Naser Vosoughi (San Diego State University); Monfared, Kourosh Khalaj (University of Tehran); Talebian, Iman (University of Tabriz); Neyshabouri, Yousef (Urmia University); Vahedi, H (TU Delft DC systems, Energy conversion & Storage)","","2024","Switched capacitor multilevel inverter topologies are attractive among industrial power electronics researchers due to their applicability in sustainable energy systems such as renewable energy source (RES) applications. In this paper, a new switched capacitor (SC)-based grid-tied seven-level inverter is proposed for renewable energy sources (RES) applications. The proposed inverter can generate a seven-level output voltage waveform with voltage boosting ability and a gain factor of 3. Also, the proposed topology can provide the self voltage balancing for capacitors. The most important challenge of the SC-based topologies, i.e., the capacitor charging spike current, is solved by applying a soft charging circuit in the charging loop of the capacitors. The soft charging circuit consists of an inductor and a power diode in the capacitor charging path. Using a small size inductor in the soft charging circuit, the proposed inverter can limit the input current spikes. Comprehensive experiment results and comparisons are presented to verify the accurate performance of the proposed inverter.","Capacitors; Inverters; Voltage; Topology; Switches; Inductors; Boosting; Switched-capacitor inverters; grid-tied inverter; transformer-less inverter; voltage-boosting feature; soft charging; limitation of capacitor charging current spike","en","journal article","","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:32e27cc1-23d2-4360-8e35-7fdeb58f315d","http://resolver.tudelft.nl/uuid:32e27cc1-23d2-4360-8e35-7fdeb58f315d","Ultrasmall Gold Nanoparticles Radiolabeled with Iodine-125 as Potential New Radiopharmaceutical","Wang, R. (TU Delft RST/Applied Radiation & Isotopes); Liu, Huanhuan (Zhengzhou University); Antal, Bas (Student TU Delft); Wolterbeek, H.T. (TU Delft Reactor Institute Delft); Denkova, A.G. (TU Delft RST/Applied Radiation & Isotopes)","","2024","The relatively high linear energy transfer of Auger electrons, which can cause clustered DNA damage and hence efficient cell death, makes Auger emitters excellent candidates for attacking metastasized tumors. Moreover, gammas or positrons are usually emitted along with the Auger electrons, providing the possibility of theragnostic applications. Despite the promising properties of Auger electrons, only a few radiopharmaceuticals employing Auger emitters have been developed so far. This is most likely explained by the short ranges of these electrons, requiring the delivery of the Auger emitters to crucial cell parts such as the cell nucleus. In this work, we combined the Auger emitter 125I and ultrasmall gold nanoparticles to prepare a novel radiopharmaceutical. The 125I labeled gold nanoparticles were shown to accumulate at the cell nucleus, leading to a high tumor-killing efficiency in both 2D and 3D tumor cell models. The results from this work indicate that ultrasmall nanoparticles, which passively accumulate at the cell nucleus, have the potential to be applied in targeted radionuclide therapy. Even better tumor-killing efficiency can be expected if tumor-targeting moieties are conjugated to the nanoparticles.","Auger electron; Auger therapy; iodine-125; radionuclide therapy; ultrasmall gold nanoparticle","en","journal article","","","","","","","","","","","RST/Applied Radiation & Isotopes","","",""
"uuid:66eeabab-cfa4-48bf-98c5-a7bf6dc0021b","http://resolver.tudelft.nl/uuid:66eeabab-cfa4-48bf-98c5-a7bf6dc0021b","Hydrodynamically-Driven Deposition of Mud in River Systems","Dunne, K.B.J. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Nittrouer, J. A. (Texas Tech University); Abolfazli, E. (Virginia Tech College of Engineering); Osborn, R. (Virginia Tech College of Engineering); Strom, K. B. (Virginia Tech College of Engineering)","","2024","The riverine transport and deposition of mud is the primary agent of landscape construction and evolution in many fluvial and coastal environments. Previous efforts exploring this process have raised uncertainty regarding the effects of hydrodynamic and chemical controls on the transport and deposition of mud, and thus the constructions of muddy coastal and upstream environments. As such, direct measurements are necessary to constrain the deposition of mud by river systems. Here, we combine laboratory evidence and a field investigation in the Mississippi River delta to explore the controls on the riverine transport and deposition of mud. We show that the flocculation of mud, with floc diameters greater than 10 μm, in freshwater is a ubiquitous phenomenon, causing the sedimentation of mud to be driven by changes in local hydrodynamics, and thus providing an explanation for how river systems construct landscapes through the deposition of mud in both coastal and upstream environments.","flocs; mud; mud deposition; mud transport; sedimentation","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:4a0305a6-4b2f-41d9-981e-7aedf6c30b5d","http://resolver.tudelft.nl/uuid:4a0305a6-4b2f-41d9-981e-7aedf6c30b5d","Zn induced surface modification of stable goethite nanoparticles for improved regenerative phosphate adsorption","Belloni, C. (TU Delft RST/Fundamental Aspects of Materials and Energy; Wetsus, Centre for Sustainable Water Technology); Korving, L. (Wetsus, Centre for Sustainable Water Technology); Witkamp, G.J. (TU Delft BT/Environmental Biotechnology; King Abdullah University of Science and Technology); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Dugulan, A.I. (TU Delft RST/Fundamental Aspects of Materials and Energy; TU Delft RID/TS/Instrumenten groep)","","2024","Iron oxide-based adsorbents showed potential to reach ultra-low phosphorus (P) concentrations to prevent eutrophication and recover P. High affinity, high capacity at low P concentrations (<1 mg L−1), good stability, and reusability of the adsorbent are key factors for economic viability. In this study, nanoparticles of goethite (α-FeOOH), a highly stable phase, have been synthesized with increasing Zn2+-doping, 0–20 %at. Zn/Fe, to manipulate the surface properties, following the results of a previous work. Mössbauer spectroscopy showed preserved goethite phase and increased point of zero charge (pzc) at low Zn-doping percentages, while at higher percentages (>5%at.) co-existing phases with increased specific surface area formed. Low concentrations (0.1–10 mg L−1) batch adsorption tests showed increased P removal per unit mass with increasing doping. However, the highest pzc, affinity and P removal per unit area were observed for the 5%at. doped sample, suggesting this dopant concentration to provide the most effective surface. A regeneration test, performed at a lower pH than usual, showed preserved, even improved P desorption with increasing doping. Mössbauer spectroscopy showed that the nanoparticle phase and composition, up to 5%at., doping was preserved throughout the process. These results are promising to develop a stable effective Zn-doped goethite-based adsorbent for P recovery at ultra-low concentrations.","Adsorption; Goethite; Iron oxide; Phosphate recovery; Regeneration; Zinc doping","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:c1639160-332a-4289-9f2d-2e6014a8ed57","http://resolver.tudelft.nl/uuid:c1639160-332a-4289-9f2d-2e6014a8ed57","Organizational learning from construction fatalities: Balancing juridical, ethical, and operational processes","van Marrewijk, A.H. (TU Delft Design & Construction Management; BI Norwegian Business School; Vrije Universiteit Amsterdam); van der Steen, Hans (Gebr. van der Steen)","","2024","Construction work is associated with high risks of fatalities. Effective, deep and lasting learning from incidents is important for the safety of employees, but not well developed in the construction sector. We studied the organizational processes after a fatality through an auto-ethnographic field work study and found three distinct, but interrelated processes to normalize construction work; juridical, ethical and operational processes. Balanced attention to all three processes supports an effective, deep and lasting learning from incidents. We contribute to the learning from incidents literature with the insight that balanced attention for all three processes helps to learn from incidents and to improve the safety of workers. Furthermore, second victims can be important for the learning of incidents process. Finally, the findings throw new light on inadequate supervision of safety procedures, as the temporary characteristics of projects forces workers to deviate from safety procedures.","Construction fatality; Learning from incidents; Organizational processes; Safety; Second victims","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:f3d61dd2-b59b-40e6-b1a4-592c0a2e18ce","http://resolver.tudelft.nl/uuid:f3d61dd2-b59b-40e6-b1a4-592c0a2e18ce","Predictive machine learning in earth pressure balanced tunnelling for main drive torque estimation of tunnel boring machines","Glab, K. (Herrenknecht AG; Ruhr-Universität Bochum); Wehrmeyer, G. (Herrenknecht AG); Thewes, M. (Ruhr-Universität Bochum); Broere, W. (TU Delft Geo-engineering)","","2024","Designing the main drive motor capacity of Earth Pressure Balanced Tunnel Boring Machines (EPB TBMs) is a crucial task for every EPB tunnelling project. The machine needs to be equipped with sufficient power to master the geotechnical conditions of the respective project. On the other hand, overpowering the machine should be avoided for economic and sustainability reasons. Main drive torque estimation for EPB TBMs is challenging due to a multitude of impact factors and reciprocal mechanisms between the geotechnical conditions and the tunnelling process. In EPB TBM tunnelling active tunnel face support is achieved in soft and mixed ground or weak and unstable rock by generating a pressurized earth paste in the tool gap and excavation chamber of the machine. Complexity arises due to tribological and rheological effects of the active tunnel face support. These elements of uncertainty, the expected main drive torque is frequently overestimated to prevent a jamming of the machine in the ground. Mean main drive torque values often lie below 50 % of the installed nominal main drive torque capacity. In scope of this research machine learning algorithms, such as regressions, decision trees, tree ensembles, support vector machines and gaussian process regressions, have been used to predict the main drive torque. Models have been trained and tested on data collected from 9 different reference projects and validated on the data of 3 additional reference projects to test the transferability of the model. TBM diameters of the reference projects vary between 6,5 and 15,9 m and TBMs have been operating in a wide range of geotechnical boundary conditions. Different feature selection algorithms have been used and prediction results have been compared to models trained on manually selected features. Models using tree ensembles and manually selected features showed best prediction results and model performance. The machine learning approach returned a smaller and more accurate torque estimation range than traditional estimation approaches and prediction accuracy has been improved. Transparent and robust tree ensembles proofed to be suitable tools for TBM torque estimation.","Data driven modelling; EPB tunnelling; Machine learning; Torque estimation","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:9fa09968-6b60-41d5-820e-7378758d0d47","http://resolver.tudelft.nl/uuid:9fa09968-6b60-41d5-820e-7378758d0d47","Effects of pore water-rock reaction on heat extraction from the karst geothermal reservoirs: Based on the dual media model","Ji, Jiayan (China University of Petroleum - Beijing); Song, Xianzhi (China University of Petroleum - Beijing); Yi, Junlin (China University of Petroleum - Beijing); Song, G. (TU Delft Applied Geology); Wang, Gaosheng (China University of Petroleum - Beijing)","","2024","Fractures and caves are the main flow and storage channels for the karst geothermal reservoirs, and the water-rock reaction within them significantly affects the thermal performance. Most previous studies concentrated on the fractures, disregarding the impact of the pore water-rock reaction. The objective of this study is to explore the importance of pore water-rock reactions and identify the influence of various parameters when considering pore and fracture water-rock reactions. A 3D thermal-hydraulic-chemical coupling model considering dual media of pores and fractures was developed. The importance of pore water-rock reactions is demonstrated, and quantitatively characterize the effect of injection temperature (Tin), injection rate (Qin), injection concentration (cin), and ratio of the reaction-specific surface area between pore and fracture (Ap/Af) on the thermal performance. Results indicate that the pore water-rock reaction drastically affects the hydraulic conductivity and pressure difference, even leading to an opposite trend. The influence of water-rock reaction in pores on fracture deformation is regulated by Ap/Af, which augments with Ap/Af. The relative contribution of Ap/Af to production temperature, net thermal power, pressure difference, and hydraulic conductivity are 12.8%, 4.1%, 6.8%, and 13.7%, respectively. This study provides a significant guide for accurate production prediction and exploitation of karst-based geothermal reservoirs.","Fracture aperture; Karst-based thermal reserve; Pore structure; Thermal performance; Water-rock reaction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-08","","","Applied Geology","","",""
"uuid:0f41bf25-2bbd-4564-bab3-0f85f597e91c","http://resolver.tudelft.nl/uuid:0f41bf25-2bbd-4564-bab3-0f85f597e91c","Autonomous Sensor System for Low-Capacity Wind Turbine Blade Vibration Measurement","Muxica, Diego (Universidad de los Andes, Chile); Rivera, Sebastian (TU Delft DC systems, Energy conversion & Storage; Universidad Católica de la Santísima Concepción); Orchard, Marcos E. (Universidad de Chile); Ahumada, Constanza (Universidad de Chile); Jaramillo, Francisco (Universidad de Chile); Bravo, Felipe (Universidad de los Andes, Chile); Gutiérrez, José M. (Universidad de los Andes, Chile); Astroza, Rodrigo (Universidad de los Andes, Chile)","","2024","This paper presents the design, implementation, and validation of an on-blade sensor system for remote vibration measurement for low-capacity wind turbines. The autonomous sensor system was deployed on three wind turbines, with one of them operating in harsh weather conditions in the far south of Chile. The system recorded the acceleration response of the blades in the flapwise and edgewise directions, data that could be used for extracting the dynamic characteristics of the blades, information useful for damage diagnosis and prognosis. The proposed sensor system demonstrated reliable data acquisition and transmission from wind turbines in remote locations, proving the ability to create a fully autonomous system capable of recording data for monitoring and evaluating the state of health of wind turbine blades for extended periods without human intervention. The data collected by the sensor system presented in this study can serve as a foundation for developing vibration-based strategies for real-time structural health monitoring.","accelerometer-based sensor networks; condition monitoring; data acquisition; modal analysis; structural health monitoring; wind turbines","en","journal article","","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:f409c5af-0686-41e5-8cbe-fc9191e42367","http://resolver.tudelft.nl/uuid:f409c5af-0686-41e5-8cbe-fc9191e42367","Synergetic urbanism: A theoretical exploration of a vertical farm as local heat source and flexible electricity user","Blom, T. (TU Delft Environmental & Climate Design); Jenkins, Andrew (University of Salford); van den Dobbelsteen, A.A.J.F. (TU Delft Environmental & Climate Design)","","2024","The urban energy transition requires innovative heating and cooling systems, as well as enhanced flexibility in electricity usage. This paper explores the theoretical potential for vertical farms to contribute to the energy transition by supplying residual heat to local district heat networks and flexible electricity usage. A stepped approach was used to design energy systems that achieve thermal energy balance through heat and cold exchange between a vertical farm and buildings within a specific Dutch neighbourhood. Furthermore, alternative lighting strategies for vertical farms were explored to reduce grid congestion and to respond to electricity price fluctuations, limiting the mismatch between electricity generation and demand. Compared to the baseline scenario, the energy system with an integrated vertical farm reduces overall energy use by 15 %, even when accounting for the farm's electricity use. By adopting intermittent lighting that is better aligned with electricity price fluctuations, the vertical farm obtained annual cost savings of 14 %. The integration of vertical farms into energy systems can, therefore, contribute to the urban energy transition by producing residual heat to balance thermal energy system and save money for growers by optimising LED operations to align with electricity price fluctuations, whilst producing fresh vegetables for the city.","Controlled environment agriculture; Energy transition; Residual heat; Heat exchange; Electricity price fluctuations; Intermittent light; Grid congestion; Flexible electricity use; Urban agriculture; Symbiosis","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:a4aa8142-b4bd-43d5-98a4-3e4bbd4b98a5","http://resolver.tudelft.nl/uuid:a4aa8142-b4bd-43d5-98a4-3e4bbd4b98a5","The role of vision in sensory integration models for predicting motion perception and sickness","Kotian, V. (TU Delft Intelligent Vehicles); Irmak, T. (TU Delft Intelligent Vehicles; University Medical Center Utrecht); Pool, D.M. (TU Delft Control & Simulation); Happee, R. (TU Delft Intelligent Vehicles)","","2024","Users of automated vehicles will engage in other activities and take their eyes off the road, making them prone to motion sickness. To resolve this, the current paper validates models predicting sickness in response to motion and visual conditions. We validate published models of vestibular and visual sensory integration that have been used for predicting motion sickness through sensory conflict. We use naturalistic driving data and laboratory motion (and vection) paradigms, such as sinusoidal translation and rotation at different frequencies, Earth-Vertical Axis Rotation, Off-Vertical Axis Rotation, Centrifugation, Somatogravic Illusion, and Pseudo-Coriolis, to evaluate different models for both motion perception and motion sickness. We investigate the effects of visual motion perception in terms of rotational velocity (visual flow) and verticality. According to our findings, the SVCI model, a 6DOF model based on the Subjective Vertical Conflict (SVC) theory, with visual rotational velocity input is effective at estimating motion sickness. However, it does not correctly replicate motion perception in paradigms such as roll-tilt perception during centrifuge, pitch perception during somatogravic illusion, and pitch perception during pseudo-Coriolis motions. On the other hand, the Multi-Sensory Observer Model (MSOM) accurately models motion perception in all considered paradigms, but does not effectively capture the frequency sensitivity of motion sickness, and the effects of vision on sickness. For both models (SVCI and MSOM), the visual perception of rotational velocity strongly affects sickness and perception. Visual verticality perception does not (yet) contribute to sickness prediction, and contributes to perception prediction only for the somatogravic illusion. In conclusion, the SVCI model with visual rotation velocity feedback is the current preferred option to design vehicle control algorithms for motion sickness reduction, while the MSOM best predicts perception. A unified model that jointly captures perception and motion sickness remains to be developed.","Comfort; Modeling; Motion perception; Motion sickness; Vision","en","journal article","","","","","","","","","","","Intelligent Vehicles","","",""
"uuid:173c3183-539e-4e5a-8db6-51e08957ce6c","http://resolver.tudelft.nl/uuid:173c3183-539e-4e5a-8db6-51e08957ce6c","Design of Wideband Flat Artificial Dielectric Lenses at mmWave Frequencies","Coco Martin, C.M. (TU Delft Tera-Hertz Sensing); Hu, Weiya (Student TU Delft); Cavallo, D. (TU Delft Tera-Hertz Sensing)","","2024","This work aims to provide guidelines on the design of wideband flat lenses based on artificial dielectric layers (ADLs). Planar lenses based on metasurfaces are typically narrowband, due to the phase wrapping over the period of 2\pi that is strongly frequency-dependent. On the contrary, true-time-delay (TTD) planar lenses, which do not resort to phase discontinuities, can achieve large bandwidths. One convenient way to design wideband TTD lenses is by means of ADLs, which are stacks of subwavelength-period patch arrays embedded in a host medium to increase its effective permittivity. Tradeoffs including bandwidth, focal ratio, lens diameter, and thickness are discussed and related to the manufacturing constraints of artificial dielectrics, such as the smallest features realizable in printed circuit board (PCB) technology, which define the maximum achievable effective permittivity. An example of design is also presented, operating from 30 to 60 GHz and experimentally validated.","artificial dielectrics; flat lenses; true-time-delay lens; ray tracing; wideband lenses","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Tera-Hertz Sensing","","",""
"uuid:4f72ee48-af59-4442-9768-69c1133ef133","http://resolver.tudelft.nl/uuid:4f72ee48-af59-4442-9768-69c1133ef133","ALBERO: Agile Landing on Branches for Environmental Robotics Operations","Zheng, L. (TU Delft Control & Simulation); Hamaza, S. (TU Delft Control & Simulation)","","2024","Drones have been increasingly used in various domains, including ecological monitoring in forests. However, the endurance and noise of drones have limited their deployment to short flight missions above canopies. To address these limitations, we introduce ALBERO: a framework comprising a mechanical solution and an optimal planner to realise agile quadrotor perching on tree branches of steep incline. The gripper features an ultra-fast active mechanism inspired by birds' claws that enables quadrotors to perch swiftly on randomly-oriented tree branches. By perching, the drone can preserve energy for extended periods of time, while silently gathering forest data in the canopy. The intrinsic properties of the gripper allow for extra flexibility in size, surface roughness and shape imperfections of natural perches, such as those found in the wild. The gripper also has good scalability properties and can be easily matched to different drones' sizes. The biggest advantage of this novel design lays in its ability to close reactively and ultra-fast (67ms) on the large gripper, 42ms on the small gripper), enabling the quadrotor to perform agile perching manoeuvres from different angles and at different approach speeds. ALBERO's software module comprises of a trajectory planning algorithm adapted for branch perching, ensuring that the drone can perch on inclined cylindrical targets from any starting location in the proximity of the branch. These requirements translate in stringent positioning and orientation accuracy, but they enable the drone to land dynamically from a variety of positions within the forest.","Agile Motion Planning; Agile Perching; Drones; Environmental Monitoring; Gears; Gripper Design; Grippers; Quadrotors; Servomotors; Springs; Steel; UAVs Applications","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-08","","","Control & Simulation","","",""
"uuid:afdc3565-30a8-4a0a-8bd6-5e67627b05d4","http://resolver.tudelft.nl/uuid:afdc3565-30a8-4a0a-8bd6-5e67627b05d4","Multipath Exploitation for Human Activity Recognition using a Radar Network","Guendel, R.G. (TU Delft Microwave Sensing, Signals & Systems); Kruse, N.C. (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2024","In this study, the problem of multipath in radar sensor networks for human activity recognition (HAR) has been examined. Traditionally considered as a source of additional clutter, the multipath is being investigated for its potential to be exploited through the creation of virtual radar nodes. These virtual nodes are conceptualized to observe targets from aspect angles that differ from those of physically existing radars. To realize this idea, an innovative processing pipeline is proposed that extracts information from multipath signals to improve HAR. The pipeline isolates and tracks the line-of-sight (LOS) and multipath components of a moving human target performing continuous sequences of activities observed by a network of three radar sensors. Furthermore, the method has been verified with experimental data consisting of six activities and 14 volunteers by comparing classification metrics with the use of a single radar as well as only the LOS components of the three radars in the network. A 12-layer convolutional neural network (CNN) classifier has been designed to operate on range-Doppler (RD) images derived from the LOS and multipath components, extracted by the proposed method. A substantial performance improvement using the leave-one-person-out (L1Po) test set is demonstrated in the order of +11% by exploiting a multiradar network with its LOS and multipath components.","radar signal processing; radar multipath; multipath; human activity recognition; distributed radar; hierarchical clustering; clustering; multilateration; trilateration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-16","","","Microwave Sensing, Signals & Systems","","",""
"uuid:345b1ae0-59f3-452f-8f5f-40f57587252b","http://resolver.tudelft.nl/uuid:345b1ae0-59f3-452f-8f5f-40f57587252b","Online Condition Monitoring Methodology for Power Electronics Package Reliability Assessment","Martin, H.A. (TU Delft Electronic Components, Technology and Materials; Chip Integration Technology Center (CITC)); Smits, Edsger C.P. (Chip Integration Technology Center (CITC)); Poelma, René H. (TU Delft Electronic Components, Technology and Materials; Nexperia B.V.); van Driel, W.D. (TU Delft Electronic Components, Technology and Materials; Signify); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2024","This article introduces an online condition monitoring strategy that utilizes a transient heat pulse to detect package thermal performance degradation. The metric employed is the temperature-dependent transient thermal impedance ""Zth(t, Tamb).""The proposed methodology offers quantitative insights into package thermal performance degradation and effectively pinpoints the presence of multiple failure mechanisms. A thermal test chip assembled in a power quad flat no-lead package is used in this study to demonstrate the methodology. The packaged devices are first characterized to determine the transient pulse duration, a critical parameter to monitor a specific region of interest. Subsequently, package thermal performance degradation is continuously monitored online during thermomechanical cycling lifetime experiments. The validity of the measurement results is later confirmed through acoustic imaging and cross-sectional analysis. The changes observed in Zth(t, Tamb) over thermal cycling correspond to the delamination of the active metal layers on the die and cohesive failure on the die attach. This article further includes a comparative summary, highlighting the distinctions between the proposed and industry-standard test methods. In conclusion, the importance of online condition monitoring to detect early signs of failure is emphasized, and the proposed methodology s practical applicability in real-life scenarios is briefly discussed.","Electronic packaging; Temperature measurement; Semiconductor device measurement; Temperature sensors; Electrical resistance measurement; Transient analysis; Thermal Cycling; Silver Sintering; Transient Thermal Impedance; Thermal Test Chips; Application-driven Reliability Qualification","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-11","","","Electronic Components, Technology and Materials","","",""
"uuid:75f56794-1226-4ead-bd79-ffb9d591b56d","http://resolver.tudelft.nl/uuid:75f56794-1226-4ead-bd79-ffb9d591b56d","Predicting cell population-specific gene expression from genomic sequence","Michielsen, L.C.M. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Reinders, M.J.T. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Mahfouz, A.M.E.T.A. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center)","","2024","Most regulatory elements, especially enhancer sequences, are cell population-specific. One could even argue that a distinct set of regulatory elements is what defines a cell population. However, discovering which non-coding regions of the DNA are essential in which context, and as a result, which genes are expressed, is a difficult task. Some computational models tackle this problem by predicting gene expression directly from the genomic sequence. These models are currently limited to predicting bulk measurements and mainly make tissue-specific predictions. Here, we present a model that leverages single-cell RNA-sequencing data to predict gene expression. We show that cell population-specific models outperform tissue-specific models, especially when the expression profile of a cell population and the corresponding tissue are dissimilar. Further, we show that our model can prioritize GWAS variants and learn motifs of transcription factor binding sites. We envision that our model can be useful for delineating cell population-specific regulatory elements.","sequence to prediction models; single-cell RNA-sequencing; gene expression prediction; transcriptional regulation; cell populations","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:975b60af-4add-47d7-9776-a5c2f97d89ed","http://resolver.tudelft.nl/uuid:975b60af-4add-47d7-9776-a5c2f97d89ed","Opportunities of Natural Resources Making Buildings More Resilient","van den Engel, P.J.W. (TU Delft Environmental & Climate Design)","","2024","An overview is given of the state-of-the-art of natural and hybrid ventilation in buildings in general. The focus of this paper is on boundary conditions for openable windows. As a case study the Co Creation Centre at the TU-Delft is discussed. Occupants live in their own houses and often in an office or other working environments as well. Due to the development of working on a distance, accelerated by the COVID-pandemics, they generally have more choice which environment is the best. That is why a holistic approach is necessary for buildings in general and houses. Natural ventilation offers a wide range of low-cost opportunities to realize the required thermal comfort and need of fresh air. Boundary conditions for ventilation are the limitation of cooling and heating by intelligent building physical design of the façade or roof, with better balancing heat loss due to transmission and heat gain by solar access. In this field there is still a lack of knowledge at many professionals. In most cases natural ventilation has to be supported by robust mechanical systems. Effective integration is a rather new field of research, learning from the past. Current examples of integration are discussed, in which BMS-systems play a key role.","Natural and hybrid ventilation; Openable windows; Covid protection; Resilience concept; Robustness; Natural resources; Buildings; Natural ventilation; Climate","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:21c03aae-b8cf-4eaa-b1f0-683dfa3ecfa3","http://resolver.tudelft.nl/uuid:21c03aae-b8cf-4eaa-b1f0-683dfa3ecfa3","Coupling agent-based modelling and life cycle assessment for a behaviour-driven evaluation of SAEVs","Vilaça, M.V. (Universidade de Aveiro; Intelligent Systems Associate Laboratory (LASI)); Correia, Gonçalo (TU Delft Transport and Planning); Coelho, Margarida C. (Universidade de Aveiro; Intelligent Systems Associate Laboratory (LASI))","","2024","Shared Automated Electric Vehicles (SAEVs) are poised to revolutionize future transportation. However, potential drawbacks, including increased vehicle usage and the projected shorter vehicle lifespan, introduce critical factors that may impact efficiency and environmental benefits. This research introduces a framework that integrates Agent-Based Modelling (ABM) with Life Cycle Assessment (LCA) for a behaviour-driven SAEV assessment. The ABM simulates regional SAEV operations, informing the LCA of pre- and post-integration scenarios. Sensitivity analysis on fleet sizes, system performance metrics, and Global Warming Potential (GWP) reference values are performed. Findings demonstrate that SAEVs significantly decrease the fleet size and total travel distance by raising the average travel per vehicle. SAEVs integration yields a 75–86% daily GWP reduction without significantly compromising user experience. Over 30 years, fleet replacement needs due to inadequate fleet sizing raised GWP by 170%. Balancing short and long-term environmental impact requires optimizing fleet size to achieve sustainable and efficient service delivery.","Agent-based modelling (ABM); Life cycle assessment (LCA); Sharedautomated and electric vehicles (SAEVs)","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:0db46967-fec3-4fe3-91d8-a442fa9797ba","http://resolver.tudelft.nl/uuid:0db46967-fec3-4fe3-91d8-a442fa9797ba","A copula-based model to describe the uncertainty of overtopping variables on mound breakwaters","Mares Nasarre, P. (TU Delft Hydraulic Structures and Flood Risk); van Gent, M.R.A. (TU Delft Coastal Engineering; Deltares); Morales Napoles, O. (TU Delft Applied Probability)","","2024","Rising sea levels caused by climate change are increasing the risk of overtopping on coastal structures. Moreover, there is a growing societal concern about the visual impact of these structures, which leads to the lowering of their crest freeboards. In previous studies, safety during overtopping events was assessed considering the overtopping layer thickness (hc), the overtopping flow velocity (uc) and the individual wave overtopping volume (V). Existing models in the literature to estimate hc, uc and V on mound breakwater crests are mainly deterministic, involve a chain of successive estimations leading to accumulated errors and/or do not account for the dependencies between hc, uc and V. This study proposes a model to describe the joint probability distribution of hc, uc and V based on bivariate copulas. Experimental data from small-scale 2D physical tests conducted on mound breakwaters with three armor layers (single-layer Cubipod®, and double-layer cubes and rocks) in depth-limited breaking wave conditions on two mild bottom slopes and dimensionless crest freeboards between 0.33 and 3.20 is used. Lognormal distribution functions are proposed for each variable and a multivariate dependence model is developed through a one-tree vine-copula. The parameters of this model are quantified directly using wave characteristics and the structure geometry minimizing the accumulated errors in the final predictions. The application of the model is illustrated by computing the probability of not fulfilling at least a tolerability limit for one of the studied variables (OR probability). The OR probability is computed both considering the dependence and assuming independence between the variables and a significant difference is obtained. It is concluded that by accounting for the multivariate dependence between the variables, it is possible to reduce the crest freeboard and, thus, achieve a more economic design within the required safety level.","Copula; Dependence; Mound breakwater; Overtopping; Overtopping flow velocity; Overtopping layer thickness; Overtopping volume; Probability; Uncertainty","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:4ae56e89-0595-4e70-bac0-6bc8f070d169","http://resolver.tudelft.nl/uuid:4ae56e89-0595-4e70-bac0-6bc8f070d169","Vertical dynamic measurements of a railway transition zone: a case study in Sweden","Unsiwilai, S. (TU Delft Railway Engineering); Shen, C. (TU Delft Railway Engineering); Zeng, Y. (TU Delft Railway Engineering); Wang, L. (TU Delft Railway Engineering); Nunez, Alfredo (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2024","This study presents a measuring framework for railway transition zones using a case study on the Swedish line between Boden and Murjek. The final goal is to better understand the vertical dynamics of transition zones using hammer tests, falling weight measurements, and axle box acceleration (ABA) measurements. Frequency response functions (FRFs) from hammer tests indicate two track resonances, for which the FRF magnitudes on the plain track are at least 30% lower than those at the abutment. The falling weight measurements indicate that the track on the bridge has a much higher deflection than the track on the embankment. Two features from ABA signals, the dominant spatial frequency and the scale average wavelet power, show variation along the transition zone. These variations indicate differences in track conditions per location. Finally, the ABA features in the range of 1.05–2.86 m−1 are found to be related to the track resonance in the range of 30–60 Hz. The findings in this paper provide additional support for physically interpreting train-borne measurements for monitoring transition zones.","Axle box acceleration; Impact excitation; Railway bridge; Railway track vertical dynamic; Railway transition zone","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:7842c753-5cbb-47eb-934c-62786bcd0ec8","http://resolver.tudelft.nl/uuid:7842c753-5cbb-47eb-934c-62786bcd0ec8","Multi-epoch PPP-RTK corrections: temporal characteristics, pitfalls and user-impact","Psychas, D.V. (European Space Agency (ESA)); Khodabandeh, A. (University of Melbourne); Teunissen, P.J.G. (TU Delft Mathematical Geodesy and Positioning; University of Melbourne; Curtin University of Technology)","","2024","PPP-RTK corrections, aiding GNSS users to achieve single-receiver integer ambiguity-resolved parameter solutions, are often estimated in a recursive manner by a provider. Such recursive, multi-epoch, estimation of the corrections relies on a set of S-basis parameters that are chosen by the provider so as to make the underlying measurement setup solvable. As a consequence, the provider can only estimate estimable forms of the corrections rather than the original corrections themselves. It is the goal of the present contribution to address the consequence of the corrections’ dependency on the provider’s S-basis, showcasing the characteristics of their multi-epoch solutions, thereby identifying potential pitfalls which the PPP-RTK user should avoid when evaluating such solutions. To this end, we develop a simulation platform that allows one to have full control over the properties of PPP-RTK corrections and demonstrate various misleading temporal behaviors that exist when interpreting the multi-epoch solutions of their estimable forms. The roles of the correction latency and time correlation in the multi-epoch user positioning performance are quantified, while the deviation of the user-reported positioning precision description from its user-actual counterpart is measured under a misspecified user stochastic model.","Correction latency; Global navigation satellite systems (GNSS); Integer ambiguity resolution (IAR); Multi-epoch filtering; PPP-RTK corrections; Time correlation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-19","","","Mathematical Geodesy and Positioning","","",""
"uuid:8e65b670-0dec-4743-9ae3-639d0abfa717","http://resolver.tudelft.nl/uuid:8e65b670-0dec-4743-9ae3-639d0abfa717","On the scalability of helium-filled soap bubbles for volumetric PIV","Grille Guerra, A. (TU Delft Aerodynamics); Scarano, F. (TU Delft Aerodynamics); Sciacchitano, A. (TU Delft Aerodynamics)","","2024","The scalability of experiments using PIV relies upon several parameters, namely illumination power, camera sensor and primarily the tracers light scattering capability. Given their larger cross section, helium-filled soap bubbles (HFSB) allow measurements in air flows over a significantly large domain compared to traditional oil or fog droplets. Controlling their diameter translates into scalability of the experiment. This work presents a technique to extend the control of HFSB diameter by geometrical variations of the generator. The latter expands the more limited range allowed by varying the relative helium-air mass flow rates. A theoretical model predicts the bubble size and production rate, which is verified experimentally by high-speed shadow visualization. The overall range of HFSB produced in a stable (bubbling) regime varies from 0.16 to 2.7 mm. Imaging by light scattering of such tracers is also investigated, in view of controversies in the literature on whether diffraction or geometrical imaging dominate the imaging regime. The light scattered by scaled HFSB tracers is imaged with a high-speed camera orthogonal to the illumination. Both the total energy collected on the sensor for a single tracer, as well as its peak intensity, are found to preserve scaling with the square of the diameter at object magnification of 10–1 or below, typical of PIV experiments. For large-scale volumetric applications, it is shown that varying the bubble diameter allows increasing both the measurement domain as well as the working distance of the imagers at 10 m and beyond. A scaling rule is proposed for the latter.","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:737781a6-f3d8-4ab3-ac6d-138478935ef6","http://resolver.tudelft.nl/uuid:737781a6-f3d8-4ab3-ac6d-138478935ef6","Building a Mycenaean chamber tomb catalogue from terrestrial laser scan data","Gutierrez, Ivan (University of Stavanger); Lindenbergh, R.C. (TU Delft Optical and Laser Remote Sensing); Watson, Lisa (University of Stavanger); Shelton, Kim (University of California Berkeley)","","2024","Detailed 3D information on vulnerable archaeological sites can document cultural heritage and contribute to its preservation. The Late Bronze Age Mycenaean cemetery of Aidonia, Greece, is a representative case of a vulnerable site. Tomb looting has occurred sporadically since the 1970s, when the Greek government was made aware of the site. Anthropogenic activities and natural denudation may affect the loss of structural integrity of tombs. In this contribution, terrestrial laser scanning and geosciences are combined to document the vulnerable cemetery through the generation of a tomb catalogue. The emphasis is on techniques applied to point clouds to extract architectural elements. The catalogue consists of 208 architectural and geological measurements, 112 qualitative observations, maps, and point clouds images displaying the architecture of 16 tombs. The tombs are mainly orientated northeast-southwest and northwest-southeast, and their average total length is 13 m. The average volume of chambers with preserved roofs is 46 m3.","Catalogue; Digital documentation; Mycenaean; Segmentation; Terrestrial laser scanning; Tombs","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:d449fc2b-05c5-4f9b-96f4-9c626a9cecab","http://resolver.tudelft.nl/uuid:d449fc2b-05c5-4f9b-96f4-9c626a9cecab","Frameworks for multi-system innovation analysis from a sociotechnical perspective: A systematic literature review","Bakhuis, J.J. (TU Delft Energie and Industrie); Kamp, L.M. (TU Delft Energie and Industrie); Barbour, Natalia (University of Central Florida); Chappin, E.J.L. (TU Delft Energie and Industrie)","","2024","This paper systematically reviews the literature on sociotechnical multi-system innovation frameworks that broaden the usual focus on one sociotechnical system to encompass influences from multiple systems. The review includes 75 peer-reviewed papers that span a broad range of energy-demanding systems and mainly build upon the core frameworks of the Multi-level Perspective (MLP) and Technological Innovation Systems (TIS). The analysis identifies three key aspects to consider in multi-system frameworks. The first aspect is the importance of considering the overarching directionality of multiple sociotechnical systems and how they influence each other. The second is to explicitly analyse the phase of each transitioning system. The third aspect is a need for explicit system configuration analysis. This includes analysing the value chain and the number and types of sectors linked to it, typifying the distinct characteristics of sectors internally and how they interact, and analysing complementary or competitive technologies. The paper concludes by providing recommendations for future research, with a particular focus on the further development of new multi-system frameworks that include one or more of the prior-mentioned three key takeaways. Firstly, focusing on dynamics within multi-system niches. Secondly, performing actor-level analysis, including demand-side analysis. Finally, applying quantitative methods, such as computer simulation modelling.","Converging infrastructures; Innovation systems; Multi-level perspective; Multi-system; Sector coupling; Sustainability transition","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:10b904b9-67c5-4c1e-b768-238268230391","http://resolver.tudelft.nl/uuid:10b904b9-67c5-4c1e-b768-238268230391","Not a single path to success: alternative HRM configurations for well-performing small and medium-sized hotels","Zografou, I. (Athens University of Economics and Business); Galanaki, E. (Athens University of Economics and Business); Pachos-Fokialis, N. (TU Delft Economics of Technology and Innovation); Deligianni, I. (Athens University of Economics and Business)","","2024","Purpose: Previous literature has identified human resources as a key source of competitive advantage in organizations of all sizes. However, Small and Medium-sized Enterprises (SMEs) face difficulty in comprehensively implementing all recommended Human Resource Management (HRM) functions. In this study, we shed light on the field of HRM in SMEs by focusing on the context of Greek Small and Medium-sized Hotels (SMHs), which represent a dominant private sector employer across the country. Design/methodology/approach: Using a fuzzy-set qualitative comparative analysis (fsQCA) and 34 in-depth interviews with SMHs' owners/managers, we explore the HRM conditions leading to high levels of performance, while taking into consideration the influence of internal key determinants. Findings: We uncover three alternative successful HRM strategies that maximize business performance, namely the Compensation-based performers, the HRM developers and the HRM investors. Each strategy fits discreet organizational characteristics related to company size, ownership type and organizational structure. Originality/value: To the best of the authors' knowledge this is among the first empirical studies that examine different and equifinal performance-enhancing configurations of HRM practices in SMHs.","Business performance; Fuzzy-set qualitative comparative analysis (fsQCA); HRM configurations; Human resource management (HRM); Small and medium-sized enterprises (SMEs); Small and medium-sized hotels (SMHs)","en","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:6185bc47-eeb4-4c20-b544-eec43fe2fdaa","http://resolver.tudelft.nl/uuid:6185bc47-eeb4-4c20-b544-eec43fe2fdaa","AI for crisis decisions","Comes, M. (TU Delft Transport and Logistics)","","2024","Increasingly, our cities are confronted with crises. Fuelled by climate change and a loss of biodiversity, increasing inequalities and fragmentation, challenges range from social unrest and outbursts of violence to heatwaves, torrential rainfall, or epidemics. As crises require rapid interventions that overwhelm human decision-making capacity, AI has been portrayed as a potential avenue to support or even automate decision-making. In this paper, I analyse the specific challenges of AI in urban crisis management as an example and test case for many super wicked decision problems. These super wicked problems are characterised by a coincidence of great complexity and urgency. I will argue that from this combination, specific challenges arise that are only partially covered in the current guidelines and standards around trustworthy or human-centered AI. By following a decision-centric perspective, I argue that to solve urgent crisis problems, the context, capacities, and networks need to be addressed. AI for crisis response needs to follow dedicated design principles that ensure (i) human control in complex social networks, where many humans interact with AI; (ii) principled design that considers core principles of crisis response such as solidarity and humanity; (iii) designing for the most vulnerable. As such this paper is meant to inspire researchers, AI developers and practitioners in the space of AI for (urban) crisis response – and other urgent and complex problems that urban planners are confronted with.","Crisis management; Decision theory; Human-AI interaction; Human-centred AI; Responsible AI","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:ed03fa19-93fd-43f8-ad8c-1125e3205921","http://resolver.tudelft.nl/uuid:ed03fa19-93fd-43f8-ad8c-1125e3205921","Policy Analysis of Coastal-Based Special Economic Zone Development Using System Dynamics","Moeis, Armand Omar (Universitas Indonesia); Gita, Agatha Ayu (Universitas Indonesia); Destyanto, A.R. (TU Delft Policy Analysis; Universitas Indonesia); Rahman, Irvanu (Universitas Indonesia; Karlsruhe Institut für Technologie); Hidayatno, Akhmad (Universitas Indonesia); Zagloel, Teuku Yuri (Universitas Indonesia)","","2024","Special Economic Zone (SEZ) development is becoming a preferable policy by the Indonesian government to boost economic growth in less-developed local regions. This is because of the promise that SEZ could attract investment and job creation based on local competitive commodities. One of these areas is Bitung SEZ, North Sulawesi - Indonesia, a coastal-based SEZ, as its strategic position for logistics, fishery resources, and coconut plantation. To explore the promise of growth proposed by developing SEZ in Bitung, we developed a Systems Dynamics model of the interaction between economic growth, social development, and environmental impacts. Based on the model understanding and development, we identified three factors the Indonesian government should improve: coconut plantation productivity, fisheries ship management, and education index. With these three factors in mind, several policy options were tested in the model, resulting in a more substantial impact than the business-as-usual condition.","Policy analysis; Special Economic Zone (SEZ); System dynamics","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:d6fbe041-5638-4d9c-b751-c067c10add47","http://resolver.tudelft.nl/uuid:d6fbe041-5638-4d9c-b751-c067c10add47","The One Step Malliavin scheme: new discretization of BSDEs implemented with deep learning regressions","Négyesi, B. (TU Delft Numerical Analysis); Andersson, Kristoffer (Centrum Wiskunde & Informatica (CWI)); Oosterlee, Cornelis W. (Universiteit Utrecht)","","2024","A novel discretization is presented for decoupled forward–backward stochastic differential equations (FBSDE) with differentiable coefficients, simultaneously solving the BSDE and its Malliavin sensitivity problem. The control process is estimated by the corresponding linear BSDE driving the trajectories of the Malliavin derivatives of the solution pair, which implies the need to provide accurate Γ estimates. The approximation is based on a merged formulation given by the Feynman–Kac formulae and the Malliavin chain rule. The continuous time dynamics is discretized with a theta-scheme. In order to allow for an efficient numerical solution of the arising semidiscrete conditional expectations in possibly high dimensions, it is fundamental that the chosen approach admits to differentiable estimates. Two fully-implementable schemes are considered: the BCOS method as a reference in the one-dimensional framework and neural network Monte Carlo regressions in case of high-dimensional problems, similarly to the recently emerging class of Deep BSDE methods (Han et al. (2018 Solving high-dimensional partial differential equations using deep learning. Proc. Natl. Acad. Sci., 115, 8505–8510); Huré et al. (2020 Deep backward schemes for high-dimensional nonlinear PDEs. Math. Comp., 89, 1547–1579)). An error analysis is carried out to show L2 convergence of order, under standard Lipschitz assumptions and additive noise in the forward diffusion. Numerical experiments are provided for a range of different semilinear equations up to dimensions, demonstrating that the proposed scheme yields a significant improvement in the control estimations.","backward stochastic differential equations; Malliavin calculus; deep BSDE; neural networks; BCOS; gamma estimates","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-26","","","Numerical Analysis","","",""
"uuid:ec4c9247-2b30-417a-a01e-ab49c9f316de","http://resolver.tudelft.nl/uuid:ec4c9247-2b30-417a-a01e-ab49c9f316de","Ten simple rules for pushing boundaries of inclusion at academic events","Mackenzie Hall, Siobhan (University of Oxford; Deep Learning Indaba); Kochin, Daniel (University of Oxford); Lenay Lewers, Kristen (University of Colorado Boulder); Abdelhack, Mohamed (Centre for Addiction and Mental Health); Alphonse, Juno Felecia Michael (University of Oxford); Ung, Visotheary (Centre National de la Recherche Scientifique (CNRS)); El-Gebali, Sara (SciLifeLab-Data Centre); Plomp, E. (TU Delft Management Support); Sharan, Malvika (OLS; The Alan Turing Institute)","","2024","Inclusion at academic events is facing increased scrutiny as the communities these events serve raise their expectations for who can practically attend. Active efforts in recent years to bring more diversity to academic events have brought progress and created momentum. However, we must reflect on these efforts and determine which underrepresented groups are being disadvantaged. Inclusion at academic events is important to ensure diversity of discourse and opinion, to help build networks, and to avoid academic siloing. All of these contribute to the development of a robust and resilient academic field. We have developed these Ten Simple Rules both to amplify the voices that have been speaking out and to celebrate the progress of many Equity, Diversity, and Inclusivity practices that continue to drive the organisation of academic events. The Rules aim to raise awareness as well as provide actionable suggestions and tools to support these initiatives further. This aims to support academic organisations such as the Deep Learning Indaba, Neuromatch Academy, the IBRO-Simons Computational Neuroscience Imbizo, Biodiversity Information Standards (TDWG), Arabs in Neuroscience, FAIRPoints, and OLS (formerly Open Life Science). This article is a call to action for organisers to reevaluate the impact and reach of their inclusive practices.","","en","journal article","","","","","","","","","","","Management Support","","",""
"uuid:b308297a-8cf3-4c6a-886e-1d1903377efd","http://resolver.tudelft.nl/uuid:b308297a-8cf3-4c6a-886e-1d1903377efd","Modeling dynamic stall of an airfoil with vortex generators using a double-wake panel model with viscous–inviscid interaction","Yu, W. (TU Delft Wind Energy); Bajarūnas, Lukas K. (Student TU Delft); Zanon, Alessandro (AIT Austrian Institute of Technology); Ferreira, Carlos J.S. (Delft University of Technology (TU Delft))","","2024","Vortex generators (VGs) have been widely applied to wind turbines thanks to their potential to increase aerodynamic performance. Due to the complex inflow perceived by a rotor and the proneness to flow separation, VGs on wind turbines usually experience highly unsteady flow. While there are models that exist to simulate the steady effects of VGs, we lack a fast and efficient tool to model the unsteady performance of airfoils equipped with VGs. This paper adopts an unsteady double-wake panel model with viscous–inviscid interaction developed to simulate a vertical axis turbine in dynamic stall, adding the capability of predicting the dynamic aerodynamic performance of VG-equipped airfoils. The results of a series of steady and unsteady cases of an airfoil with different VG configurations in various pitch motions in free and forced transition are verified against experimental data. Results show that the double wake model offers results with sufficient accuracy compared with experimental data to claim the model's validity in a preliminary evaluation of an airfoil's capability to prevent stall with VGs. A few limitations, including the accuracy in prediction the transition location, separation, and reattachment, have been identified for future development.","double wake; dynamic stall; viscous–inviscid interaction; vortex generators; vortex panel method","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:e2a153e5-7206-4157-872a-f8bb67423a2d","http://resolver.tudelft.nl/uuid:e2a153e5-7206-4157-872a-f8bb67423a2d","Energy use and greenhouse gas emissions of traction alternatives for regional railways","Kapetanović, M. (TU Delft Transport and Planning); Nunez, Alfredo (TU Delft Railway Engineering); van Oort, N. (TU Delft Transport and Planning); Goverde, R.M.P. (TU Delft Transport and Planning)","","2024","This paper presents a method for estimating Well-to-Wheel (WTW) energy use and greenhouse gas (GHG) emissions attributed to the advanced railway propulsion systems implemented in conjunction with different energy carriers and their production pathways. The analysis encompasses diesel-electric multiple unit vehicles converted to their hybrid-electric, plug-in hybrid-electric, fuel cell hybrid-electric or battery-electric counterparts, combined with biodiesel or hydrotreated vegetable oil (HVO) as the first and second generation biofuels, liquefied natural gas (LNG), hydrogen and/or electricity. The method is demonstrated using non-electrified regional railway network with heterogeneous vehicle fleet in the Netherlands as a case. Battery-electric system utilizing green electricity is identified as the only configuration leading to emission-free transport while offering the highest energy use reduction by 65–71% compared to the current diesel-powered hybrid-electric system. When using grey electricity based on the EU2030 production mix, these savings are reduced to about 27–39% in WTW energy use and around 68–73% in WTW GHG emissions. Significant reductions in overall energy use and emissions are obtained for the plug-in hybrid-electric concept when combining diesel, LNG, or waste cooking oil-based HVO with electricity. The remaining configurations that reduce energy use and GHG emissions are hybrid-electric systems running on LNG or HVO from waste cooking oil. The latter led to approximately 88% lower WTW emissions than the baseline for each vehicle type. When produced from natural gas or EU2030-mix-based electrolysis, hydrogen negatively affected both aspects, irrespective of the prime mover technology. However, when produced via green electricity, it offers a GHG reduction of approximately 90% for hybrid-electric and fuel cell hybrid-electric configurations, with a further reduction of up to 92–93% if combined with green electricity in plug-in hybrid-electric systems. The results indicate that HVO from waste cooking oil could be an effective and instantly implementable transition solution towards carbon–neutral regional trains, allowing for a smooth transition and development of supporting infrastructure required for more energy-efficient and environment-friendly technologies.","Advanced propulsion systems; Energy use; Greenhouse gas emissions; Regional railway; Renewable fuels; Well-to-Wheel","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:a162b9fa-7654-4999-b7eb-5189b9f20589","http://resolver.tudelft.nl/uuid:a162b9fa-7654-4999-b7eb-5189b9f20589","Fatigue crack growth characterization of composite-to-steel bonded interface using ENF and 4ENF tests","Feng, W. (TU Delft Steel & Composite Structures); Moreira Arouche, M. (TU Delft Steel & Composite Structures); Pavlovic, M. (TU Delft Steel & Composite Structures)","","2024","In this paper, mode II fatigue crack growth properties of the composite-to-steel interface are characterised through different test configurations, namely ENF and 4ENF tests. Different loading types including force control and displacement control methods are compared. An innovative shear strain based method is proposed for monitoring the mode II crack growth at the bi-material interface through Digital Image Correlation (DIC). A 3D finite element model with Virtual Crack Closure Technique (VCCT) is built and used for obtaining the strain energy release rate (SERR) to investigate the effect of geometrical nonlinearity, friction at the interface and steel yielding, as well as to verify the mode mixity. The results show that the standard 3-point bending ENF specimen can be unstable under force control and sweeps narrow SERR range by a single test under displacement control. The 4-point bending 4ENF test shows stable crack propagation and clear SERR developing trend. More pronounced geometrical nonlinearity and friction effect exist for 4ENF test which can be considered when interpreting the Paris curves by a nonlinear finite element model.","DIC; ENF test; Fatigue debonding; Paris curves; VCCT","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:2d7c774b-a9b7-42af-857d-0a2057e97c03","http://resolver.tudelft.nl/uuid:2d7c774b-a9b7-42af-857d-0a2057e97c03","Recovery of sulfuric acid and ammonia from scrubber effluents using bipolar membrane electrodialysis: Effect of pH and temperature","Narayen, D. (TU Delft Sanitary Engineering); VAN Berlo, E.M.C. (TU Delft Sanitary Engineering); van Lier, J.B. (TU Delft Sanitary Engineering); Spanjers, H. (TU Delft Sanitary Engineering)","","2024","Simulated ammonium sulfate scrubber effluent was treated using bipolar membrane electrodialysis (BPMED) to recover sulfuric acid for reuse in the scrubber, and ammonium hydroxide as a product, without using any chemicals. The effect of pH and temperature of the feed solution on the energy consumption of the BPMED and the purity of the recovered acid and base were investigated in batch experiments. Experiments were conducted during a 3-hour period using a scrubber effluent with the following characteristics: 50 g/L ammonium sulfate, pH ranging from 1 to 5 and temperature ranging from 20 °C to 30 °C. The energy consumption at pH 5 was lower than that at pH 1, i.e., 6.9 MJ/kg SO42- and 7.7 MJ/kg SO42-, respectively. The purity of the acid recovered from the feed solution with a pH of 5 was 36 %, whereas the feed with a pH of 1 resulted in an acid purity of 72 %. These values corresponded to a mass of ammonia diffusion of 6.9 g and 2.3 g, respectively. The purity of the base recovered from the feed with a pH of 5 was 84 %, whereas this was 69 % for the feed with a pH of 1. Higher temperature of the feed solution, i.e., 30 °C compared to 20 °C, resulted in a lower energy consumption: 7.1 MJ/kg SO42- compared to 9.5 MJ/kg SO42−, respectively. The temperature had a very limited effect on the acid and base purities, with values ranging from 80 % to 82 % for the acid, and from 33 % to 36 % for the base. Our study demonstrated the effective application of BPMED for the treatment of simulated acidic scrubber effluent, with simultaneous recovery of ammonia and sulfuric acid.","Ammonia recovery; Bipolar membrane electrodialysis; pH; Sulfuric acid recovery; Temperature","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:c602540a-e3f6-4881-aa0d-ac0d01026d39","http://resolver.tudelft.nl/uuid:c602540a-e3f6-4881-aa0d-ac0d01026d39","Application of a simplified model for assessing particle removal in dissolved air flotation (DAF) systems: Experimental verification at laboratory and full-scale level","Piaggio, A.L. (TU Delft Sanitary Engineering); Smith, Geo (Nijhuis Saur Industries); de Kreuk, M.K. (TU Delft Water Management); Lindeboom, R.E.F. (TU Delft Laboratory Water Management)","","2024","Particle-bubble collisions in dissolved air flotation (DAF) systems play a crucial role in the removal of total suspended solids (TSS). DAF particle-bubble collision models incorporate factors such as particle diameters, charge and density, bubble diameters, and collision factors. The challenge lies in accounting for the wide range of particle and bubble sizes and obtaining complex model inputs. To address this, a simplified model for TSS removal in DAF units was established using low-cost laboratory measurements, including particle size distribution and density. Additionally, microbubble diameter profiles were derived from bubble velocities using particle image velocimetry software (PIV). Six independent variables, encompassing influent particle characteristics (such as particle size distribution and density) and DAF running characteristics (temperature, contact zone detention time, inflow and recycle flows), were employed in the simplified model. The model's accuracy was evaluated using a laboratory-scale DAF system with two different influents: Delft canal water and anaerobic sludge. The predicted TSS removal from the simplified model aligned well with the laboratory-scale DAF results, yielding removal efficiencies of 68 ± 1 % and 77 ± 3 % for Delft canal water and anaerobic sludge, respectively. Furthermore, when the simplified model was applied to two full-scale DAF systems, it successfully identified an underperforming system (DAF2) with a TSS removal efficiency of 91 %, contrasting with the theoretical removal model-predicted efficiency of 98 %. This study highlights the utility of combining bubble size distribution measured by PIVlab and particle size distribution obtained using FIJI-ImageJ as an economical and efficient approach to acquiring the necessary inputs for predicting TSS removal in DAF systems.","Dissolved air flotation (DAF); Particle image velocimetry (PIV); Simplified model; Suspended solids","en","journal article","","","","","","","","","","Water Management","Sanitary Engineering","","",""
"uuid:a82b630c-95e0-4a7b-8f2b-c0b2b295fe4f","http://resolver.tudelft.nl/uuid:a82b630c-95e0-4a7b-8f2b-c0b2b295fe4f","The Need to Include a Fluorine Mass Balance in the Development of Effective Technologies for PFAS Destruction","Smith, S.J. (TU Delft Sanitary Engineering); Lauria, Mélanie (Stockholm University); Higgins, Christopher P. (Colorado School of Mines); Pennell, Kurt D. (Brown University); Blotevogel, Jens (The Commonwealth Scientific and Industrial Research Organisation (CSIRO)); Arp, Hans Peter H. (Norwegian Geotechnical Institute; Norwegian University of Science and Technology (NTNU))","","2024","","analytical chemistry; mineralization; PFAS; transformation","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:cf52ea5d-6ad8-48f1-8fb3-03f42fe3c3a5","http://resolver.tudelft.nl/uuid:cf52ea5d-6ad8-48f1-8fb3-03f42fe3c3a5","Self-healing of cementitious materials using sustainable cenosphere-based manufactured aggregate","Lv, Leyang (Shenzhen University); Zhang, Xiangyu (Shenzhen University); Šavija, B. (TU Delft Materials and Environment); Zhang, Mingzhong (University College London (UCL)); Han, Kaihang (Shenzhen University); Zhang, Honghzhi (Shandong University); Pei, Chun (Shenzhen University); Zhu, Jihua (Shenzhen University); Xing, Feng (Shenzhen University)","","2024","Self-healing concrete using encapsulated healing agent has shown great potential in enhancing concrete durability. However, the capsules are expensive to make and can lower the mechanical properties of concrete. In this study, a new type of manufactured aggregate that employs waste-derived fly ash cenosphere as a carrier of healing agent (SH-CS) was designed and produced. The effect of SH-CS incorporation on hydration, engineering properties and self-healing efficiency of cement mortar was systematically evaluated, with a special focus on self-healing mechanism through the analysis of the mineral composition of the healing product. The results indicate that the prepared SH-CS has good stability in and compatibility with cement mortar. The addition of SH-CS has small influence on the fresh properties of cement mortar and less negative effect on compressive strength at the hardened stage compared to the existing study. By replacing 3 wt.% of fine aggregate with SH-CS, up to 71% of the crack opening area of mortar specimens with a crack width of about 0.3 mm was self-healed after 28 days of water exposure. The self-healing behaviour of SH-CS led to a maximal 41% drop in water adsorption and contributed to the recovery of flexural strength. The healing products precipitated on the fracture surface were mainly composed of amorphous C-S-H and Calcite. It can be estimated that incorporating SH-CS in concrete would result in only a moderate (∼29%) rise in cost for C40 concrete.","Cenosphere; Encapsulated healing agent; Engineering properties; Hydration; Microstructure; Self-healing concrete","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-21","","","Materials and Environment","","",""
"uuid:e91f3142-28d1-430f-9bb3-3341542dd073","http://resolver.tudelft.nl/uuid:e91f3142-28d1-430f-9bb3-3341542dd073","Ergonomics of spatial configurations: a voxel-based modelling framework for accessibility and visibility simulations","Azadi, S. (Eindhoven University of Technology); Bai, N. (TU Delft Heritage & Architecture); Nourian, Pirouz (University of Twente)","","2024","How can we assess the ergonomic comfort of a sizeable spatial configuration such as the indoor space of a complex building or an urban landscape when we design, plan, and manage the space? Is there a fundamental difference between indoor [architectural] spatial configurations and outdoor [urban] spatial configurations with respect to ergonomics? Can we have a unified approach to the computational study of spatial ergonomics? This paper addresses these fundamental questions while providing a brief taxonomic review of the scholarly literature on these matters from a mathematical point of view, including a brief introduction to the modelling-based approaches to the computational ways of studying the fundamental effects of spatial configuration on human behaviours. Furthermore, the paper proposes a computational approach for ergonomic assessment of spatial configurations that explicitly allows for combined accessibility and visibility analyses in the built environment. The gist of this approach is the conceptualisation of spatial configurations as rasterised (voxelated) 2D manifold walkable terrains whose voxels have 3D vistas, unifying the simulations and analyses of accessibility and visibility. The paper elaborates on how such a representation of space can provide for conducting various sorts of computational queries, analyses, and simulation experiments for research in spatial ergonomics. The paper concludes with a mapping of the computational modelling approaches pertinent to the study and assessment of spatial ergonomics; and marks avenues of future research on various categories of exploratory, generative, and associative models for ex-ante and ex-post assessment of ergonomic matters at spatial scales.","spatial ergonomics; accessibility; visibility; architectural morphology; urban morphology; simulation models","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:6e6c08cb-2258-4157-8179-1641372ac894","http://resolver.tudelft.nl/uuid:6e6c08cb-2258-4157-8179-1641372ac894","The water-soluble fraction of extracellular polymeric substances from a resource recovery demonstration plant: characterization and potential application as an adhesive","Chen, Le Min (Student TU Delft); Erol, Özlem (Universiteit Leiden); Choi, Young Hae (Universiteit Leiden); Pronk, M. (TU Delft BT/Environmental Biotechnology; Royal HaskoningDHV); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Lin, Y. (TU Delft BT/Environmental Biotechnology)","","2024","Currently, there is a growing interest in transforming wastewater treatment plants (WWTPs) into resource recovery plants. Microorganisms in aerobic granular sludge produce extracellular polymeric substances (EPS), which are considered sustainable resources to be extracted and can be used in diverse applications. Exploring applications in other high-value materials, such as adhesives, will not only enhance the valorization potential of the EPS but also promote resource recovery. This study aimed to characterize a water-soluble fraction extracted from the EPS collected at the demonstration plant in the Netherlands based on its chemical composition (amino acids, sugar, and fatty acids) and propose a proof-of-concept for its use as an adhesive. This fraction comprises a mixture of biomolecules, such as proteins (26.6 ± 0.3%), sugars (21.8 ± 0.2%), and fatty acids (0.9%). The water-soluble fraction exhibited shear strength reaching 36–51 kPa across a pH range of 2–10 without additional chemical treatment, suggesting a potential application as an adhesive. The findings from this study provide insights into the concept of resource recovery and the valorization of excess sludge at WWTPs.","","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:58938e1b-4b36-4ce1-9c63-a7fca5cbd071","http://resolver.tudelft.nl/uuid:58938e1b-4b36-4ce1-9c63-a7fca5cbd071","Partial discharge and interference discrimination in gas-insulated systems using electric and magnetic sensors","Mier Escurra, C. (TU Delft High Voltage Technology Group); Mor, A. R. (Universitat Politécnica de Valencia); Luo, T. (TU Delft High Voltage Technology Group); Vaessen, P.T.M. (TU Delft High Voltage Technology Group; Kema Labs)","","2024","The correct identification of partial discharges (PDs) is instrumental for the maintenance plan in gas-insulated systems (GIS). However, onsite PD measurements are difficult, especially in HVDC systems, where partial discharges can be confused with interference. This paper proposes a method to discern PDs from interferences based on the GIS characteristic impedance. The characteristic impedance is measured using very-high frequency electric and magnetic sensors, and it is calculated using four approaches based on the PD charge magnitude, peak value, peak-to-peak value, and frequency spectrum. The method is first tested with a PD calibrator in a matched and open-circuited GIS testbench. Then, the identification of PDs and interference is tested in a full-scale GIS, where the measurements are subjected to pulse overlapping and noise. Five types of interferences and PDs are injected into the GIS in two positions and measured in multiple mounting holes. The results show that all four approaches can precisely calculate the characteristic impedance in a matched testbench. In the full-scale GIS, these approaches show more deviation, with the peak approach being the most accurate. A practical application of the method is demonstrated using a calibrator in the full-scale GIS. The proposed method contributes to a more reliable PD monitoring system for HVDC/AC GIS and allows better maintenance planning, reducing unnecessary costs, notably for offshore substations.","Partial discharges; Interference discrimination; Gas-insulated systems; Electric sensor; Magnetic sensor","en","journal article","","","","","","","","","","","High Voltage Technology Group","","",""
"uuid:0f61aa7a-f83f-4037-b803-32f9888ee72f","http://resolver.tudelft.nl/uuid:0f61aa7a-f83f-4037-b803-32f9888ee72f","Daily schedule changes in the automated vehicle era: Uncovering the heterogeneity behind the veil of low survey commitment","Debbaghi, Fatima-Zahra (Vrije Universiteit Brussel); Kroesen, M. (TU Delft Transport and Logistics); de Vries, G. (TU Delft Organisation & Governance); Pudane, B. (TU Delft Transport and Logistics)","","2024","Automated vehicles (AVs) may transform not only our travel experience but our complete daily schedules. This study analyses the data from an interactive stated activity-travel survey using latent class cluster analysis to uncover the types and prevalence of schedule changes with AVs. The analysis reveals that the majority of respondents expected little to no changes in their schedules. Importantly however, these responses are correlated with low commitment to the survey, evident in unrealistically short response times to non-central survey parts and simpler representations of their current schedules. The remaining responses reveal significant and varied changes in activities on board and outside travel, and in commute departure times. We conclude that the prevalence of schedule changes may be underestimated in our and possibly other AV studies due to low survey commitment. Our findings also highlight diverse potential motivations behind schedule changes with AVs: while some travellers may desire to free up time for other activities during the day (time saving), others may satisfy an unmet activity need by engaging in on-board activities (time spending). Considering this heterogeneity is crucial in endeavours to quantify the total benefits and costs that automated vehicles will bring to their users.","Automated vehicles; Time use; Activity schedules; On-board activities; Latent class clustering","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-05","","","Transport and Logistics","","",""
"uuid:259ae4f1-f642-43cc-854f-5c99dd237315","http://resolver.tudelft.nl/uuid:259ae4f1-f642-43cc-854f-5c99dd237315","Contingency Games for Multi-Agent Interaction","Peters, L. (TU Delft Learning & Autonomous Control); Bajcsy, Andrea (Carnegie Mellon University); Chiu, Chih Yuan (University of California Berkeley); Fridovich-Keil, David (The University of Texas at Austin); Laine, Forrest (VanderBilt University); Ferranti, L. (TU Delft Learning & Autonomous Control); Alonso-Mora, J. (TU Delft Learning & Autonomous Control)","","2024","Contingency planning, wherein an agent generates a set of possible plans conditioned on the outcome of an uncertain event, is an increasingly popular way for robots to act under uncertainty. In this work we take a game-theoretic perspective on contingency planning, tailored to multi-agent scenarios in which a robot's actions impact the decisions of other agents and vice versa. The resulting contingency game allows the robot to efficiently interact with other agents by generating strategic motion plans conditioned on multiple possible intents for other actors in the scene. Contingency games are parameterized via a scalar variable which represents a future time when intent uncertainty will be resolved. By estimating this parameter online, we construct a game-theoretic motion planner that adapts to changing beliefs while anticipating future certainty. We show that existing variants of game-theoretic planning under uncertainty are readily obtained as special cases of contingency games. Through a series of simulated autonomous driving scenarios, we demonstrate that contingency games close the gap between certainty-equivalent games that commit to a single hypothesis and non-contingent multi-hypothesis games that do not account for future uncertainty reduction.","Contingency management; Games; Human-Aware Motion Planning; Motion and Path Planning; Pedestrians; Planning; Planning under Uncertainty; Robots; Trajectory; Uncertainty","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-16","","","Learning & Autonomous Control","","",""
"uuid:e7aa213f-4a07-4c77-a8a7-cadbed12aca9","http://resolver.tudelft.nl/uuid:e7aa213f-4a07-4c77-a8a7-cadbed12aca9","Condition-Based Maintenance scheduling of an aircraft fleet under partial observability: A Deep Reinforcement Learning approach","Tseremoglou, I. (TU Delft Air Transport & Operations); Santos, Bruno F. (TU Delft Air Transport & Operations)","","2024","In the Condition-Based Maintenance (CBM) context, the definition of optimal maintenance plans for an aircraft fleet depends on an efficient integration of : (i) the probabilistic predictions of the health condition of the components and (ii) the stochastic arrival of the corrective maintenance tasks, together with consideration of the preventive maintenance tasks as defined in the Maintenance Planning Document (MPD). To this end, in this paper, we present a two-stage dynamic scheduling framework to solve the aircraft fleet maintenance scheduling problem under a CBM strategy in a disruptive environment. In the first stage of the framework, we address the uncertainty in the predicted health state of the monitored components by planning the optimal maintenance policy based upon the belief state-space of the health of the components. The decision-making process is formulated as a Partially Observable Markov Decision Process (POMDP) and is solved using the Partially Observable Monte Carlo Planning (POMCP) algorithm, considering the aircraft maintenance scheduling problem requirements. In the second stage, a Deep Q-Network (DQN) is developed, that integrates the defined maintenance policy of the monitored components within the scheduling of the aircraft fleet's preventive and corrective maintenance tasks. Our model, through a rolling horizon approach, continuously creates and adjusts the maintenance schedule, reacting to new updated task information, where the availability of maintenance resources constraints the execution of each task. The proposed framework was tested on a case study from a large airline and the performance was evaluated against the current state practice of the airline. The results show that our model can schedule 96.4% of monitored components on-time. As a consequence of this, a 46.2% maintenance cost reduction is achieved for the considered monitored components relative to a corrective maintenance approach.","(POMDP); Condition-Based Maintenance (CBM); Deep Reinforcement Learning; Partially Observable Markov Decision Process; Partially Observable Monte–Carlo Planning (POMCP); Planning under uncertainty; Prognostics","en","journal article","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:d909f711-a050-44d1-9b24-de97a94eaa1b","http://resolver.tudelft.nl/uuid:d909f711-a050-44d1-9b24-de97a94eaa1b","Governing capabilities, not places – how to understand social sustainability implementation in urban development","Janssen, C. (TU Delft Practice Chair Urban Area Development); Daamen, T.A. (TU Delft Urban Development Management); Verheul, W.J. (TU Delft Urban Development Management)","","2024","Social sustainability’s implementation in urban development is a complex endeavour that demands alternative forms of governance. This article draws on the capabilities approach as an evaluative framework to better understand this implementation process. Through an in-depth case comparison of two Dutch urban development projects, the study analyses how collaborative governance situations (i.e. actors, activities and phases) relate to the expansions of resident capabilities in the urban areas. The findings present three principles for a ‘capability-centred governance’ of social sustainability in urban development: (1) integrate human logic into urban governance situations (2) balance strong goal commitment with experimentalist approaches and (3) institutionalise social sustainability implementation. The article concludes that social sustainability’s implementation requires a conceptualisation in which improvements in people’s lives are not seen as the self-evident consequences of a set of place-based policy interventions, but instead as a guiding principle that should continuously be reflected upon and learned from during the different phases of urban development processes.","capabilities approach; collaborative governance; policy implementation; social sustainability; urban development projects","en","journal article","","","","","","","","","","","Practice Chair Urban Area Development","","",""
"uuid:50c053b7-70c4-4ed5-b4f1-c3d10849983a","http://resolver.tudelft.nl/uuid:50c053b7-70c4-4ed5-b4f1-c3d10849983a","Adaptation of the Recreovía During COVID-19 Lockdowns: Making Physical Activity Accessible to Older Adults in Bogotá, Colombia","González, Silvia A. (Universidad de Los Andes; District Institute of Recreation and Sports, Bogotá, Colombia); Adlakha, D. (TU Delft Urban Studies); Cabas, Santiago (Universidad de Los Andes); Sánchez-Franco, Sharon C. (Universidad de Los Andes); Rubio, Maria A. (Universidad de Los Andes); Ossa, Natalia (Universidad de Los Andes); Martínez, Paola A. (Universidad de Los Andes; LOGYCA); Espinosa, Nathally (Universidad de Los Andes); Sarmiento, Olga L. (Universidad de Los Andes)","","2024","The community restrictions during the coronavirus disease 2019 pandemic adversely impacted older adults’ physical activity levels. This convergent mixed-method study assessed the adaptation of the Recreovía, a community-based physical activity program in Bogotá, and characterized physical activity levels among older adult participants. Our results showed how the Recreovía adapted during the pandemic to continue promoting physical activity, through indoor and outdoor strategies, including virtual physical activity sessions and safety protocols. During this time, 72%–79% of the older adults attending the adapted program were physically active. A greater proportion of park users (84.2%) and more people involved in vigorous physical activity were observed during Recreovía days. Older adults had positive experiences and perceptions of the Recreovía program related to their health and social well-being. Even though the older adults prefer being outdoors, the adapted program allowed participants to continue with their physical activity routines as much as possible during the pandemic.","community-based physical activity; COVID-19 pandemic; mix-method design; healthy aging; healthy ageing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-26","","","Urban Studies","","",""
"uuid:a7f84fae-2283-488d-8d22-a9ea4900014d","http://resolver.tudelft.nl/uuid:a7f84fae-2283-488d-8d22-a9ea4900014d","Real-time reconstruction of unsteady rotating forces acting by rotor blades in moving medium","Xu, Ying (Hefei University of Technology); Casalino, D. (TU Delft Wind Energy); Zhang, Xiao Zheng (Hefei University of Technology); Zhang, Yong Bin (Hefei University of Technology); Bi, Chuan Xing (Hefei University of Technology)","","2024","A time-domain inverse aeroacoustic method based on the convective Ffowcs Williams–Hawkings equation is presented. The method allows to determine, in real-time, the unsteady forces exerted on rotating blades in the presence of a moving medium. The inversion procedure is based on a space-time regularization with a mixed l1,2-norm, which guarantees accuracy and smoothness of the solution. The method is initially verified through synthetic acoustic signals emitted by rotating sources in a constant flow, up to a convective Mach number of about 0.88. Then the method is validated through signals generated by a propeller immersed in a wind-tunnel jet flow, up to a Mach number of 0.06. Due to the reduced convective Mach number, the leading aeroacoustic effect is derived from a variation of the blade loading. It is argued that the onset of flow separation at high values of the rotor advance ratio is responsible for the onset of force fluctuations that the inverse method is able to retrieve both qualitatively and quantitatively.","Inverse aeroacoustic method; Moving medium; Real-time reconstruction; Rotor noise; Unsteady rotating forces","en","journal article","","","","","","","","2024-01-01","","","Wind Energy","","",""
"uuid:b703690f-f56a-4fa7-8c96-40f983689d35","http://resolver.tudelft.nl/uuid:b703690f-f56a-4fa7-8c96-40f983689d35","Fuel consumption prediction for pre-departure flights using attention-based multi-modal fusion","Lin, Yi (Sichuan University); Guo, Dongyue (Sichuan University); Wu, Yuankai (McGill University); Li, L. (TU Delft Air Transport & Operations; City University of Hong Kong); Wu, Edmond Q. (Key Laboratory of System Control and Information Processing, Ministry of Education); Ge, Wenyi (Chengdu University of Information Technology)","","2024","Improper fuel loading decision results in carrying excessive dead weight during flight operation, which will burden the airline operation cost and cause extra waste emission. Existing works mainly focused on the post-event fuel consumption based on flight trajectory. In this work, a novel deep learning model, called FCPNet, is proposed to achieve the fuel consumption prediction (FCP) before the flight departure. Considering the influential factors for aircraft performance, the multi-modal information sources, including the planned route, weather information, and operation details, are selected as the model input to predict fuel consumption. Correspondingly, three modules are innovatively proposed to learn embedding features from multi-modal inputs. Based on the planned route, the graph convolutional network is proposed to mine the spatial correlations in the non-Eulerian route network. Considering the grid attributes of the weather information, the ConvLSTM is applied to learn abstract representations from both the temporal and spatial dimensions, in which the three-dimensional convolution neural networks are also designed to fine-tune intermediate feature maps. The fully connected layer is also proposed to learn informative features from operation details. Finally, an attention-based fusion network is presented to generate the final embedding by considering the unique contributions of the multi-modality sources, which are further applied to predict flight fuel consumption. A binary encoding representation is proposed to formulate the FCP task as a multi-binary classification problem. The proposed model is validated on a real-world dataset, and the results demonstrate that it outperforms other baselines, i.e., achieving a 6.50% mean absolute percentage error, which can practically support the airline operation and global emission control before flight departure.","Attention mechanism; ConvLSTM; Graph convolutional network; Multi-modal fusion; Pre-departure fuel consumption prediction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-26","","","Air Transport & Operations","","",""
"uuid:6b600371-f799-449e-8f95-517ebaef978b","http://resolver.tudelft.nl/uuid:6b600371-f799-449e-8f95-517ebaef978b","Optimally reconfiguring list and correspondence colourings","Cambie, Stijn (Radboud Universiteit Nijmegen; University of Warwick; Katholieke Universiteit Leuven); Cames van Batenburg, W.P.S. (TU Delft Discrete Mathematics and Optimization); Cranston, Daniel W. (Virginia Commonwealth University)","","2024","The reconfiguration graph Ck(G) for the k-colourings of a graph G has a vertex for each proper k-colouring of G, and two vertices of Ck(G) are adjacent precisely when those k-colourings differ on a single vertex of G. Much work has focused on bounding the maximum value of diamCk(G) over all n-vertex graphs G. We consider the analogous problems for list colourings and for correspondence colourings. We conjecture that if L is a list-assignment for a graph G with |L(v)|≥d(v)+2 for all v∈V(G), then diamCL(G)≤n(G)+μ(G). We also conjecture that if (L,H) is a correspondence cover for a graph G with |L(v)|≥d(v)+2 for all v∈V(G), then diamC(L,H)(G)≤n(G)+τ(G). (Here μ(G) and τ(G) denote the matching number and vertex cover number of G.) For every graph G, we give constructions showing that both conjectures are best possible, which also hints towards an exact form of Cereceda's Conjecture for regular graphs. Our first main result proves the upper bounds (for the list and correspondence versions, respectively) diamCL(G)≤n(G)+2μ(G) and diamC(L,H)(G)≤n(G)+2τ(G). Our second main result proves that both conjectured bounds hold, whenever all v satisfy |L(v)|≥2d(v)+1. We conclude by proving one or both conjectures for various classes of graphs such as complete bipartite graphs, subcubic graphs, cactuses, and graphs with bounded maximum average degree. The full paper can also be found at arxiv.org/abs/2204.07928.","","en","journal article","","","","","","","","","","","Discrete Mathematics and Optimization","","",""
"uuid:6c851b17-4bde-48d5-84f4-b43220d9c3dc","http://resolver.tudelft.nl/uuid:6c851b17-4bde-48d5-84f4-b43220d9c3dc","Less stick more carrot? Increasing the uptake of deposit contract financial incentives for physical activity: A randomized controlled trial","de Buisonjé, David R. (Universiteit Leiden); Reijnders, T. (TU Delft Design Aesthetics; Universiteit Leiden); Cohen Rodrigues, Talia R. (Universiteit Leiden); Santhanam, Prabhakaran (ETH Zürich); Kowatsch, Tobias (ETH Zürich; University of Zürich; University of St. Gallen); Breeman, Linda D. (Universiteit Leiden); Janssen, Veronica R. (Universiteit Leiden; Leiden University Medical Center); Kraaijenhagen, Roderik A. (Hearts4people Foundation); Kemps, Hareld M.C. (Maxima Medical Center, Veldhoven; Eindhoven University of Technology); Evers, A.W.M. (TU Delft Applied Ergonomics and Design; Universiteit Leiden; Erasmus Universiteit Rotterdam)","","2024","Background: Financial incentives are a promising tool to help people increase their physical activity, but they are expensive to provide. Deposit contracts are a type of financial incentive in which participants pledge their own money. However, low uptake is a crucial obstacle to the large-scale implementation of deposit contracts. Therefore, we investigated whether (1) matching the deposit 1:1 (doubling what is deposited) and (2) allowing for customizable deposit amounts increased the uptake and short term effectiveness of a deposit contract for physical activity. Methods: In this randomized controlled trial, 137 healthy students (age M = 21.6 years) downloaded a smartphone app that provided them with a tailored step goal and then randomized them to one of four experimental conditions. The deposit contract required either a €10 fixed deposit or a customizable deposit with any amount between €1 and €20 upfront. Furthermore, the deposit was either not matched or 1:1 matched (doubled) with a reward provided by the experiment. During 20 intervention days, daily feedback on goal progress and incentive earnings was provided by the app. We investigated effects on the uptake (measured as agreeing to participate and paying the deposit) and effectiveness of behavioral adoption (measured as participant days goal achieved). Findings: Overall, the uptake of deposit contracts was 83.2%, and participants (n = 113) achieved 14.9 out of 20 daily step goals. A binary logistic regression showed that uptake odds were 4.08 times higher when a deposit was matched (p = .010) compared to when it was not matched. Furthermore, uptake odds were 3.53 times higher when a deposit was customizable (p = .022) compared to when it was fixed. Two-way ANCOVA showed that matching (p = .752) and customization (p = .143) did not impact intervention effectiveness. However, we did find a marginally significant interaction effect of deposit matching X deposit customization (p = .063, ηp2 = 0.032). Customization decreased effectiveness when deposits were not matched (p = .033, ηp2 = 0.089), but had no effect when deposits were matched (p = .776, ηp2 = 0.001). Conclusions: We provide the first experimental evidence that both matching and customization increase the uptake of a deposit contract for physical activity. We recommend considering both matching and customization to overcome lack of uptake, with a preference for customization since matching a deposit imposes significant additional costs. However, since we found indications that customizable deposits might reduce effectiveness (when the deposits are not matched), we urge for more research on the effectiveness of customizable deposit contracts. Finally, future research should investigate which participant characteristics are predictive of deposit contract uptake and effectiveness. Pre-registration: OSF Registries, https://osf.io/cgq48.","Behavior change; Deposit contracts; eHealth; Financial incentives; Physical activity; Rewards","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:08269340-4715-4af0-b3c9-4aaed36771ab","http://resolver.tudelft.nl/uuid:08269340-4715-4af0-b3c9-4aaed36771ab","On a multiple time-scales perturbation analysis of a Stefan problem with a time-dependent Dirichlet boundary condition","Ihsan, A.F. (TU Delft Mathematical Physics; Institute of Technology Bandung); van Horssen, W.T. (TU Delft Mathematical Physics); Tuwankotta, J.M. (TU Delft Mathematical Physics; Institute of Technology Bandung)","","2024","In this paper, a classical Stefan problem with a prescribed and small time-dependent temperature at the boundary is studied. By using a multiple time-scales perturbation method, it is shown analytically how the moving boundary profile is influenced by the prescribed temperature at the boundary and the initial conditions. Only a few exact solutions are available for this type of problems and it turns out that the constructed approximations agree very well with these exact solutions. In particular, approximations of solutions for this type of problems, with periodic and decaying temperatures at the boundary, are constructed. Furthermore, these approximations are valid on a long time scale, and seems to be not available in the literature.","Multiple time-scales; Stefan problem; Time-dependent boundary temperature","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-08","","","Mathematical Physics","","",""
"uuid:99c9eaf6-7253-461b-870a-5fb24f201bb6","http://resolver.tudelft.nl/uuid:99c9eaf6-7253-461b-870a-5fb24f201bb6","Stereological determination of particle size distributions for similar convex bodies","van der Jagt, T.F.W. (TU Delft Statistics); Jongbloed, G. (TU Delft Statistics); Vittorietti, M. (TU Delft Statistics; Università degli Studi di Palermo)","","2024","Consider an opaque medium that contains 3D particles. All particles are convex bodies of the same shape, but they vary in size. The particles are randomly positioned and oriented within the medium and cannot be observed directly. Taking a planar section of the medium we obtain a sample of observed 2D section profile areas of the intersected particles. In this paper, the distribution of interest is the underlying 3D particle size distribution for which an identifiability result is obtained. Moreover, a non-parametric estimator is proposed for this size distribution. The estimator is proven to be consistent and its performance is assessed in a simulation study.","consistency; EM; iterative convex minorant; Mellin transform; particle system; Stereology","en","journal article","","","","","","","","","","","Statistics","","",""
"uuid:ea315b12-deb8-428d-b0ab-342abfb02812","http://resolver.tudelft.nl/uuid:ea315b12-deb8-428d-b0ab-342abfb02812","Analysis of alkali-activated mineral wool-slag binders: evaluating the differences between one-part and two-part variations","Adesanya, Elijah (University of Oulu); Dabbebi, Rawia (University of Oulu); Rößler, Christine (Bauhaus University Weimar); Pavlin, Majda (Slovenian National Building and Civil Engineering Institute); Li, Z. (TU Delft Materials and Environment); Luukkonen, Tero (University of Oulu); Yliniemi, Juho (University of Oulu); Illikainen, Mirja (University of Oulu)","","2024","Two synthesis pathways (one- and two-part) in alkali-activated binders were compared using ground granulated blast furnace slag (GGBFS), mineral wool (MW) activated using dry and liquid alkali activators with similar Na2O/SiO2 modulus. The effect of activator type on reaction kinetics, strength development, setting times, and durability shows that one-part synthesis does not only improve early strength, but also provide better durability properties. While the highest compressive strength (56 MPa, 90 days) was achieved for the one-part mix (DM), the reaction products (presence of Mg–Al layered double hydroxide and C–S–H-like phases) observed for both mortar mixes were similar. The DM mortars showed better resistance to sulfate attack than two-part mix (WM) mortars and sets faster. The results highlight the significance of the one-part pathways in the synthesis of alkali-activated materials.","Alkali activation; Blast furnace slag; Glass wool; One-part; Stone wool; Two-part","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:ecd64e47-cb1f-415a-9748-3df608eba234","http://resolver.tudelft.nl/uuid:ecd64e47-cb1f-415a-9748-3df608eba234","What factors influence the intention to use electric motorcycles in motorcycle-dominated countries? An empirical study in Vietnam","Nguyen-Phuoc, Duy Quy (The University of Da Nang); Truong, Thi Minh (The University of Da Nang); Nguyen, Minh Hieu (University of Transport and Communications); Pham, Huong Giang (Foreign Trade University); Li, Z. (Huazhong University of Science and Technology); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science)","","2024","Transitioning to electric motorcycles (EMs) can reduce dependence on carbon-based fuels, mitigate air pollution, and lower greenhouse gas emissions in countries where motorcycles dominate the transportation landscape. However, the factors influencing fleet electrification in these countries remain largely unknown. Given that this challenge pertains to the prior adoption of new technology, technology acceptance theories can provide valuable insights into the adoption of EMs. Additionally, misinformation about EM risks and self-assessment of knowledge could interact as determinants of adoption. This study integrates the Technology Acceptance Model (TAM), the Theory of Planned Behavior (TPB), and perceived risk to elucidate the impact of psychosocial factors on consumers' intentions to use EMs. Furthermore, it examines the direct and moderating effect of subjective knowledge about EM technology on the formation of this behavioral intention. Structural Equation Modeling (SEM) analysis was applied to investigate data collected from 762 respondents through personal interviews using a questionnaire. The results confirm that all original TAM and TPB constructs significantly influence behavioral intention, aligning with theoretical expectations. As hypothesized, perceived risk was found to exert a negative influence on the intention to adopt EMs. Additionally, subjective knowledge was observed to moderate the relationship between perceived usefulness and subjective norms concerning the intention to use EMs. These findings offer a roadmap for developing strategies aimed at encouraging adoption intentions toward EMs, particularly in countries like Vietnam.","Air pollution; Electric motorcycles; Perceived risk; Subjective knowledge; Sustainable transport","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-27","","","Safety and Security Science","","",""
"uuid:137ced4a-b7c4-427b-b699-c31ee2a3fcd6","http://resolver.tudelft.nl/uuid:137ced4a-b7c4-427b-b699-c31ee2a3fcd6","Kinematic Analysis and Application to Control Logic Development for RHex Robot Locomotion","Burzyński, Piotr (Bialystok University of Technology); Pawłuszewicz, Ewa (Bialystok University of Technology); Ambroziak, Leszek (Bialystok University of Technology); Sharma, Suryansh (TU Delft Networked Systems)","","2024","This study explores the kinematic model of the popular RHex hexapod robots which have garnered considerable interest for their locomotion capabilities. We study the influence of tripod trajectory parameters on the RHex robot’s movement, aiming to craft a precise kinematic model that enhances walking mechanisms. This model serves as a cornerstone for refining robot control strategies, enabling tailored performance enhancements or specific motion patterns. Validation conducted on a bespoke test bed confirms the model’s efficacy in predicting spatial movements, albeit with minor deviations due to motor load variations and control system dynamics. In particular, the derived kinematic framework offers valuable insights for advancing control logic, particularly navigating in flat terrains, thereby broadening the RHex robot’s application spectrum.","C-legged hexapod; mobile robot; walking robot; kinematics modeling; simulation","en","journal article","","","","","","This article belongs to the Special Issue Mobile Robots: Navigation, Control and Sensing.","","","","","Networked Systems","","",""
"uuid:de7b7d5c-8376-4979-8b93-0f20e135e823","http://resolver.tudelft.nl/uuid:de7b7d5c-8376-4979-8b93-0f20e135e823","Environmental risk assessment related to using resource recovery‑based bio‑composite materials in the aquatic environment with new laboratory leaching test data","Nativio, A. (TU Delft Sanitary Engineering); Jovanovic, O. (TU Delft Sanitary Engineering); van der Hoek, J.P. (TU Delft Sanitary Engineering); Kapelan, Z. (TU Delft Sanitary Engineering)","","2024","The concept of circular economy, aiming at increasing the sustainability of products and services in the water and other sectors, is gaining momentum worldwide. Driven by this concept, novel bio-composite materials produced by recovering resources from different parts of the water cycle are now manufactured in The Netherlands. The new materials are used for different products such as canal bank protection elements, as an alternative to similar elements made of hardwood. As much as these new materials are appealing from the sustainability point of view, they may leach toxic substances into the aquatic environment given some of their ingredients, e.g., cellulose recovered from wastewater treatment. Therefore, a methodology for the assessment of related environmental risks is needed and it does not exist currently. This paper addresses this knowledge gap by presenting a framework for this. The framework is based on European environmental risk assessment guidelines, and it includes four key steps: (i) hazard identification, (ii) dose–response modelling, (iii) exposure assessment and (iv) risk characterisation (i.e. assessment). As part of the first step, laboratory leaching tests were carried out to evaluate the potential release of specific chemical substances such as heavy metals and resin compounds into the aquatic environment. Laboratory test results were then used as input data to evaluate the risk of potential leaching from canal bank protection elements into surface water. A deterministic model was used first to identify the chemicals exceeding the guideline threshold. Subsequently, a stochastic model was applied to evaluate the environmental risks across a range of leachate concentrations and water velocities in the canal, thereby simulating a broader spectrum of possible situations. The risk analyses were conducted for four alternative bio-composite materials made of different ingredients, two different flow conditions (stagnant water and advective flow) in two types of canals (wide ditch and primary watercourse) and for two different water levels based on season conditions (summer and winter conditions). The results obtained from leaching tests identified Cu, Mn, Zn, styrene and furfuryl alcohol as potentially troublesome chemicals. In the case of stagnant water, the absence of a flow rate increases the residence time of the chemicals in the surface water, resulting in a higher PEC/PNEC (i.e. risk) value. However, under stagnant case conditions, environmental risks for all chemicals considered turned out to be below the safety threshold. In the advective case, the existence of a flow rate, even at low velocities simulating the conditions of ‘almost no flow,’ contributes to increased dilution, resulting in lower PEC/PNEC ratio values. The results presented here, even though representing real-case scenarios, are only indicative as these are based on laboratory leaching tests and a number of assumptions made. Additional field tests involving collecting and analysing water and sediment samples from the canal where the canal bank protection elements are located, over a prolonged period, are required to come up with more conclusive findings.","Bio-composite materials; Canal bank protection environmental risk assessment; Heavy metal contamination; Leaching tests; Sensitivity analysis; Surface water","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:406ff669-7a1c-48e7-ba22-ff917ce0094f","http://resolver.tudelft.nl/uuid:406ff669-7a1c-48e7-ba22-ff917ce0094f","Maximizing ollie height by optimizing control strategy and skateboard geometry using direct collocation","Heinen, Jan T. (Student TU Delft); Brockie, S.G. (TU Delft Biomechatronics & Human-Machine Control); ten Broek, Raymund (Urbansports Performance Centre); van der Kruk, E. (TU Delft Biomechatronics & Human-Machine Control); Moore, J.K. (TU Delft Biomechatronics & Human-Machine Control)","","2024","The ollie is the base aerial human–board maneuver, foundational to most modern skateboarding tricks. We formulate and solve an optimal control problem of a two-dimensional simplified human model and a rigid body skateboard with the objective of maximizing the height of the ollie. Our solution simultaneously discovers realistic human-applied force trajectories and optimal board geometry. We accomplish this with a direct collocation formulation using a null seed initial guess by carefully modeling the discontinuous aspects of board–ground impact and foot–board friction. This leads to efficient and robust solutions that are 10 times more computationally efficient than prior work on similar problems. The solutions show that ollie height can increase 3% by decreasing the wheelbase and that a smaller board with a back-foot-dominated force strategy can give 12% higher ollies. Our model can be used to inform jump strategy and the effects of changes to the essential board geometry.","Direct collocation; Friction; Impact; Optimal control; Parameter optimization; Skateboarding; Trajectory optimization","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:4386cd46-00c0-475a-bcfd-3e56380b9f3c","http://resolver.tudelft.nl/uuid:4386cd46-00c0-475a-bcfd-3e56380b9f3c","A Fully-Focused SAR Omega-K Closed-Form Algorithm for the Sentinel-6 Radar Altimeter: Methodology and Applications","Hernandez-Burgos, Sergi (Universitat Politecnica de Catalunya); Gibert, Ferran (IsardSAT); Broquetas, Antoni (Universitat Politecnica de Catalunya); Kleinherenbrink, M. (TU Delft Mathematical Geodesy and Positioning); De la Cruz, Adrian Flores (IsardSAT); Gomez-Olive, Adria (IsardSAT); Garcia-Mondejar, Albert (IsardSAT); Aparici, Monica Roca i. (IsardSAT)","","2024","The 2-D frequency-based omega-K method is known to be a suitable algorithm for fully focused SAR (FF-SAR) radar altimeter processors, as its computational efficiency is much higher than equivalent time-based alternatives without much performance degradation. In this article, we provide a closed-form description of a 2-D frequency-domain omega-K algorithm specific for instruments such as Poseidon-4 onboard Sentinel-6. The processor is validated with real data from point targets and over the open ocean. Applications such as ocean swell retrieval and lead detection are demonstrated, showing the potentiality of the processor for future operational global-scale products.","Altimetry; delay/Doppler altimetry; Earth; Fully-Focused SAR; omega-K; Program processors; Radar antennas; SAR altimetry; Satellites; Spaceborne radar; Synthetic aperture radar; synthetic aperture radar (SAR)","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:98dbb10d-8911-49d2-8a36-17fc5be73129","http://resolver.tudelft.nl/uuid:98dbb10d-8911-49d2-8a36-17fc5be73129","Stationary-phase analysis of time-shift extended imaging in a constant-velocity model","Mulder, W.A. (TU Delft Applied Geophysics and Petrophysics; Shell Global Solutions International B.V.)","","2024","To estimate the depth errors in a subsurface model obtained from the inversion of seismic data, the stationary-phase approximation in a two-dimensional constant-velocity model with a dipped reflector is applied to migration with a time-shift extension. This produces two asymptotic solutions: one is a straight line, and the other is a curve. If the velocity differs from the true one, a closed-form expression of the depth error follows from the depth and apparent dip of the reflector as well as the position of the amplitude peak at a non-zero time shift, where the two solutions meet and the extended migration image focuses. The results are compared to finite-frequency results from a finite-difference code. A two-dimensional synthetic example with a salt diapir illustrates how depth errors can be estimated in an inhomogeneous model after inverting the seismic data for the velocity model.","computing aspects; inverse problem; mathematical formulation; seismics; wave","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:48d9c7aa-c573-439d-8d5f-454127a4886b","http://resolver.tudelft.nl/uuid:48d9c7aa-c573-439d-8d5f-454127a4886b","Atmospheric Correction Algorithms Assessment for Sentinel-2A Imagery over Inland Waters of China: Case Study, Qiandao Lake","Allam, Mona (Chinese Academy of Sciences; National Water Research Center, Egypt); Meng, Qingyan (Chinese Academy of Sciences; University of Chinese Academy of Sciences; Hainan Aerospace Information Research Institute); Elhag, Mohamed (King Abdulaziz University; Chinese Academy of Sciences; CI-HEAM/Mediterranean Agronomic Institute of Chania; German University of Technology in Oman); Giardino, Claudia (Institute for Electromagnetic Sensing of the Environment); Ghirardi, Nicola (Institute for Electromagnetic Sensing of the Environment); Su, Yi (Fudan University); Al-Hababi, Mohammed A.M. (University of Chinese Academy of Sciences); Menenti, M. (TU Delft Optical and Laser Remote Sensing)","","2024","Around 90% of the oceanic and inland waters’ reflectance registered in satellite detectors comes from the atmospheric contribution. Hence the water-leaving radiances in the Near-InfraRed (NIR) region are above the zero value over inland waters because of sediments and dissolved organic particles, this radiance cannot be ignored. To accurately retrieve water quality parameters from water-leaving reflectance, atmospheric correction is the most important step. This study evaluated five reliable atmospheric correction algorithms (AC) known as: (ACOLITE, C2RCC, iCOR, 6SV, and Sen2Cor) against optical in-situ measurements collected above the water in Qiandao Lake, China using Sentinel-2 Multi-Spectral Imager. 60 in-situ water samples and optical measurements (range 400–900 nm) above the water were collected at different points in Qiandao Lake. The spectra measurements were used to validate the atmospheric correction processors. All ACs that were evaluated showed high levels of uncertainty. ACOLITE and ICOR performed the best statistics with root mean square differences (RMSD) (0.006 sr−1) while Sen2Cor achieved the lowest RMSD (0.023 sr−1) across the different modules. ACOLITE, had a better performance when applied to meso- and hypereutrophic waters, compared with oligotrophic, while C2RCC performs better at the wavelength of 833 nm (0.007 sr−1). Finally, 6S performs better at the wavelength of 665 nm (0.015 sr−1). This study introduces insights and addresses a significant research gap in the field of atmospheric correction for satellite imagery over inland waters. Prior studies have primarily focused on atmospheric correction algorithms for coastal and open ocean environments while few studies focused on the unique characteristics and challenges associated with inland water bodies. The findings of this study are crucial for researchers, remote sensing experts, and environmental scientists working with Sentinel-2A imagery, as it enables them to make more accurate and reliable interpretations of water quality and other environmental parameters derived from satellite data.","Atmospheric correction; Inland Water; Qiandao Lake; Sentinel-2","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-18","","","Optical and Laser Remote Sensing","","",""
"uuid:e6c7d642-e759-413c-bb05-acbe889c1a31","http://resolver.tudelft.nl/uuid:e6c7d642-e759-413c-bb05-acbe889c1a31","Improved drought forecasting in Kazakhstan using machine and deep learning: a non-contiguous drought analysis approach","Sadrtdinova, Renata (IHE Delft Institute for Water Education); Perez, Gerald Augusto Corzo (IHE Delft Institute for Water Education); Solomatine, D.P. (TU Delft Water Resources; IHE Delft Institute for Water Education; Water Problems Institute of Russian Academy of Sciences)","","2024","Kazakhstan is recently experiencing an increase in drought trends. However, low-capacity probabilistic drought forecasts and poor dissemination have led to a drought crisis in 2021 that resulted in the loss of thousands of livestock. To improve drought forecasting accuracy, this study applies Machine Learning and Deep Learning (ML and DL) algorithms to capture the sequences of drought events using a non-contiguous drought analysis (NCDA). Precipitation, 2-m temperature, runoff, solar radiation, relative humidity, and evaporation were collected from the ERA5 database as input variables. Combinations of inputs were used to build ML models, including seven classifiers (Logistic, K-NN, Kernel SVM, Decision Tree, Random Forest, XGBoost, and GRU). The output events were defined by standardized precipitation index (SPI) and SPEI indicators as binary classes. Weekly time series from 1991 to 2021 for each cell were used to forecast a lead time from 1 week to 6 months. GRU provided 97–99% accuracy in more volatile regions while Random Forest and XGBoost showed 94–99% accuracy at a lead time of 6 months. The accuracy evaluation was based on the confusion matrix and F1 score to analyze the stage change capture. This study demonstrates the effectiveness of using ML and DL algorithms for drought forecasting, with potential applications for other regions.","deep learning; machine learning; NCDA; spatiotemporal drought forecasting; SPEI; SPI","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:83bf377c-cb5d-4a4c-a018-8054c23fca50","http://resolver.tudelft.nl/uuid:83bf377c-cb5d-4a4c-a018-8054c23fca50","System-Wide Effects of Local Bed Disturbance on the Morphological Evolution of a Bifurcating Channel Network","Gao, Weilun (Guangdong University of Technology); Shao, Dongdong (Beijing Normal University); Wang, Zhengbing (TU Delft Coastal Engineering; Deltares); Zhu, Zhenchang (Guangdong University of Technology; Southern Marine Science and Engineering Guangdong Laboratory); Yang, Zhifeng (Guangdong University of Technology; Southern Marine Science and Engineering Guangdong Laboratory)","","2024","Deltaic channel networks are important conduits for water and material supplies to the fluvial and coastal communities. However, increasing human interventions in river deltas have altered the topology and geometry of channel networks as well as their long-term evolution. While the morphological evolution of a single channel has received extensive studies, the system-wide morphological responses of channel networks to local disturbances remain largely unclear. Here we investigate the morphological responses of a bifurcating channel network subject to local disturbance of channel deepening due to dredging and sand mining through idealized simulations, and further compare the results with the reference scenarios of a single channel and theoretical analysis of the phase plane. The results show that the infilling of the local deepening is associated with the erosion of the entire branch, which also causes system-wide effects on the siltation of the other branch. The morphological responses of the bifurcating channel network consist of a relatively short stage for the infilling of the local deepening followed by a relatively long stage for recovering the equilibrium configuration of the river bifurcation. The system-wide effects of the local disturbance arise from the altered water surface slope and water partitioning downstream of the bifurcation due to the local deepening. Also, the prolonged recovery of the equilibrium configuration is consistent with theoretical analysis, which reveals a slow evolution of the bifurcation when approaching the equilibrium. Our results can help understand the long-term morphological responses of large-scale complex channel networks and inform water managements under increasing human interventions.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-26","","","Coastal Engineering","","",""
"uuid:ab018a53-1a65-4fa4-be77-37e23dd90abe","http://resolver.tudelft.nl/uuid:ab018a53-1a65-4fa4-be77-37e23dd90abe","Accounting for uncertainties in forecasting tropical-cyclone-induced compound flooding","Nederhoff, C.M. (TU Delft Coastal Engineering; Deltares; IHE Delft Institute for Water Education); van Ormondt, M. (Deltares); Veeramony, Jay (NASA Stennis Space Center); van Dongeren, Ap (IHE Delft Institute for Water Education; Deltares); Antolínez, José A. Á. (TU Delft Coastal Engineering); Leijnse, Tim (Deltares; Vrije Universiteit Amsterdam); Roelvink, D. (IHE Delft Institute for Water Education; Deltares)","","2024","Tropical-cyclone impacts can have devastating effects on the population, infrastructure, and natural habitats. However, predicting these impacts is difficult due to the inherent uncertainties in the storm track and intensity. In addition, due to computational constraints, both the relevant ocean physics and the uncertainties in meteorological forcing are only partly accounted for. This paper presents a new method, called the Tropical Cyclone Forecasting Framework (TC-FF), to probabilistically forecast compound flooding induced by tropical cyclones, considering uncertainties in track, forward speed, and wind speed and/or intensity. The open-source method accounts for all major relevant physical drivers, including tide, surge, and rainfall, and considers TC uncertainties through Gaussian error distributions and autoregressive techniques. The tool creates temporally and spatially varying wind fields to force a computationally efficient compound-flood model, allowing for the computation of probabilistic wind and flood hazard maps for any oceanic basin in the world as it does not require detailed information on the distribution of historical errors. A comparison of TC-FF and JTWC operational ensembles, both based on DeMaria et al. (2009), revealed minor differences of <10 %, suggesting that TC-FF can be employed as an alternative, for example, in data-scarce environments. The method was applied to Cyclone Idai in Mozambique. The underlying physical model showed reliable skill in terms of tidal propagation, reproducing the storm surge generation during landfall and flooding near the city of Beira (success index of 0.59). The method was successfully applied to forecasting the impact of Idai with different lead times. The case study analyzed needed at least 200 ensemble members to get reliable water levels and flood results 3 d before landfall (<1 % flood probability error and <20 cm sampling errors). Results showed the sensitivity of forecasting, especially with increasing lead times, highlighting the importance of accounting for cyclone variability in decision-making and risk management.","","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:acf174cb-4aa1-4a52-81e0-7afe94aec072","http://resolver.tudelft.nl/uuid:acf174cb-4aa1-4a52-81e0-7afe94aec072","Hybrid geometry sets for global registration of cross-source geometric data","Li, Minglei (Nanjing University of Aeronautics and Astronautics); Peng, Shu (Nanjing University of Aeronautics and Astronautics); Nan, L. (TU Delft Urban Data Science)","","2024","We propose a concept of hybrid geometry sets for registering cross-source geometric data. Specifically, our method focuses on the coarse registration of geometric data obtained from laser scanning and photogrammetric reconstruction. Due to different characteristics (e.g., variations in noise levels, density, and scales), achieving accurate registration between these data becomes a challenging task. The proposed method uses geometric structures to construct hybrid geometry sets, and the geometric relations between the elements of a hybrid geometry set are encoded in a hybrid feature space. This enables effective and efficient similarity query and correspondence establishment between the hybrid geometry sets. The proposed global registration method works in three steps. Firstly, a set of hybrid geometry sets is constructed using extracted planes and intersection lines. Then the features of the hybrid geometry sets are computed to encode the relative pose and topological relationships between the extracted planes and intersection lines, and their correspondences between the two inputs are established by querying hybrid geometry sets with similar features. Finally, the global registration parameters are calculated using the correspondences, and the registration result is further refined through continuous optimization. The robustness of the method has been evaluated using different real-world cross-source geometric data of urban scenes. Extensive comparisons with state-of-the-art algorithms have also demonstrated its effectiveness.","Cross-source geometric data; Global registration; Hybrid geometry sets; Scale restoration","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:eefa4cec-3d38-41bd-ab13-13c9cce3fd70","http://resolver.tudelft.nl/uuid:eefa4cec-3d38-41bd-ab13-13c9cce3fd70","Subsidy allocation for residential building energy retrofit: A perspective of families' incomes","You, K. (TU Delft Design & Construction Management; Chongqing University; Beijing Institute of Technology); Qian, QK (TU Delft Design & Construction Management); Cai, Weiguang (Chongqing University); Wang, Xia (Southwestern University of Finance and Economics); Visscher, H.J. (TU Delft Design & Construction Management)","","2024","High household end-user services demand of high-income families results in higher energy consumption compared with low-income families, indicating high-income families may save more energy from similar building energy retrofitting (BER) strategies. Therefore, current BER subsidy policies, which consider technique indicators and ignore families' income, will make high-income families' recovery costs faster, and can't maximize the incentive for residents’ BER awareness. To formulate a equitable and efficient subsidy policies considering families’ income, this study selected Chongqing as the study case and employed propensity scores matching method to evaluate BER's actual energy savings performance for families with different incomes. Meanwhile, the BER subsidies are reallocated based on the dynamic cost payback period. The results indicated that, following BER, the energy savings of high-income families (7.36 kWh/m2) were higher than the mid- (3.96 kWh/m2) and low-income (3.25 kWh/m2) families. Notably, under current subsidy policies, the cost payback period of low-income families is nearly 2.55 and 3.14 times of the mid-income (6.61 years) and high-income (5.37 years) groups, respectively. This study suggests a subsidy of 32.57 yuan/m2, 20.27 yuan/m2, and 15.38 yuan/m2 for low-income, mid-income, and high-income families, respectively. These results provide novel insights into the actual energy-saving performance of residential buildings and help policymakers to formulate fair subsidy policies.","Actual energy savings performance; Building energy efficiency standard; Building energy retrofit; Income; Subsidy allocation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-28","","","Design & Construction Management","","",""
"uuid:ae7cee14-edbb-4b00-8010-fff67d5b69f5","http://resolver.tudelft.nl/uuid:ae7cee14-edbb-4b00-8010-fff67d5b69f5","Complicating the debate: Evaluating the potential of gas-chromatography-mass spectrometry for differentiating prehistoric aceramic tar production techniques","Chasan, R. (TU Delft Team Joris Dik); Baron, L.I. (TU Delft ChemE/O&O groep); Kozowyk, P.R.B. (TU Delft Team Joris Dik); Langejans, G.H.J. (TU Delft Team Joris Dik; University of Johannesburg)","","2024","Birch bark tar was used extensively throughout human history. While later ceramic-based production technologies are known, prehistoric aceramic techniques leave little to no archaeological evidence. Experimental tar production attempts to fill this gap and suggest potential techniques. However, their archaeological relevance is unclear. Through an in-depth biomolecular analysis using Gas Chromatography-Mass Spectrometry, this study attempts to differentiate tars produced using four experimental aceramic techniques: condensation, ash mound, pit roll, and raised structure. In doing so we publish the largest collection of GC-MS results of aceramic birch tars. The results show that pentacyclic triterpenoids, characteristic of birch bark, vary between the production techniques in relation to heating exposure and perhaps the tar collection method. This allows for a tentative identification of tars produced through the condensation and ash mound techniques, which were formed consistently using short periods of heating and collected systematically by scraping. In contrast, tars produced using the pit roll and raised structure techniques do not have consistent molecular signatures. Despite the partial success of Gas Chromatography-Mass Spectrometry, the archaeological relevance is questioned because this technique is only applicable to samples from optimum lipid preservation conditions when a high number of pentacyclic triterpenoids are preserved. Therefore, using Gas Chromatography-Mass Spectrometry to determine the transformation methods of organics, like birch bark, may not be an appropriate standalone technique to fairly discuss the technological capabilities of past populations.","Adhesives; Ancient technology; Birch tar; Gas Chromatography-mass spectrometry; Organic residue analysis; Prehistory; Terpenoids","en","journal article","","","","","","","","","","","Team Joris Dik","","",""
"uuid:5143fd20-6abd-4d34-b60f-5034124dc1e9","http://resolver.tudelft.nl/uuid:5143fd20-6abd-4d34-b60f-5034124dc1e9","The potential of end-of-life ships as a floating seawall and the methodical use of gap resonance for wave attenuation","Wang, G. (TU Delft Ship Hydromechanics and Structures; Technion); Bar, Daniel (Technion); Schreier, S. (TU Delft Ship Hydromechanics and Structures)","","2024","This study examines the potential of a new type of floating seawall, made up of retired large-scale oceangoing vessels, to be used in open water and exposed coastal areas. The main objectives of the research are to assess the effectiveness of the floating seawall concept, to determine the contribution of the gap resonance to wave attenuation, and to compare the results of physical tests with those obtained numerically using ANSYS-AQWA. The use of end-of-life ships in this way provides a unique opportunity to extend their life cycle and reduce the environmental and human health risks associated with the current practice of shipbreaking. The research focuses on a multimodule floating seawall configuration, where each module is composed of two hulls that are rigidly connected side by side, with a small gap to induce gap resonance. The results suggest that end-of-life ships can be used as a resource for the construction of floating seawalls for various marine applications. Furthermore, the results demonstrate the positive influence of the gap resonance on the wave attenuation capacity of the seawall, as well as the limitations of the numerical tool in providing realistic values in this region.","Floating breakwaters; Floating structures; Gap resonance; Hydrodynamic interaction; Ocean and sea space utilization; Wave transmission coefficient","en","journal article","","","","","","","","","","","Ship Hydromechanics and Structures","","",""
"uuid:a0cd6902-6dc9-43dc-9779-6098e29843ad","http://resolver.tudelft.nl/uuid:a0cd6902-6dc9-43dc-9779-6098e29843ad","The concept of circular water value and its role in the design and implementation of circular desalination projects. The case of coal mines in Poland","Xevgenos, Dimitris (TU Delft Energie and Industrie; Sealeau); Tourkodimitri, K. Panteleaki (Student TU Delft); Mortou, M. (Sealeau); Mitko, K. (Silesian University of Technology); Sapoutzi, D. (Sealeau); Stroutza, D.E. (TU Delft BT/Biotechnology and Society); Turek, M. (Silesian University of Technology); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology)","","2024","Circular economy has become a popular subject, attracting attention from academics, practitioners, and policy-makers alike. However, despite the excitement surrounding it, the concept of circular economy has been criticized for being vague and having multiple interpretations from different fields. As a result, there is a lack of evidence and guidance for practitioners, making it difficult to put into practice. Our goal is to fill this gap by bridging the design and implementation of circular economy solutions in the water sector. Through an exploratory study of two case studies, we have shown the significance of what we call as “circular water value” in the context of coal mining. This value is strongly influenced by the chemistry, concentration levels and purity of these effluents. We compared the circular value of the two cases (ranging from 2.5 to 6 euros per cubic meter) to the cost of the novel treatment system, developed by the authors through the EU-funded project ZERO BRINE, to capture this value. This allowed us to evaluate the potential for circular economy implementation. We suggest that this circular transition can offer significant opportunities to coal mining regions in enabling a just transition implementation. This is a topic that is increasingly gaining interest among academic and practitioner communities, further triggered by the recently adopted Just Transition Mechanism. This mechanism secures targeted support of 55 billion euro for the period 2021–2027 for the most affected regions within Europe. The concept of “circular water value” introduced in this article can serve as a tool for exploring the creation of emerging circular value chains from coal mines, as well as for other wastewater treatment and resource recovery projects in general.","Brine treatment; Circular business models; Circular economy,; Coal mine wastewater; Desalination,; Design for sustainability; Resource recovery; Salts; Water","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:dcfaea53-3e8a-46c5-82a5-95c360861277","http://resolver.tudelft.nl/uuid:dcfaea53-3e8a-46c5-82a5-95c360861277","Draft genome sequence of the Saccharomyces cerevisiae SpyCas9 expressing strain IMX2600, a laboratory and platform strain from the CEN.PK lineage for cell-factory research","van den Broek, M.A. (TU Delft BT/Industriele Microbiologie); Ortiz Merino, R.A. (TU Delft Research Data and Software); Bennis, N.X. (TU Delft BT/Industriele Microbiologie); Wronska, A.K. (TU Delft BT/Industriele Microbiologie); Else-Hassing, J. (TU Delft BT/Industriele Microbiologie); Daran-Lapujade, P.A.S. (TU Delft BT/Industriele Microbiologie); Daran, J.G. (TU Delft BT/Industriele Microbiologie)","","2024","The biobased-economy aims to create a circular biotechnology ecosystem to transition from a fossil fuel-based to a sustainable industry based on biomass. For this, new microbial cell-factories are essential. We present the draft genome of the CEN.PK-derived Saccharomyces cerevisiae SpyCas9 expressing strain (IMX2600), that serve as chassis of new cell-factories.","biotechnology; cell factory; CRISPR; metabolic engineering; Saccharomyces cerevisiae","en","journal article","","","","","","Publisher Copyright: Copyright © 2023 van den Broek et al. This is an open-access article distributed under the terms of the Creative Commons Attribution 4.0 International license.","","","","","BT/Industriele Microbiologie","","",""
"uuid:e230fab5-afda-41f9-93cf-0ce8c6020f64","http://resolver.tudelft.nl/uuid:e230fab5-afda-41f9-93cf-0ce8c6020f64","The organo-metal-like nature of long-range conduction in cable bacteria","Pankratov, Dmitrii (Universiteit Antwerpen); Hidalgo Martinez, Silvia (Universiteit Antwerpen); Karman, Cheryl (Universiteit Antwerpen); Gerzhik, Anastasia (Forschungszentrum Jülich GmbH); Gomila, Gabriel (Barcelona Institute of Science and Technology (BIST); Universitat de Barcelona); Trashin, Stanislav (Universiteit Antwerpen); Boschker, H.T.S. (TU Delft BT/Environmental Biotechnology; Universiteit Antwerpen); Geelhoed, Jeanine S. (Universiteit Antwerpen); Meysman, F.J.R. (TU Delft BT/Environmental Biotechnology; Universiteit Antwerpen)","","2024","Cable bacteria are filamentous, multicellular microorganisms that display an exceptional form of biological electron transport across centimeter-scale distances. Currents are guided through a network of nickel-containing protein fibers within the cell envelope. Still, the mechanism of long-range conduction remains unresolved. Here, we characterize the conductance of the fiber network under dry and wet, physiologically relevant, conditions. Our data reveal that the fiber conductivity is high (median value: 27 S cm−1; range: 2 to 564 S cm−1), does not show any redox signature, has a low thermal activation energy (Ea = 69 ± 23 meV), and is not affected by humidity or the presence of ions. These features set the nickel-based conduction mechanism in cable bacteria apart from other known forms of biological electron transport. As such, conduction resembles that of an organic semi-metal with a high charge carrier density. Our observation that biochemistry can synthesize an organo-metal-like structure opens the way for novel bio-based electronic technologies.","Bioelectronics; Cable bacteria; Electrochemical impedance spectroscopy; Long-distance electron transport; Protein conductivity","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:c944ae79-0b9a-4522-bef4-3a858fc9d4b3","http://resolver.tudelft.nl/uuid:c944ae79-0b9a-4522-bef4-3a858fc9d4b3","Mitigating Motion Sickness with Optimization-Based Motion Planning","Zheng, Y. (TU Delft Intelligent Vehicles); Shyrokau, B. (TU Delft Intelligent Vehicles); Keviczky, T. (TU Delft Team Tamas Keviczky)","","2024","The acceptance of automated driving is under the potential threat of motion sickness. It hinders the passengers' willingness to perform secondary activities. In order to mitigate motion sickness in automated vehicles, we propose an optimization-based motion planning algorithm that minimizes the distribution of acceleration energy within the frequency range that is found to be the most nauseogenic. The algorithm is formulated into integral and receding-horizon variants and compared with a commonly used alternative approach aiming to minimize accelerations in general. The proposed approach can reduce frequency-weighted acceleration by up to 11.3% compared with not considering the frequency sensitivity for the price of reduced overall acceleration comfort. Our simulation studies also reveal a loss of performance by the receding-horizon approach over the integral approach when varying the preview time and nominal sampling time. The computation time of the receding-horizon planner is around or below the real-time threshold when using a longer sampling time but without causing significant performance loss. We also present the results of experiments conducted to measure the performance of human drivers on a public road section that the simulated scenario is actually based on. The proposed method can achieve a 19% improvement in general acceleration comfort or a 32% reduction in squared motion sickness dose value over the best-performing participant. The results demonstrate considerable potential for improving motion comfort and mitigating motion sickness using our approach in automated vehicles.","Automated vehicles; motion planning; motion sickness; real-time optimization","en","journal article","","","","","","","","","","","Intelligent Vehicles","","",""
"uuid:4d81be6f-c414-41e9-809e-00f449442def","http://resolver.tudelft.nl/uuid:4d81be6f-c414-41e9-809e-00f449442def","New Theoretical Model to Describe Carrier Multiplication in Semiconductors: Explanation of Disparate Efficiency in MoTe2 versus PbS and PbSe","Weerdenburg, S. (TU Delft ChemE/Catalysis Engineering); Singh, N. (TU Delft ChemE/Opto-electronic Materials); van der Laan, Marco (Institute for Theoretical Physics Amsterdam); Kinge, Sachin (Toyota Motor Europe); Schall, Peter (Institute for Theoretical Physics Amsterdam); Siebbeles, L.D.A. (TU Delft ChemE/Opto-electronic Materials)","","2024","We present a theoretical model to compute the efficiency of the generation of two or more electron-hole pairs in a semiconductor by the absorption of one photon via the process of carrier multiplication (CM). The photogeneration quantum yield of electron-hole pairs is calculated from the number of possible CM decay pathways of the electron and the hole. We apply our model to investigate the underlying cause of the high efficiency of CM in bulk 2H-MoTe2, as compared to bulk PbS and PbSe. Electronic band structures were calculated with density functional theory, from which the number of possible CM decay pathways was calculated for all initial electron and hole states that can be produced at a given photon energy. The variation of the number of CM pathways with photon energy reflects the dependence of experimental CM quantum yields on the photon energy and material composition. We quantitatively reproduce experimental CM quantum yields for MoTe2, PbS, and PbSe from the calculated number of CM pathways and one adjustable fit parameter. This parameter is related to the ratio of Coulomb coupling matrix elements and the cooling rate of the electrons and holes. Large variations of this fit parameter result in small changes in the modeled quantum yield for MoTe2, which confirms that its high CM efficiency can be mainly attributed to its extraordinary large number of CM pathways. The methodology of this work can be applied to analyze or predict the CM efficiency of other materials.","","en","journal article","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:dd640a1a-7c6c-4161-8428-a92ee7ae474d","http://resolver.tudelft.nl/uuid:dd640a1a-7c6c-4161-8428-a92ee7ae474d","What Does 'Failure' Mean in Civic Tech?: We Need Continued Conversations About Discontinuation","Hamm, Andrea (Weizenbaum Institute for the Networked Society - German Internet Institute); Shibuya, Yuya (University of Tokyo); Cerratto Pargman, Teresa (Stockholm University); Bendor, R. (TU Delft Design Conceptualization and Communication); Raetzsch, Christoph (Aarhus University); Hendawy, Mennatullah (Ain Shams University); Rehak, Rainer (Weizenbaum Institute for the Networked Society - German Internet Institute); Klerks, Gwen (Eindhoven University of Technology); Schouten, Ben (Eindhoven University of Technology); Brodersen Hansen, Nicolai (Aalborg University)","","2024","Civic tech, also referred to as digital civics in HCI, designates efforts to use technology to bring together citizens, bring governments closer to citizens, or improve public service infrastructure. Such sociotechnical encounters are meant to address public needs and increase interactions and information flows between citizens and/or authorities. In this sense, they represent efforts to bolster democratic participation and oversight. Yet, despite the importance of these goals and due to their inherent complexity, civic tech initiatives are often discontinued, leading to a considerable loss of public investment and energy and contributing to a sense of failure. To be sure, this is a global phenomenon: While civic tech initiatives emerge at different places in the world, they are often confronted with the same or very similar impediments. But because of the sense of failure felt by those involved, there are few opportunities to openly discuss discontinuation. Events and academic conferences dedicated to civic tech often foreground short-term success stories and published research papers, and so HCI practitioners and researchers miss opportunities to consider long-term perspectives and slower, ongoing (democratic) transformation processes. What we suggest here, therefore, is that failure and discontinuation should also be seen as productive learning opportunities.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-02","","","Design Conceptualization and Communication","","",""
"uuid:149f61ec-c39d-491a-8313-690d5d77fa1b","http://resolver.tudelft.nl/uuid:149f61ec-c39d-491a-8313-690d5d77fa1b","The Internet of Batteryless Things","Ahmed, Saad (Georgia Institute of Technology); Islam, Bashima (Worcester Polytechnic Institute); Yildirim, Kasim Sinan (Università di Trento); Zimmerling, Marco (Technische Universität Darmstadt); Pawełczak, Przemysław (TU Delft Embedded Systems); Alizai, Muhammad Hamad (Lahore University of Management Sciences); Lucia, Brandon (Carnegie Mellon University); Mottola, Luca (Politecnico di Milano); Sorber, Jacob (Clemson University); Hester, Josiah (Georgia Institute of Technology)","","2024","","","en","journal article","","","","","","","","","","","Embedded Systems","","",""
"uuid:a48c1478-abfd-4fa1-86b0-0b1ddff9a3b6","http://resolver.tudelft.nl/uuid:a48c1478-abfd-4fa1-86b0-0b1ddff9a3b6","Strong invariance principles for ergodic Markov processes","Pengel, A.L. (TU Delft Statistics); Bierkens, G.N.J.C. (TU Delft Statistics)","","2024","Strong invariance principles describe the error term of a Brownian approximation to the partial sums of a stochastic process. While these strong approximation results have many applications, results for continuous-time settings have been limited. In this paper, we obtain strong invariance principles for a broad class of ergodic Markov processes. Strong invariance principles provide a unified framework for analysing commonly used estimators of the asymptotic variance in settings with a dependence structure. We demonstrate how this can be used to analyse the batch means method for simulation output of Piecewise Deterministic Monte Carlo samplers. We also derive a fluctuation result for additive functionals of ergodic diffusions using our strong approximation results.","asymptotic variance estimation; piecewise deterministic Markov processes; Strong invariance principle","en","journal article","","","","","","","","","","","Statistics","","",""
"uuid:37765bba-314a-43f5-a909-2a731e307d70","http://resolver.tudelft.nl/uuid:37765bba-314a-43f5-a909-2a731e307d70","Multi-mode industrial soft sensor method based on mixture Laplace variational auto-encoder","Zhang, Tianming (Taiyuan University of Technology); Yan, Gaowei (Taiyuan University of Technology; Shanxi Research Institute of Huairou Laboratory); Li, Rong (Taiyuan University of Technology); Xiao, Shuyi (Taiyuan University of Technology); Pang, Y. (TU Delft Transport Engineering and Logistics)","","2024","The industrially collected process data usually exhibit non-Gaussian and multi-mode characteristics. Due to sensor failures, irregular disturbances, and transmission problems, there are unavoidable outliers that make the data exhibit heavy-tailed characteristics. To this end, a variational auto-encoder regression method based on the mixture Laplacian distribution (MLVAER) is proposed, by introducing a type-II multivariate Laplacian distribution in the latent variable space for robust modeling, and further extending it to the mixture form to accommodate multi-mode processes, the corresponding reparameterization trick is finally proposed for the mixture form of this distribution for neural network gradient descent training. The model based on this distribution assumption has higher degrees of freedom than the model based on the traditional multivariate Laplace distribution assumption when the network structure is the same. Numerical simulation and experiments on two industrial examples demonstrate that the proposed algorithm reduces the root mean square error by over 15% compared to other algorithms.","Heavy tail; Mixture Laplace; Multi-mode; Soft sensor; Variational auto-encoder","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-05","","","Transport Engineering and Logistics","","",""
"uuid:b582824d-9bc4-4840-8868-bf2e5ce75c50","http://resolver.tudelft.nl/uuid:b582824d-9bc4-4840-8868-bf2e5ce75c50","Ocean Bottom Seismometer Clock Correction using Ambient Seismic Noise","Naranjo, D. (TU Delft Applied Geophysics and Petrophysics; King Abdullah University of Science and Technology); Parisi, Laura (King Abdullah University of Science and Technology); Jónsson, Sigurjón (King Abdullah University of Science and Technology); Jousset, Philippe (Helmholtz Centre Potsdam - GFZ German Research Centre for Geosciences); Werthmüller, D. (TU Delft Applied Geophysics and Petrophysics); Weemstra, C. (TU Delft Applied Geophysics and Petrophysics; Royal Netherlands Meteorological Institute (KNMI))","","2024","Ocean-bottom seismometers (OBSs) are equipped with seismic sensors that record acoustic and seismic events at the seafloor, which makes them suitable for investigating tectonic structures capable of generating earthquakes offshore. One critical parameter to obtain accurate earthquake locations is the absolute time of the incoming seismic signals recorded by the OBSs. It is, however, not possible to synchronize the internal clocks of the OBSs with a known reference time, given that GNSS signals are unable to reach the instrument at the sea bottom. To address this issue, here we introduce a new method to synchronize the clocks of large-scale OBS deployments. Our approach relies on the theoretical time-symmetry of time-lapse (averaged) crosscorrelations of ambient seismic noise. Deviations from symmetry are attributed to clock errors. This implies that the recovered clock errors will be obscured by lapse crosscorrelations' deviations from symmetry that are not due to clock errors. Non-uniform surface wave illumination patterns are arguably the most notable source which breaks the time symmetry. Using field data, we demonstrate that the adverse effects of non-uniform illumination patterns on the recovered clock errors can be mitigated by means of a weighted least-squares inversion that is based on station-station distances. In addition, our methodology permits the recovery of timing errors at the time of deployment of the OBSs. This error can be attributed to either: i) a wrong initial time synchronization of the OBS or ii) a timing error induced by changing temperature and pressure conditions while the OBS is sunk to the ocean floor. The methodology is implemented in an open-source Python package named OCloC, and we applied it to the OBS recordings acquired in the context of the IMAGE project in and around Reykjanes, Iceland. As expected, most OBSs suffered from clock drift. Surprisingly, we found incurred timing errors at the time of deployment for most of the OBSs.","","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:093c8324-ed84-45f7-a177-daf316d6c5e0","http://resolver.tudelft.nl/uuid:093c8324-ed84-45f7-a177-daf316d6c5e0","Impact of CO2 hydrates on injectivity during CO2 storage in depleted gas fields: A literature review","Aghajanloo, M. (TU Delft Reservoir Engineering); Yan, L. (TU Delft Reservoir Engineering); Berg, Steffen (Shell Global Solutions International B.V.); Voskov, D.V. (TU Delft Reservoir Engineering; Stanford University); Farajzadeh, R. (TU Delft Reservoir Engineering; Shell Global Solutions International B.V.)","","2024","Carbon dioxide capture and storage in subsurface geological formations is a potential solution to limit anthropogenic CO2 emissions and combat global warming. Depleted gas fields offer significant CO2 storage volumes; however, injection of CO2 into these reservoirs poses some potential challenges for the injectivity, containment and well/facility integrity due to low temperatures caused by isenthalpic expansion of CO2. A key injectivity risk is due to possible formation of hydrates at the low expected temperatures. This study aims to address main causes of CO2 hydrate formation and its impact on permeability of porous media. This review highlights the current state of knowledge in the literature while emphasizing the need to bridge existing gaps in derisking CO2 injection into (depleted) low-pressure gas reservoirs. In summary, according to the existing literature, the potential for hydrate formation is assessed to be credible. Current industry solutions exist to manage this risk; however, they are costly and energy intensive. Future research will be needed to provide capabilities to manage this risk more efficiently.","CO hydrate; CO storage; Depleted gas fields; Injectivity; Porous media","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:56ad355d-48e7-414d-b431-09e62987db79","http://resolver.tudelft.nl/uuid:56ad355d-48e7-414d-b431-09e62987db79","Empirical assessment of ChatGPT’s answering capabilities in natural science and engineering","Schulze Balhorn, L. (TU Delft ChemE/Process Systems Engineering); Weber, J.M. (TU Delft Pattern Recognition and Bioinformatics); Buijsman, S.N.R. (TU Delft Ethics & Philosophy of Technology); Hildebrandt, Julian R. (Rheinisch-Westfälische Technische Hochschule); Ziefle, Martina (Rheinisch-Westfälische Technische Hochschule); Schweidtmann, A.M. (TU Delft ChemE/Process Systems Engineering)","","2024","ChatGPT is a powerful language model from OpenAI that is arguably able to comprehend and generate text. ChatGPT is expected to greatly impact society, research, and education. An essential step to understand ChatGPT’s expected impact is to study its domain-specific answering capabilities. Here, we perform a systematic empirical assessment of its abilities to answer questions across the natural science and engineering domains. We collected 594 questions on natural science and engineering topics from 198 faculty members across five faculties at Delft University of Technology. After collecting the answers from ChatGPT, the participants assessed the quality of the answers using a systematic scheme. Our results show that the answers from ChatGPT are, on average, perceived as “mostly correct”. Two major trends are that the rating of the ChatGPT answers significantly decreases (i) as the educational level of the question increases and (ii) as we evaluate skills beyond scientific knowledge, e.g., critical attitude.","","en","journal article","","","","","","Publisher Copyright: © The Author(s) 2024.","","","","","ChemE/Process Systems Engineering","","",""
"uuid:be561a4b-a184-42ca-a5e1-ff96f5b510bc","http://resolver.tudelft.nl/uuid:be561a4b-a184-42ca-a5e1-ff96f5b510bc","Fatigue behaviour of toe and root stiffener cracks in stiffener-to-deck plate weld of orthotropic bridge decks","Wu, W. (TU Delft Steel & Composite Structures); Veljkovic, M. (TU Delft Steel & Composite Structures); Kolstein, M.H. (TU Delft Steel & Composite Structures); Maljaars, Johan (Eindhoven University of Technology; TNO); Pijpers, Richard (TNO)","","2024","Fatigue cracks in the stiffener-to-deck plate connections of orthotropic bridge decks, initiating from the weld toe or root and propagating into the stiffener or weld throat, are experimentally and numerically studied. A statistical analysis of the structural stress is carried out using the experimental data. Automatic welded specimens show a significantly higher fatigue resistance than manual welded ones for both details of the study. Including results in the literature, the characteristic fatigue resistances appear larger than the values in current standards and range between 100 and 160 MPa. A proposal for the fatigue resistance values is given for design purposes. The effective notch stress, averaged strain energy density factor, and fracture mechanics methods are employed to study the sensitivity of the weld toe and root cracks for different (geometrical) variations, such as the lack of weld penetration. Among them, the fracture mechanics method agrees best with the experimental data. With the increase of weld penetration ratios from 75% to 100%, the fracture mechanics predicted fatigue resistances remain approximately equal for the weld toe cracks and increase for the weld root cracks.","Fatigue resistance; Local assessment methods; Orthotropic bridge deck; Structural stress; Toe and root stiffener cracks","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:9f9c80c2-a405-491b-b7fd-3b0769f9711d","http://resolver.tudelft.nl/uuid:9f9c80c2-a405-491b-b7fd-3b0769f9711d","Changes in appearance during the spoilage process of fruits and vegetables: Implications for consumer use and disposal","Schifferstein, Hendrik N.J. (TU Delft Design Aesthetics)","","2024","People waste a lot of food, especially at the consumption stage in consumer households. Despite the urgency of this topic, little is known about how consumers use visual inspection to decide to throw away fruits and vegetables at different stages of ripening and spoilage. We presented 366 US consumers with images of a banana, mango, cucumber, and avocado in 5 stages of decay in an online study and we determined how signs of decay affected participants’ consumption, preparation and disposal behaviors. As expected, product attractiveness, freshness, healthiness, and nutritiousness decreased, while the degree of decay, overripeness, and disgust increased over time. The number of people willing to consume the product was linearly related to the perceived proportion of the product affected by decay, while the number of people wanting to cut off bad parts was highest when about 40% of the product was judged to be affected. As time went on, the banana was cooked and mashed more often, while the cucumber was peeled more often. As growing, ripening and decay differ considerably between agricultural products, it is important to take sensory and preparation differences into account when investigating consumption and disposal behaviors.","Decay; Decision making; Food literacy; Food safety; Food waste","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:cfcc180e-e253-4c3d-a32c-af6f8ccae757","http://resolver.tudelft.nl/uuid:cfcc180e-e253-4c3d-a32c-af6f8ccae757","Depth of Sudden Velocity Changes Derived From Multi-Mode Rayleigh Waves","Finger, C. (Fraunhofer IEG); Löer, K. (TU Delft Applied Geophysics and Petrophysics; University of Aberdeen)","","2024","To integrate structural subsurface models and smooth seismic velocity models, they need to share common features and resolutions. Here, we propose a new approach, Depth Assessment from Rayleigh Wave Ellipticities (DARE), for estimating the depth of sudden velocity changes from ambient-noise multi-mode Rayleigh waves applicable to a wide range of frequencies. At frequencies where multi-mode Rayleigh waves have an extremum in ellipticity, the phase velocity can be used to estimate the depth of sudden velocity changes. We test our approach theoretically, numerically, and on real data from two geothermal sites by extracting Rayleigh wave ellipticities and phase velocities from three-component beamforming of ambient noise using the python code package B3AMpy. For a small-scale array, our approach validates the depth of quaternary sediments predicted by geological models. For deeper velocity changes, high uncertainties remain but the general trend of inclining boundaries can be recovered well. We demonstrate that, if impedance contrasts are larger than three, our approach is valid for multiple layers, laterally heterogeneous models, and a wide range of Poisson ratios.","","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:6fd718ca-f688-48ad-b4e0-023f9edf1731","http://resolver.tudelft.nl/uuid:6fd718ca-f688-48ad-b4e0-023f9edf1731","To warrant clinical adoption AI models require a multi-faceted implementation evaluation","van de Sande, Davy (Erasmus MC); Chung, Eline Fung Fen (Erasmus MC); Oosterhoff, J.H.F. (TU Delft Information and Communication Technology); van Bommel, Jasper (Erasmus MC); Gommers, D.A.M.P.J. (Erasmus MC); van Genderen, Michel E. (Erasmus MC)","","2024","Despite artificial intelligence (AI) technology progresses at unprecedented rate, our ability to translate these advancements into clinical value and adoption at the bedside remains comparatively limited. This paper reviews the current use of implementation outcomes in randomized controlled trials evaluating AI-based clinical decision support and found limited adoption. To advance trust and clinical adoption of AI, there is a need to bridge the gap between traditional quantitative metrics and implementation outcomes to better grasp the reasons behind the success or failure of AI systems and improve their translation into clinical value.","","en","journal article","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:eb309ec5-ef4f-4136-be44-fffde25b3506","http://resolver.tudelft.nl/uuid:eb309ec5-ef4f-4136-be44-fffde25b3506","User experience of wearing comfort of reusable versus disposable surgical gowns and environmental perspectives: A cross-sectional survey","van Nieuwenhuizen, Kim E. (Leiden University Medical Center); Friedericy, Hans J. (Leiden University Medical Center); van der Linden, Sjaak (Leiden University Medical Center); Jansen, F.W. (TU Delft Medical Instruments & Bio-Inspired Technology; Leiden University Medical Center); van der Eijk, A.C. (TU Delft Medical Instruments & Bio-Inspired Technology; Leiden University Medical Center)","","2024","Objective: To determine the user experience of wearing comfort of reusable sterile surgical gowns and compare these gowns with conventional disposable surgical gowns. Design: Cross-sectional survey. Setting: An academic hospital in the Netherlands. Population: Gynaecologists, surgeons, residents and operating room assistants (n=80). Methods: Quantitative and qualitative data were obtained via a written questionnaire. Participants provided subjective comments and scored the reusable gown on each individual topic with a score from 1 to 5 (1 = unsatisfactory, 2 = moderate, 3 = good, 4 = very good, 5 = excellent) and compared the reusable gown with the conventional disposable alternative (better, equal or worse). Main outcome measures: Wearing comfort: ventilation and temperature regulation, fit and length, functionality, barrier function and ease of use. Results: The results of the overall scores of the reusable gown are scored as ‘very good’ (mean 4.3, SD ± 0.5) by its users. Regarding comparison of the gowns, more than 79% (lowest score 79%, highest score 95%) of the participants scored the reusable gown equal or higher on six of seven topics. The topic ‘ease of use’ was scored equal or higher by 59% of the participants. Subjective comments provided information on possible improvements. Conclusions: The findings of this study demonstrate that there is professional acceptance regarding the utilisation of reusable surgical gowns. To facilitate broader adoption, it is imperative to foster collaboration among suppliers and healthcare institutions. The reusable surgical gown is an environmentally sustainable, safe and comfortable alternative in the operating room.","climate change; comfort; operating room; surgery; surgical gowns; sustainability; user experience","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:73cd9700-c88a-472c-b241-247fb1dab4cc","http://resolver.tudelft.nl/uuid:73cd9700-c88a-472c-b241-247fb1dab4cc","Correction: The stories about racism and health: the development of a framework for racism narratives in medical literature using a computational grounded theory approach","Figueroa, C.A. (TU Delft Information and Communication Technology; University of California); Manalo-Pedro, Erin (University of California); Pola, Swetha (University of California); Darwish, Sajia (University of California); Sachdeva, Pratik (University of California); Guerrero, Christian (American Medical Association); von Vacano, Claudia (University of California); Jha, Maithili (American Medical Association); De Maio, Fernando (DePaul University); Kennedy, Chris J. (University of California; Harvard Medical School; Massachusetts General Hospital)","","2024","After publication of this article [1], the authors reported that the disclaimer statement in the backmatter was missing and should have read ‘Disclaimer: The ideas in this article are those of the authors and do not necessarily represent policy of the American Medical Association.’ The original article [1] has been corrected.
(International Journal for Equity in Health, (2023), 22, 1, (265), 10.1186/s12939-023-02077-0)
2) storage potential to support an H2-based energy future. Understanding H2 flow and trapping in subsurface rocks is crucial to reliably evaluate their storage efficiency. In this work, we perform cyclic H2 flow visualization experiments on a layered rock sample with varying pore and throat sizes. During drainage, H2 follows a path consisting of large pores and throats, through a low permeability rock layer, substantially reducing H2 storage capacity. Moreover, due to the rock heterogeneity and depending on the experimental flow strategy, imbibition unexpectedly results in higher H2 saturation compared to drainage. These results emphasize that small-scale rock heterogeneity, which is often unaccounted for in reservoir-scale models, plays a vital role in H2 displacement and trapping in subsurface porous media, with implications for efficient storage strategies.","Energy transition; Hydrogen storage; Pore-scale 3D visualization; Porous media","en","journal article","","","","","","","","","","","Applied Geology","","",""
"uuid:54d047d6-8c9f-4c95-99b8-8f65f31dc797","http://resolver.tudelft.nl/uuid:54d047d6-8c9f-4c95-99b8-8f65f31dc797","A numerical study on the effect of asymmetry on underwater noise emission in offshore monopile installation","Molenkamp, T. (TU Delft Dynamics of Structures); Tsouvalas, A. (TU Delft Dynamics of Structures; TU Delft Offshore Engineering); Metrikine, A. (TU Delft Hydraulic Engineering; TU Delft Engineering Structures)","","2024","Offshore wind energy holds significant promise as a solution in the energy transition. However, installing offshore pile foundations can generate substantial levels of underwater noise, posing potential risks to marine life. This paper examines the influence of asymmetric impact forces and pile inclination on producing underwater noise and seabed vibrations based on cases of a small- and large-diameter monopile. The study focuses on scenarios involving inclined and eccentric forces and tilted piles. The analysis reveals that non-symmetrical conditions significantly impact the sound pressure levels around the ring frequency of the pile due to various noise generation mechanisms. However, it is observed that the vertical component of the impact force predominantly contributes to the generation of underwater noise, primarily due to its considerably higher amplitude.","Asymmetric noise field; Inclined pile; Pile driving; Underwater noise","en","journal article","","","","","","","","","","Hydraulic Engineering","Dynamics of Structures","","",""
"uuid:6a4c6bc2-1106-490d-b6c0-1f4e33b838d5","http://resolver.tudelft.nl/uuid:6a4c6bc2-1106-490d-b6c0-1f4e33b838d5","Forecasting House Prices through Credit Conditions: A Bayesian Approach","van der Drift, R. (TU Delft Real Estate Management); de Haan, J. (TU Delft Real Estate Management); Boelhouwer, P.J. (TU Delft Real Estate Management)","","2024","As housing development and housing market policies involve many long-term decisions, improving house price predictions could benefit the functioning of the housing market. Therefore, in this paper, we investigate how house price predictions can be improved. In particular, the merits of Bayesian estimation techniques in enhancing house price predictions are examined in this study. We compare the pseudo out-of-sample forecasting power of three Bayesian models—a Bayesian vector autoregression in levels (BVAR-l), a Bayesian vector autoregression in differences (BVAR-d), and a Bayesian vector error correction model (BVECM)—and their non-Bayesian counterparts. These techniques are compared using a theoretical model that predicts the borrowing capacity of credit-constrained and unconstrained households to affect house prices. The findings indicate that the Bayesian models outperform their non-Bayesian counterparts, and within the class of Bayesian models, the BVAR-d is found to be more accurate than the BVAR-l. For the two winning Bayesian models, i.e., the BVECM and the BVAR-d, the difference in forecasting power is more ambiguous; which model prevails depends on the desired forecasting horizon and the state of the economy. Hence, both Bayesian models may be considered when conducting research on house prices.","Bayesian VAR; Bayesian VECM; Cointegration; Forecasting; House prices","en","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:b718a726-74d4-4ec5-bee7-202fd723898d","http://resolver.tudelft.nl/uuid:b718a726-74d4-4ec5-bee7-202fd723898d","Design of morphing wing for aerodynamic performance considering the wing flexibility effects","Navratil, J. (Brno University of Technology); Hostinský, V. (Brno University of Technology); Sodja, J. (TU Delft Group Sodja)","","2024","This paper provides an insight into ongoing research aimed at designing a morphing wing with the ability to continuously adapt its aerodynamic shape. The wing is targeted at a general purpose unmanned aerial vehicle. The morphing wing concept outlined in the paper is based on continuous camber changes of the wing leading and trailing edges, allowing optimal performance in different flight regimes. The aeroelastic tailoring method is used to design the load carrying structure of the wing in order to define the optimal stiffness and strength of the structure, which are considered as fixed in subsequent design steps. The research proposes a novel modular design approach that combines aerodynamic shape optimisation and aeroelastic considerations for designing morphing wing surfaces.","","en","journal article","","","","","","","","","","","Group Sodja","","",""
"uuid:ce82c956-9af7-45be-9312-6509922b4f13","http://resolver.tudelft.nl/uuid:ce82c956-9af7-45be-9312-6509922b4f13","The influence of spatial variation on the design of foundations of immersed tunnels: Advanced probabilistic analysis","'t Hart, C.M.P. (TU Delft Hydraulic Structures and Flood Risk; Tunnel Engineering Consultants; Royal Haskoning DHV); Morales Napoles, O. (TU Delft Hydraulic Structures and Flood Risk); Jonkman, Sebastiaan N. (TU Delft Hydraulic Structures and Flood Risk)","","2024","Immersed tunnels are positive buoyant structures during installation and negative buoyant after installation. A tunnel is composed of sequential immersed elements that are coupled to each other in joints. Tunnel elements consist of segments which are compressed to each other by longitudinal post-tensioning. After immersion the tunnel is supported by the seabed and the longitudinal post-tension is cut at the joints between segments. Therefore, the structure is a segmented lining which is sensitive for settlements due to non uniform circumstances over the length of the tunnel. An uneven response of the bedding underneath the tunnel introduce shear forces in joints of an immersed tunnel. Because immersed tunnels need to be buoyant during installation, they have limitations on weight and geometry, the size and therefore the capacity of these shear keys is limited because the height of the tunnel, as shear keys are applied in the walls of the tunnel. The foundation response is influenced by many factors related to subsoil but also to construction and dredging tolerances. The shear forces were derived as a function of different covariance lengths for subsoil stiffness and dredging tolerances for different tunnel layouts. In reliability analyses, using two different probabilistic methods, exceedance probabilities of maximum shear forces are derived for one lay out using Non Parametric Bayesian Networks and Vine Copulas. The analyses give more insight in to the magnitude of the shear forces in joints both in conditioned and unconditioned situations and this can be used for the design of immersed tunnels.","Bedding; Covariance length; Dredging; Gaussian random fields; Immersed tunnels; Non Parametric Bayesian Network; Soft soil tunnels; Vine Copulas","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:ee516813-bf31-4e57-a7b1-d11b06c4db1f","http://resolver.tudelft.nl/uuid:ee516813-bf31-4e57-a7b1-d11b06c4db1f","A data-driven approach to analyse the co-evolution of urban systems through a resilience lens: A Helsinki case study","Casali, Y. (TU Delft Transport and Logistics; Basque Centre for Climate Change); Aydin, N.Y. (TU Delft System Engineering); Comes, M. (TU Delft Transport and Logistics)","","2024","Urban areas are dynamic systems, in which different infrastructural, social and economic subsystems continuously co-evolve. As such, disruptions in one system can propagate to another. However, open challenges remain in (i) assessing the long-term implications of change for resilience and (ii) understanding how resilience propagates throughout urban systems over time. Despite the increasing reliance on data in smart cities, few studies empirically investigate long-term urban co-evolution using data-driven methods, leading to a gap in urban resilience assessments. This paper presents an approach that combines Getis-ord Gi* statistical and correlation analyses to investigate how cities recover from crises and adapt by analysing how the spatial patterns of urban characteristics and their relationships changed over time. We illustrate our approach through a study on Helsinki’s road infrastructure, socioeconomic system and built-up area from 1991 to 2016, a period marked by a major socioeconomic crisis. By analysing this case study, we provide insights into the co-evolution over more than two decades, thereby addressing the lack of longitudinal studies on urban resilience.","Co-evolution; Getis-Ord Gi*; recovery; resilience; road network; spatiotemporal data","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:96f83610-4736-4d77-9be1-1b617a7ac7bc","http://resolver.tudelft.nl/uuid:96f83610-4736-4d77-9be1-1b617a7ac7bc","Characterisation of bacterial decay effects on wooden foundation piles across various historical periods","Mirra, M. (TU Delft Bio-based Structures & Materials); Pagella, G. (TU Delft Bio-based Structures & Materials); Lee, Michael (Student TU Delft); Gard, W.F. (TU Delft Bio-based Structures & Materials); Ravenshorst, G.J.P. (TU Delft Bio-based Structures & Materials); van de Kuilen, J.W.G. (TU Delft Bio-based Structures & Materials; Technische Universität München)","","2024","In the historic city centre of Amsterdam (NL), the predominant foundation system is comprised of wooden piles. Due to their placement below the water table, these foundations are susceptible to bacterial decay. This study aims to investigate and compare various methods for characterizing decay patterns within the cross sections of piles retrieved from two bridges in Amsterdam. The examined piles span different construction years: three originate from 1727, four from 1886, and two from 1922. Following extraction, the piles were transported to TU Delft Stevin II Laboratory, where they underwent further subdivision into three segments, each representing the head, middle, and tip, resulting in a total of 27 segments. The effects of bacterial decay were characterised by performing micro-drilling measurements, small-scale material and compressive tests on prismatic samples extracted from the segments' cross sections, computed tomography scans, and light microscopy observations. Microscopic examination revealed severe degradation in all segments dating back to 1727, extending 20–50 mm from their surface. This outcome was also confirmed by the other adopted methods: the corresponding prisms had large moisture contents and poor mechanical properties, while low basic densities and drilling amplitudes were obtained from CT scans and micro-drilling measurements, respectively. On the contrary, the internal sections of the 1727 segments exhibited no evidence of decay and demonstrated properties consistent with those observed in sound segments from 1886 and 1922. Finally, the observed gradients of density, strength, and stiffness were well correlated with micro-drilling measurements, which can therefore be reliably used as on-site assessment method to reconstruct the properties of the piles.","Bacterial decay; Conservation of architectural heritage; Micro-drilling techniques; Service life modelling; Wooden foundation piles","en","journal article","","","","","","","","","","","Bio-based Structures & Materials","","",""
"uuid:86377084-00c8-4888-a55d-3c433a016262","http://resolver.tudelft.nl/uuid:86377084-00c8-4888-a55d-3c433a016262","Liquid injectivity in a SAG foam process: Effect of permeability","Gong, J. (TU Delft Reservoir Engineering; Hohai University); Wang, Yuan (Hohai University); Kamarul Bahrim, Ridhwan Zhafri B. (Petronas Research); Tewari, Raj Deo (Petronas Research); Mahamad Amir, Mohammad Iqbal (Petronas Research); Farajzadeh, R. (TU Delft Reservoir Engineering; Shell Global Solutions International B.V.); Rossen, W.R. (TU Delft Reservoir Engineering)","","2024","Foam is utilized in enhanced oil recovery and CO2 sequestration. Surfactant-alternating-gas (SAG) is a preferred approach for placing foam into reservoirs, due to it enhances gas injection and minimizes corrosion in facilities. Our previous studies with similar permeability cores show that during SAG injection, several banks occupy the area near the well where fluid exhibits distinct behaviour. However, underground reservoirs are heterogeneous, often layered. It is crucial to understand the effect of permeability on fluid behaviour and injectivity in a SAG process. In this work, coreflood experiments are conducted in cores with permeabilities ranging from 16 to 2300 mD. We observe the same sequence of banks in cores with different permeabilities. However, the speed at which banks propagate and their overall mobility can vary depending on permeability. At higher permeabilities, the gas-dissolution bank and the forced-imbibition bank progress more rapidly during liquid injection. The total mobilities of both banks decrease with permeability. By utilizing a bank-propagation model, we scale up our experimental findings and compare them to results obtained using the Peaceman equation. Our findings reveal that the liquid injectivity in a SAG foam process is misestimated by conventional simulators based on the Peaceman equation. The lower the formation permeability, the greater the error.","Enhanced oil recovery; Foam; Injectivity; Permeability; Surfactant-alternating-gas","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:a097ae29-f9c3-4629-87f0-49c037cdec52","http://resolver.tudelft.nl/uuid:a097ae29-f9c3-4629-87f0-49c037cdec52","From Short Circuit to Completed Circuit: Conductive Hydrogel Facilitating Oral Wound Healing","Zhou, Qiangqiang (Fudan University); Dai, Hanqing (Fudan University); Yan, Yukun (Fudan University); Qin, Zhiming (Fudan University); Zhou, Mengqi (Fudan University); Zhang, Wanlu (Fudan University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Guo, Ruiqian (Fudan University); Wei, X. (Fudan University)","","2024","The primary challenges posed by oral mucosal diseases are their high incidence and the difficulty in managing symptoms. Inspired by the ability of bioelectricity to activate cells, accelerate metabolism, and enhance immunity, a conductive polyacrylamide/sodium alginate crosslinked hydrogel composite containing reduced graphene oxide (PAA-SA@rGO) is developed. This composite possesses antibacterial, anti-inflammatory, and antioxidant properties, serving as a bridge to turn the “short circuit” of the injured site into a “completed circuit,” thereby prompting fibroblasts in proximity to the wound site to secrete growth factors and expedite tissue regeneration. Simultaneously, the PAA-SA@rGO hydrogel effectively seals wounds to form a barrier, exhibits antibacterial and anti-inflammatory properties, and prevents foreign bacterial invasion. As the electric field of the wound is rebuilt and repaired by the PAA-SA@rGO hydrogel, a 5 × 5 mm2 wound in the full-thickness buccal mucosa of rats can be expeditiously mended within mere 7 days. The theoretical calculations indicate that the PAA-SA@rGO hydrogel can aggregate and express SOX2, PITX1, and PITX2 at the wound site, which has a promoting effect on rapid wound healing. Importantly, this PAA-SA@rGO hydrogel has a fast curative effect and only needs to be applied for the first three days, which significantly improves patient satisfaction during treatment.","conductive hydrogel; microphysiological electric field; oral mucosal disease; tissue regeneration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-02","","","Electronic Components, Technology and Materials","","",""
"uuid:8928e27d-d3b4-409a-99a2-20c5c53208e0","http://resolver.tudelft.nl/uuid:8928e27d-d3b4-409a-99a2-20c5c53208e0","Natronoglomus mannanivorans gen. nov., sp. nov., beta-1,4-mannan utilizing natronoarchaea from hypersaline soda lakes","Sorokin, Dimitry Y. (TU Delft BT/Environmental Biotechnology; Russian Academy of Sciences); Elcheninov, Alexander G. (Russian Academy of Sciences); Bale, Nicol J. (NIOZ Royal Netherlands Institute for Sea Research); Sinnighe Damsté, Jaap S. (NIOZ Royal Netherlands Institute for Sea Research); Kublanov, Ilya V. (Russian Academy of Sciences)","","2024","Beta-mannans are insoluble plant polysaccharides with beta-1,4-linked mannose as the backbone. We used three forms of this polysaccharide, namely, pure mannan, glucomannan, and galactomannan, to enrich haloarchaea, which have the ability to utilize mannans for growth. Four mannan-utilizing strains obtained in pure cultures were closely related to each other on the level of the same species. Furthermore, another strain selected from the same habitats with a soluble beta-1,4-glucan (xyloglucan) was also able to grow with mannan. The
phylogenomic analysis placed the isolates into a separate lineage of the new genus level within the family Natrialbaceae of the class Halobacteria. The strains are moderate alkaliphiles, extremely halophilic, and aerobic saccharolytics. In addition to the three beta-mannan forms, they can also grow with cellulose, xylan, and xyloglucan. Functional genome analysis of two representative strains demonstrated the presence of several genes coding for extracellular endo-beta-1,4-mannanase from the GH5_7 and 5_8 subfamilies and the GH26 family of glycosyl hydrolases. Furthermore, a large spectrum of genes encoding other glycoside hydrolases that were potentially involved in the hydrolysis of cellulose and xylan were also identified in the genomes. A comparative genomics analysis also showed the presence of similar endo-beta-1,4-mannanase homologs in the cellulotrophic genera Natronobiforma and Halococcoides. Based on the unique physiological properties and the results of phylogenomic analysis, the novel mannan-utilizing halolarchaea are proposed to be classified into a new genus and species Natronoglomus mannanivorans gen. nov., sp. nov. with the type strain AArc-m2/3/4 (=JCM 34861=UQM 41565).","Hypersaline lakes; haloarchaea; glucomannan; galactomannan; beta-1,4-mannan","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:e84e88ef-7994-413c-a273-24ad4dd24d40","http://resolver.tudelft.nl/uuid:e84e88ef-7994-413c-a273-24ad4dd24d40","Genome-wide characterization of circulating metabolic biomarkers","Karjalainen, Minna K. (University of Oulu); Karthikeyan, Savita (University of Cambridge); Oliver-Williams, Clare (University of Cambridge); Sliz, Eeva (University of Oulu); Allara, Elias (University of Cambridge); Fung, Wing Tung (University of Cambridge); Surendran, Praveen (University of Cambridge); van den Akker, E.B. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center)","","2024","Genome-wide association analyses using high-throughput metabolomics platforms have led to novel insights into the biology of human metabolism1–7. This detailed knowledge of the genetic determinants of systemic metabolism has been pivotal for uncovering how genetic pathways influence biological mechanisms and complex diseases8–11. Here we present a genome-wide association study for 233 circulating metabolic traits quantified by nuclear magnetic resonance spectroscopy in up to 136,016 participants from 33 cohorts. We identify more than 400 independent loci and assign probable causal genes at two-thirds of these using manual curation of plausible biological candidates. We highlight the importance of sample and participant characteristics that can have significant effects on genetic associations. We use detailed metabolic profiling of lipoprotein- and lipid-associated variants to better characterize how known lipid loci and novel loci affect lipoprotein metabolism at a granular level. We demonstrate the translational utility of comprehensively phenotyped molecular data, characterizing the metabolic associations of intrahepatic cholestasis of pregnancy. Finally, we observe substantial genetic pleiotropy for multiple metabolic pathways and illustrate the importance of careful instrument selection in Mendelian randomization analysis, revealing a putative causal relationship between acetone and hypertension. Our publicly available results provide a foundational resource for the community to examine the role of metabolism across diverse diseases.","","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:c52e6616-3284-45c8-9577-7e92be7c49a9","http://resolver.tudelft.nl/uuid:c52e6616-3284-45c8-9577-7e92be7c49a9","Examining student profiles for dealing with wickedness","Veltman, M.M. (Universiteit van Amsterdam; Windesheim University of Applied Sciences); van Keulen, J. (TU Delft Science Education and Communication); Smits, A. E.H. (Windesheim University of Applied Sciences); Voogt, J. M. (Universiteit van Amsterdam)","","2024","When addressing problems with wicked tendencies in higher professional education, students experience complexity, uncertainty, and value divergence. Furthermore, they are confronted with disciplinary, organisational, and sector boundaries. Prior research has revealed variability in students’ experiences and boundary-crossing behaviour when dealing with problems with wicked tendencies. In this study we explore these differences by identifying student profiles based on the attributes that comprise the competence for dealing with problems with wicked tendencies, and by identifying their relations with students’ boundary-crossing behaviour and relevant work experience. Person-centred cluster analysis in a sample of first-year students (N = 264) from a bachelor’s programme in social work identified four student profiles, based on students’ self-assessed degree of creativity, critical thinking, initiative, proactivity, risk tolerance, and work efficacy. Meaningful relations with students’ prior work experiences and their boundary-crossing behaviour were found. These profiles could serve to better understand students’ boundary-crossing behaviour when confronted with problems with wicked tendencies, and help teachers foster the development of all students.","boundary crossing; higher education; problem-solving competence; student profiles; teacher strategies; wicked problems","en","journal article","","","","","","","","","","","Science Education and Communication","","",""
"uuid:53403207-3875-4e99-a6f0-f7128f60e942","http://resolver.tudelft.nl/uuid:53403207-3875-4e99-a6f0-f7128f60e942","Moral foundations in gender violence cases decided in Portuguese courts","Martins Martinho Bessa, A.C. (TU Delft Transport and Logistics); Kroesen, M. (TU Delft Transport and Logistics); Chorus, C.G. (TU Delft Industrial Design Engineering)","","2024","Gender violence encompasses a multitude of morally problematic psychological, physical, and sexual behaviors that, in most countries, constitute criminal offenses. In this study, we investigate the association between moral foundations (Care, Fairness, Loyalty, Authority, and Sanctity) and punitive responses to gender violence offenses. Our case study focuses on gender violence in Portugal, a country in which these offenses are a prevalent social problem. We collected data on gender violence legal cases decided in Portuguese courts between 2002 and 2022, and we used a latent class cluster analysis model to identify the complex patterns in the data and reduce such patterns to a distinct number of clusters. Four main clusters unravel latent relations between the foundations mapped in the legal narratives and corresponding punitive responses: (i) Affirmative with suspended prison time (moral rhetoric rooted in Authority); (ii) Mixed outcomes but no prison time (moral rhetoric rooted in Sanctity); (iii) Affirmative with lengthy prison time large compensation (moral rhetoric rooted in Loyalty and Care); and (iv) Affirmative with court fines (moral rhetoric rooted in Fairness). The moral foundations provide a valuable lens to understand the problem of gender violence, but further research is needed to establish the causal mechanisms between morality and punitive responses to gender violence.","Court; domestic violence; gender violence; latent class cluster analysis; legal cases; moral foundations theory; morality","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-05","Industrial Design Engineering","","Transport and Logistics","","",""
"uuid:dafbd1f9-d043-4b34-b0ed-a3a6461d5ee1","http://resolver.tudelft.nl/uuid:dafbd1f9-d043-4b34-b0ed-a3a6461d5ee1","Bayesian Linear Inverse Problems in Regularity Scales with Discrete Observations","Yan, Dong (Student TU Delft); Gugushvili, Shota (Wageningen University & Research); van der Vaart, A.W. (TU Delft Statistics)","","2024","We obtain rates of contraction of posterior distributions in inverse problems with discrete observations. In a general setting of smoothness scales we derive abstract results for general priors, with contraction rates determined by discrete Galerkin approximation. The rate depends on the amount of prior concentration near the true function and the prior mass of functions with inferior Galerkin approximation. We apply the general result to non-conjugate series priors, showing that these priors give near optimal and adaptive recovery in some generality, Gaussian priors, and mixtures of Gaussian priors, where the latter are also shown to be near optimal and adaptive.","35R30; 62G20; Adaptive estimation; Fixed design; Galerkin; Gaussian prior; Hilbert scale; Interpolation; Linear inverse problem; Nonparametric Bayesian estimation; Posterior contraction rate; Random series prior; Regression; Regularity scale","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-07","","","Statistics","","",""
"uuid:23bdd3f9-4114-456c-879b-2e716a0203f1","http://resolver.tudelft.nl/uuid:23bdd3f9-4114-456c-879b-2e716a0203f1","Effects of idealized land cover and land management changes on the atmospheric water cycle","De Hertog, Steven J. (Vrije Universiteit Brussel); Lopez-Fabara, Carmen E. (Vrije Universiteit Brussel); van der Ent, R.J. (TU Delft Water Resources); Keune, Jessica (Universiteit Gent); Miralles, Diego G. (Universiteit Gent); Portmann, Raphael (Agroscope); Schemm, Sebastian (ETH Zürich); Havermann, Felix (Ludwig Maximilians University); Guo, Suqi (Ludwig Maximilians University)","","2024","Land cover and land management changes (LCLMCs) play an important role in achieving low-end warming scenarios through land-based mitigation. However, their effects on moisture fluxes and recycling remain uncertain, although they have important implications for the future viability of such strategies. Here, we analyse the impact of idealized LCLMC scenarios on atmospheric moisture transport in three different Earth system model (ESMs): the Community Earth System Model (CESM), the Max Planck Institute Earth System Model (MPI-ESM), and the European Consortium Earth System Model (EC-EARTH). The LCLMC scenarios comprise of a full cropland world, a fully afforested world, and a cropland world with unlimited irrigation expansion. The effects of these LCLMC in the different ESMs are analysed for precipitation, evaporation, and vertically integrated moisture flux convergence to understand the LCLMC-induced changes in the atmospheric moisture cycle. Then, a moisture tracking algorithm is applied to assess the effects of LCLMC on moisture recycling at the local (grid cell level) and the global scale (continental moisture recycling). By applying a moisture tracking algorithm on fully coupled ESM simulations we are able to quantify the complete effects of LCLMC on moisture recycling (including circulation changes), which are generally not considered in moisture recycling studies. Our results indicate that cropland expansion is generally causing a drying and reduced local moisture recycling, while afforestation and irrigation expansion generally cause wetting and increased local moisture recycling. However, the strength of this effect varies across ESMs and shows a large dependency on the dominant driver. Some ESMs show a dominance of large-scale atmospheric circulation changes while other ESMs show a dominance of local to regional changes in the atmospheric water cycle only within the vicinity of the LCLMC. Overall, these results corroborate that LCLMC can induce substantial effects on the atmospheric water cycle and moisture recycling, both through local effects and changes in atmospheric circulation. However, more research is needed to constrain the uncertainty of these effects within ESMs to better inform future land-based mitigation strategies.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:4ca9de29-9ff2-4194-8caf-79d1f920e083","http://resolver.tudelft.nl/uuid:4ca9de29-9ff2-4194-8caf-79d1f920e083","Safe Sowing Windows for Smallholder Farmers in West Africa in the Context of Climate Variability","Agoungbome, David (TU Delft Water Resources); ten Veldhuis, Marie-claire (TU Delft Water Resources); van de Giesen, N.C. (TU Delft Water Resources)","","2024","Climate variability poses great challenges to food security in West Africa, a region heavily dependent on rainfall for farming. Identifying sowing strategies that minimize yield losses for farmers in the region is crucial to securing their livelihood. In this paper, we investigate three sowing strategies to assess their ability to identify safe sowing windows for smallholder farmers in the Sudanian region of West Africa (WA) in the context of a changing climate. The GIS version of the FAO crop model, AquaCrop-GIS, is used to simulate the yield response of maize (Zea mays L.) to varying sowing dates throughout the rainy season across WA. Based on an average of 38 years of data per grid cell, we identify safe sowing windows across the Sudanian region that secure at least 90% of maximal yield. We find that current sowing strategies, based on minimum thresholds for rainfall accumulated over a period that are widely applied in the region, carry a higher risk of yield failure, especially at the beginning of the rainy season. This analysis shows that delaying sowing for a month to mid-June in the central region (east of Lon 8.5°W), and to early August in the semi-arid areas is a safer strategy that ensures optimal yields. A comparison between the periods 1982–1991 and 1992–2019 shows a negative shift for LO10 mm and LO20 mm, suggesting a wetter regime compared to the dry periods of the 1970s and 1980s. On the contrary, we observe a positive shift in the safe window strategy, highlighting the need for precautions due to erratic rainfall at the beginning of the season. The precipitation-based strategies hold a high risk, while the safe sowing window strategy, easily accessible to smallholder farmers, is more fitting, given the current climate.","climate variability; false start; sowing strategy; West Africa; AquaCrop; sowing window","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:975eb4eb-9c30-4bbd-a07f-5bde6538388d","http://resolver.tudelft.nl/uuid:975eb4eb-9c30-4bbd-a07f-5bde6538388d","Mesoscale modelling of North Sea wind resources with COSMO-CLM: model evaluation and impact assessment of future wind farm characteristics on cluster-scale wake losses","Borgers, Ruben (Katholieke Universiteit Leuven); Dirksen, M. (TU Delft Atmospheric Remote Sensing); Wijnant, Ine L. (Royal Netherlands Meteorological Institute (KNMI)); Stepek, Andrew (Royal Netherlands Meteorological Institute (KNMI)); Stoffelen, Ad (Royal Netherlands Meteorological Institute (KNMI)); Akhtar, Naveed (Helmholtz-Zentrum Hereon); Neirynck, Jérôme (Katholieke Universiteit Leuven); Van de Walle, Jonas (Katholieke Universiteit Leuven); Meyers, Johan (Katholieke Universiteit Leuven); van Lipzig, Nicole P. M. (Katholieke Universiteit Leuven)","","2024","As many coastal regions experience a rapid increase in offshore wind farm installations, inter-farm distances become smaller, with a tendency to install larger turbines at high capacity densities. It is, however, not clear how the wake losses in wind farm clusters depend on the characteristics and spacing of the individual wind farms. Here, we quantify this based on multiple COSMO-CLM simulations, each of which assumes a different, spatially invariant combination of the turbine type and capacity density in a projected, future wind farm layout in the North Sea. An evaluation of the modelled wind climate with mast and lidar data for the period 2008–2020 indicates that the frequency distributions of wind speed and wind direction at turbine hub height are skillfully modelled and the seasonal and inter-annual variations in wind speed are represented well. The wind farm simulations indicate that for a typical capacity density and for SW winds, inter-farm wakes can reduce the capacity factor at the inflow edge of wind farms from 59 % to between 54 % and 30 % depending on the proximity, size and number of the upwind farms. The efficiency losses due to intra- and inter-farm wakes become larger with increasing capacity density as the layout-integrated, annual capacity factor varies between 51.8 % and 38.2 % over the considered range of 3.5 to 10 MW km−2. Also, the simulated efficiency of the wind farm layout is greatly impacted by switching from 5 MW turbines to next-generation, 15 MW turbines, as the annual energy production increases by over 27 % at the same capacity density. In conclusion, our results show that the wake losses in future wind farm clusters are highly sensitive to the inter-farm distances and the capacity densities of the individual wind farms and that the evolution of turbine technology plays a crucial role in offsetting these wake losses.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:adfeb14a-2407-438a-9ade-ca027934e2d0","http://resolver.tudelft.nl/uuid:adfeb14a-2407-438a-9ade-ca027934e2d0","Fleet scheduling for electric towing of aircraft under limited airport energy capacity","Zoutendijk, M. (TU Delft Control & Simulation); Mitici, M.A. (TU Delft Air Transport & Operations; Universiteit Utrecht)","","2024","Taxiing aircraft using electric vehicles is seen as an effective solution to meet aviation targets of climate neutrality. However, making the transition to electric taxiing operations is expected to significantly increase the electricity demand at airports. In this paper we propose a mixed-integer linear program to schedule electric vehicles for aircraft towing and battery charging, while considering a limit for the supply of energy. The objective of the schedule is to maximize emissions savings. For computational tractability, we develop an Adaptive Large Neighbourhood Search which makes use of multiple local search heuristics to identify scheduling solutions. For daily scheduling with a small fleet size, the developed heuristic achieves solutions with an average 4% gap to the best linear programming solution. The results show that charging the vehicles during daytime is essential to maximize saved emissions: removing charging opportunities for a few hours during the day reduces the performance by an average of 6.4%. In addition, it is found that fast charging leads to low vehicle downtime, unless the battery size exceeds 750kWh, when charging rates over 150kW become unnecessary. Overall, our model provides support for infrastructure planning of airports during the transition to aircraft electric taxiing.","Adaptive Large Neighbourhood Search; Charging strategy; Electric taxiing; Electricity capacity; Fleet scheduling","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:8884bec9-7326-436a-8723-86870fb14c87","http://resolver.tudelft.nl/uuid:8884bec9-7326-436a-8723-86870fb14c87","Single-molecule visualization of twin-supercoiled domains generated during transcription","Janissen, R. (TU Delft BN/Bionanoscience); Barth, R. (TU Delft BN/Cees Dekker Lab); Polinder, M.L. (TU Delft BN/Cees Dekker Lab); van der Torre, J. (TU Delft BN/Cees Dekker Lab); Dekker, C. (TU Delft BN/Cees Dekker Lab)","","2024","Transcription-coupled supercoiling of DNA is a key factor in chromosome compaction and the regulation of genetic processes in all domains of life. It has become common knowledge that, during transcription, the DNA-dependent RNA polymerase (RNAP) induces positive supercoiling ahead of it (downstream) and negative supercoils in its wake (upstream), as rotation of RNAP around the DNA axis upon tracking its helical groove gets constrained due to drag on its RNA transcript. Here, we experimentally validate this so-called twin-supercoiled-domain model with in vitro real-time visualization at the single-molecule scale. Upon binding to the promoter site on a supercoiled DNA molecule, RNAP merges all DNA supercoils into one large pinned plectoneme with RNAP residing at its apex. Transcription by RNAP in real time demonstrates that up- and downstream supercoils are generated simultaneously and in equal portions, in agreement with the twin-supercoiled-domain model. Experiments carried out in the presence of RNases A and H, revealed that an additional viscous drag of the RNA transcript is not necessary for the RNAP to induce supercoils. The latter results contrast the current consensus and simulations on the origin of the twin-supercoiled domains, pointing at an additional mechanistic cause underlying supercoil generation by RNAP in transcription.","","en","journal article","","","","","","","","","","BN/Bionanoscience","BN/Cees Dekker Lab","","",""
"uuid:371423e2-8928-4460-b5f1-37427fc08c00","http://resolver.tudelft.nl/uuid:371423e2-8928-4460-b5f1-37427fc08c00","Design and In Vitro Validation of an Orthopaedic Drill Guide for Femoral Stem Revision in Total Hip Arthroplasty","Klok, J.W.A. (TU Delft Medical Instruments & Bio-Inspired Technology); Groenewegen, Jessica (SIMtoCARE); Temmerman, Olivier (Noordwest Hospital); Van Straten, Niels (Van Straten Medical); van Straten, Bart (Van Straten Medical); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Horeman, T. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2024","Objective: Cemented total hip arthroplasty (THA) demonstrates superior survival rates compared to uncemented procedures. Nevertheless, most younger patients opt for uncemented THA, as removing well-fixed bone cement in the femur during revisions is complex, particularly the distal cement plug. This removal procedure often increases the risk of femoral fracture or perforation, haemorrhage and weakening bone due to poor drill control and positioning. Aim of this study was to design a novel drill guide to improve drill positioning. Methods and procedures: A novel orthopaedic drill guide was developed, featuring a compliant centralizer activated by a drill guide actuator. Bone models were prepared to assess centralizing performance. Three conditions were tested: drilling without guidance, guided drilling with centralizer activation held, and guided drilling with centralizer activation released. Deviations from the bone centre were measured at the entry and exit point of the drill. Results: In the centralizing performance test, the drill guide significantly reduced drill hole deviations in both entry and exit points compared to the control (p < 0.05). The absolute deviation on the exit side of the cement plug was 10.59mm (SD 1.56) for the 'No drill guide' condition, 3.02mm (SD 2.09) for 'Drill guide - hold' and 2.12mm (SD 1.71) for 'Drill guide - release'. The compliant drill guide centralizer significantly lowered the risk of cortical bone perforation during intramedullary canal drilling in the bone models due to better control of the cement drill position. Clinical and Translational Impact Statement: The drill guide potentially reduces perioperative risks in cemented femoral stem revision. Future research should identify optimal scenarios for its application.","Drill positioning; guiding mechanisms; medical device prototyping; novel design; sustainable design; total hip arthroplasty","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:2606b384-813c-4ae5-9637-c69b3064834c","http://resolver.tudelft.nl/uuid:2606b384-813c-4ae5-9637-c69b3064834c","The Moon as an effective propellant source: A comprehensive exergy analysis from extraction to depot","Gallbrecht, M. M. (European Space Agency (ESA); Student TU Delft); Cervone, A. (TU Delft Astrodynamics & Space Missions); Vincent-Bonnieu, S. (European Space Agency (ESA))","","2024","Establishing a permanent lunar base has gained increasing attention since it offers opportunities for international cooperation and the commercialization of space, forming the foundation and testing ground for a human existence independent from Earth. Essential to future missions beyond cislunar space is the exploration and in situ processing of the Moon's resources, especially the sustainable production of energetic resources and propellants. Utilizing in situ generated propellants can dramatically reduce transportation costs by removing the need to source propellants from Earth. Resources on the Moon are limited, and the extraction of available resources are energy-intensive processes demanding advanced techniques and technologies. Consequently, one of the biggest challenges lies in developing process architectures with a positive energy balance, for which comprehensive analyses are still missing. The focus currently lies on the extraction of water ice from lunar regolith and the production of hydrogen and oxygen through water electrolysis. However, alternative fuel and process options may reduce the energy cost while providing equivalent energetic revenue. In the scope of this research, the infrastructure and technologies required for extraction, refining, and storing are assumed to exist in cislunar space; therefore, only the operating cost is considered. Exergy analyses of in situ extraction methods are conducted to investigate whether the required energetic budget allows sustainable implementation. The analysis includes extraction methods and propellant options to reveal the extent to which alternatives to hydrogen are feasible. Exergy analyses determine thermodynamic losses of energy flows giving the ground for process optimization. The exergy destructed represents the margin of improvement within the process architecture and thus reflects the process's thermodynamic and economic value while allowing a more distinct examination of energy use. Assuming the availability of water and carbon dioxide ice in permanently shadowed regions, the analysis shows that choosing methane instead of hydrogen in combination with oxygen as propellants can reduce the required exergy input by up to a third. An example mission allows to directly compare the operating cost of the extraction processes for the different propellant options. The mission entails a spacecraft propelled by a liquid bipropellant engine utilizing the extracted propellant and transporting a payload of the same propellant to a depot located in lunar near-rectilinear halo orbit (NRHO). Although abundant in space, the results suggest that hydrogen may not be the only or even energetically cost-effective resource for developing cislunar and Martian space infrastructures. Likewise, sustainable extraction of propellants suitable for current and future propulsion systems will foster humanity's reach further into the solar system.","Depot; Economical; Exergy; Moon; Propellent","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-02","","","Astrodynamics & Space Missions","","",""
"uuid:f601a4e0-25c4-43dc-ab09-2753787e4ed9","http://resolver.tudelft.nl/uuid:f601a4e0-25c4-43dc-ab09-2753787e4ed9","Distribution of economic damages due to climate-driven sea-level rise across European regions and sectors","Cortés Arbués, I. (TU Delft Multi Actor Systems; TU Delft Policy Analysis); Chatzivasileiadis, T. (TU Delft Multi Actor Systems; TU Delft Policy Analysis); Ivanova, Olga (Planbureau voor de Leefomgeving); Storm, S.T.H. (TU Delft Values Technology and Innovation; TU Delft Economics of Technology and Innovation); Bosello, Francesco (Ca' Foscari University Venice; RFF-CMCC European Institute on Economics and the Environment (EIEE)); Filatova, T. (TU Delft Multi Actor Systems; TU Delft Policy Analysis)","","2024","Economic costs of climate change are conventionally assessed at the aggregated global and national levels, while adaptation is local. When present, regionalised assessments are confined to direct damages, hindered by both data and models’ limitations. This article goes beyond the aggregated analysis to explore direct and indirect economic consequences of sea level rise (SLR) at regional and sectoral levels in Europe. Using a dynamic computable general equilibrium model and novel datasets, we estimate the distribution of losses and gains across regions and sectors. A comparison of a high-end scenario against a no-climate-impact baseline suggests a GDP loss of 1.26% (€871.8 billion) for the whole EU&UK. Conversely our refined assessments show that some coastal regions lose 9.56–20.84% of GDP, revealing striking regional disparities. Inland regions grow due to the displaced demand from coastal areas, but the GDP gains are small (0–1.13%). While recovery benefits the construction sector, public services and industry face significant downturns. We show that prioritising recovery of critical sectors locally reduces massive regional GDP losses, at negligible costs to the overall European economy. Our analysis traces regional economic restructuring triggered by SLR, underscoring the necessity of region-specific adaptation policies that embrace uneven geographic impacts and unique sectoral profiles to inform resilient strategy design.","","en","journal article","","","","","","","","","","Multi Actor Systems","Policy Analysis","","",""
"uuid:c390ab8c-1ff0-44d8-8885-a6b1e7088503","http://resolver.tudelft.nl/uuid:c390ab8c-1ff0-44d8-8885-a6b1e7088503","Characterization and mechanical removal of metallic aluminum (Al) embedded in weathered municipal solid waste incineration (MSWI) bottom ash for application as supplementary cementitious material","Chen, B. (TU Delft Materials and Environment); Chen, J. (TU Delft Materials and Environment); França de Mendonça Filho, F. (TU Delft Materials and Environment); Sun, Yubo (Universiteit Gent); van Zijl, Marc Brito (Mineralz); Copuroglu, Oguzhan (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment; Universiteit Gent)","","2024","Municipal solid waste incineration (MSWI) bottom ash, due to its high mineral content, presents great potential as supplementary cementitious material (SCM). Weathering, also known as aging, is a treatment process commonly employed in waste management to minimize the risk of heavy metal leaching from MSWI bottom ash. Using weathered MSWI bottom ash to produce blended cement pastes is considered as a high-value-added and sustainable waste disposal solution. However, a critical challenge arises from the metallic aluminum (Al) in weathered MSWI bottom ash, which is known to induce detrimental effects such as volume expansion and strength loss of blended cement pastes. While most metallic Al in weathered MSWI bottom ash can be removed with eddy current separators in metal recovery plants, the residual metallic Al, owing to its small particle size, cannot be removed with the same technique. This study is dedicated to addressing this issue. An in-depth analysis was conducted on residual metallic Al embedded in weathered MSWI bottom ash particles, aiming to guide the removal of this metal. This analysis revealed that mechanical removal was the most suitable method for extracting metallic Al. The specific processes and mechanisms underlying this method were elucidated. After reducing metallic Al content in weathered MSWI bottom ash by 77 %, a significant improvement in the quality of blended cement pastes was observed. This work contributes to the broader adoption of mechanical treatments for removing residual metallic Al from weathered MSWI bottom ash and facilitates the application of treated ash as SCM.","Mechanical treatments; Metallic aluminum (Al) distribution; Municipal solid waste incineration (MSWI) bottom ash; Supplementary cementitious material (SCM); Weathering","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:a2b62e51-13d8-4f72-962d-3150dd00670c","http://resolver.tudelft.nl/uuid:a2b62e51-13d8-4f72-962d-3150dd00670c","Traditional adhesive production systems in Zambia and their archaeological implications","Fajardo, Sebastian (TU Delft Team Joris Dik; Universiteit Leiden); Zeekaf, Jelte (Wageningen University & Research); van Andel, Tinde (Wageningen University & Research; Naturalis Biodiversity Center; Universiteit Leiden); Maombe, Christabel (National Heritage Conservation Commission); Nyambe, Terry (Livingstone Museum); Mudenda, George (Livingstone Museum); Aleo, A. (TU Delft Team Joris Dik); Kayuni, Martha Nchimunya (Max Planck Institute of Geoanthropology); Langejans, G.H.J. (TU Delft Team Joris Dik; University of Johannesburg)","","2024","This study explores traditional adhesives using an ethnobiological approach within a multisocioecological context in Zambia. Through semi-structured interviews, videotaped demonstrations, and herbarium collections, we investigated the traditional adhesives people know and use, the flexibility of production processes, resource usage, and knowledge transmission in adhesive production. Our findings reveal flexibility in adhesive production systems. People use a wide range of organic and inorganic materials in their adhesive recipes. Recipes are flexible, demonstrating the ability to adapt to changes and substitute materials as needed to achieve the desired end product. Additionally, our study reveals a variety of redundant pathways for knowledge transmission typically confined within individual population groups. These include same-sex vertical transmission and distinct learning spaces and processes. Also, we identified material procurement zones showing that people are prepared to travel 70 km for ingredients. We use our findings to review the archaeology and we discuss the identification of archaeological adhesives, the functional roles of adhesive materials, adhesive storage, and the sustained human interaction with species from families such as Euphorbiaceae and Apiade. Our findings underscore the diversity and adaptability of traditional adhesive production and suggest that further research on adhesives would reveal similar diversity within the archaeological record.","Adaptive systems; Adhesives; Complex technology; Ethnobiology; Euphorbiaceae; Glue; Knowledge transmission; Resilience; Resin; Zambia","en","journal article","","","","","","","","","","","Team Joris Dik","","",""
"uuid:3a823325-8b9b-4bae-bf10-becc58901b21","http://resolver.tudelft.nl/uuid:3a823325-8b9b-4bae-bf10-becc58901b21","The geography of collective bargaining in French multi-establishment companies","Askenazy, Philippe (Maurice Halbwachs Center (CMH)); Cottineau, C. (TU Delft Urban Studies; Maurice Halbwachs Center (CMH))","","2024","Despite growing interest in the firm bargaining process, little research focuses on the structure of bargaining within multi-establishment firms. We question whether running negotiations at the workplace level and/or firm level is a strategic choice for employers. We hypothesize that the level chosen depends on the geography of the firm. Employers face a trade-off: workplace bargaining is more efficient because it meets local conditions; yet higher level negotiations increase coordination costs for workers and weakens their bargaining power, which can benefit the employer. Using a French representative survey, we find a significant relation between the level of bargaining within a firm and the number, spatial distribution and heterogeneity of its establishments, suggesting that the structure of multi-establishment firms can inform the level at which collective bargaining takes place.","","en","journal article","","","","","","","","","","","Urban Studies","","",""
"uuid:37a7080d-b540-4cfd-bc75-9a1315aa8c60","http://resolver.tudelft.nl/uuid:37a7080d-b540-4cfd-bc75-9a1315aa8c60","Predicting nodal influence via local iterative metrics","Zhang, S. (TU Delft Multimedia Computing); Hanjalic, A. (TU Delft Intelligent Systems); Wang, H. (TU Delft Multimedia Computing)","","2024","Nodal spreading influence is the capability of a node to activate the rest of the network when it is the seed of spreading. Combining nodal properties (centrality metrics) derived from local and global topological information respectively has been shown to better predict nodal influence than using a single metric. In this work, we investigate to what extent local and global topological information around a node contributes to the prediction of nodal influence and whether relatively local information is sufficient for the prediction. We show that by leveraging the iterative process used to derive a classical nodal centrality such as eigenvector centrality, we can define an iterative metric set that progressively incorporates more global information around the node. We propose to predict nodal influence using an iterative metric set that consists of an iterative metric from order 1 to K produced in an iterative process, encoding gradually more global information as K increases. Three iterative metrics are considered, which converge to three classical node centrality metrics, respectively. In various real-world networks and synthetic networks with community structures, we find that the prediction quality of each iterative based model converges to its optimal when the metric of relatively low orders (K∼4) are included and increases only marginally when further increasing K. This fast convergence of prediction quality with K is further explained by analyzing the correlation between the iterative metric and nodal influence, the convergence rate of each iterative process and network properties. The prediction quality of the best performing iterative metric set with K=4 is comparable with the benchmark method that combines seven centrality metrics: their prediction quality ratio is within the range [91%,106%] across all three quality measures and networks. In two spatially embedded networks with an extremely large diameter, however, iterative metric of higher orders, thus a large K, is needed to achieve comparable prediction quality with the benchmark.","OA-Fund TU Delft","en","journal article","","","","","","","","","","Intelligent Systems","Multimedia Computing","","",""
"uuid:0a28a9de-726c-4376-8a15-70cfd8f50bc1","http://resolver.tudelft.nl/uuid:0a28a9de-726c-4376-8a15-70cfd8f50bc1","A hierarchic isogeometric hyperelastic solid-shell","Leonetti, Leonardo (University of Calabria; Ho Chi Minh City University of Technology (HCMUT)); Verhelst, H.M. (TU Delft Ship and Offshore Structures; TU Delft Numerical Analysis)","","2024","The present study aims to develop an original solid-like shell element for large deformation analysis of hyperelastic shell structures in the context of isogeometric analysis (IGA). The presented model includes a new variable to describe the thickness change of the shell and allows for the application of unmodified three-dimensional constitutive laws defined in curvilinear coordinate systems and the analysis of variable thickness shells. In this way, the thickness locking affecting standard solid-shell-like models is cured by enhancing the thickness strain by exploiting a hierarchical approach, allowing linear transversal strains. Furthermore, a patch-wise reduced integration scheme is adopted for computational efficiency reasons and to annihilate shear and membrane locking. In addition, the Mixed-Integration Point (MIP) format is extended to hyperelastic materials to improve the convergence behaviour, hence the efficiency, in Newton iterations. Using benchmark problems, it is shown that the proposed model is reliable and resolves locking issues that were present in the previously published isogeometric solid-shell formulations.","Hyperelastic materials; Isogeometric analysis; Large deformations; Large Strains; MIP Newton; Solid-shells","en","journal article","","","","","","","","","","","Ship and Offshore Structures","","",""
"uuid:a16ebbae-f8d3-4453-a38a-f5de9e99b92d","http://resolver.tudelft.nl/uuid:a16ebbae-f8d3-4453-a38a-f5de9e99b92d","Estimation of the incubation time distribution in the singly and doubly interval censored model","Groeneboom, P. (TU Delft Statistics)","","2024","We analyze nonparametric estimators for the distribution function of the incubation time in the singly and doubly interval censoring model. The classical approach is to use parametric families like Weibull, log-normal or gamma distributions in the estimation procedure. We propose nonparametric estimates for functions of the observations, which stay closer to the data than the classical parametric methods. We also give explicit limit distributions for discrete versions of the models and apply this to compute confidence intervals. The methods complement the analysis of the continuous model in Groeneboom (2021, 2023). R scripts for computation of the estimates are provided in Groeneboom (2020).","confidence intervals; deconvolution; double interval censoring; Fisher information; incubation time; single interval censoring; support reduction","en","journal article","","","","","","","","","","","Statistics","","",""
"uuid:8e37b9b1-c3ab-4c93-b6d3-1c93ed4742b5","http://resolver.tudelft.nl/uuid:8e37b9b1-c3ab-4c93-b6d3-1c93ed4742b5","Understanding people-centered planning practice in Europe: An institutionalist comparison between urban development projects in Vienna and Helsingborg","Janssen, C. (TU Delft Practice Chair Urban Area Development)","","2024","Pursuing people-centered planning practices (PCPs) such as participatory approaches demands a better understanding of how their operationalization relates to contextual structures and conditions in urban governance. By comparing two cases of social sustainability operationalization in Austrian and Swedish urban development projects based on Ostrom’s IAD-framework, this article identifies institutions relating to performed people-centered activities. The findings reveal that PCPs do not necessarily benefit from highly formalized rules prescribing specific localized activities. Instead, they are shaped by institutions that (1) position the actors responsible for performing people-centered activities, (2) define strong socially-oriented and innovation-oriented outcomes, and (3) enable funding that upholds long-term public interests within the markets that co-shape the projects.","IAD framework; urban development project; localism; people-centered; social sustainability; participatory planning","en","journal article","","","","","","","","","","","Practice Chair Urban Area Development","","",""
"uuid:a7fdaa8a-4d72-4c9a-87f6-4da6c2726b73","http://resolver.tudelft.nl/uuid:a7fdaa8a-4d72-4c9a-87f6-4da6c2726b73","Promoting the private rented sector in metropolitan China: Key challenges and solutions","Li, B. (TU Delft Real Estate Management); van der Heijden, H.M.H. (TU Delft Real Estate Management); Jansen, S.J.T. (TU Delft Real Estate Management); Yan, Juan (Tongji University); Boelhouwer, P.J. (TU Delft Real Estate Management)","","2024","The private rented sector (PRS) plays an increasingly important role in accommodating young people and migrants in China’s metropolitan cities. However, the PRS in China is still underdeveloped, as evidenced by, e.g. a low degree of professionalisation, lack of basic rental laws and regulations, and poor housing experiences of tenants. The purpose of the current article is to identify the main challenges towards a well-functioning PRS, as perceived by Chinese local governments, landlords, and tenants, and to propose possible solutions to cope with these challenges. After reviewing both academic and grey literature and exploring the results of our previous research, we found that these challenges result from three main root causes, i.e. power imbalance between landlords and tenants, inadequate institutional arrangements, and path dependence on the past productivism model. Based on the analysis, a number of recommendations were proposed, including formalising the PRS, introducing regulations, setting minimum housing standards, and increasing public school availability to enhance equal citizenship rights between renters and homeowners.","private rented sector; challenges; solutions; rent regulation; housing inequality","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-04","","","Real Estate Management","","",""
"uuid:f4487e7b-95e3-4d32-b10f-865a2195aa24","http://resolver.tudelft.nl/uuid:f4487e7b-95e3-4d32-b10f-865a2195aa24","A novel machine learning model to design historical-independent health indicators for composite structures","Moradi, M. (TU Delft Group Zarouchas); Gul, F.C. (TU Delft Group Zarouchas); Zarouchas, D. (TU Delft Group Zarouchas)","","2024","Developing comprehensive health indicators (HIs) for composite structures encompassing various damage types is challenging due to the stochastic nature of damage accumulation and uncertain events (like impact) during operation. This complexity is amplified when striving for HIs independent of historical data. This paper introduces an AI-driven approach, the Hilbert transform-convolutional neural network under a semi-supervised learning paradigm, to designing reliable HIs (fulfilling requirements, referred to as 'fitness'). It exclusively utilizes current guided wave data, eliminating the need for historical information. Ensemble learning techniques were also used to enhance HI quality while reducing deep learning randomness. The fitness equation is refined for dependable comparisons and practicality. The methodology is validated through investigations on T-single stiffener CFRP panels under compression-fatigue and dogbone CFRP specimens under tension-fatigue loadings, showing high performance of up to 93% and 81%, respectively, in prognostic criteria.","Compression-compression fatigue; Intelligent health indicator; Prognostics and health management; Semi-supervised learning; T-single stiffener CFRP; Tension-tension fatigue","en","journal article","","","","","","","","","","","Group Zarouchas","","",""
"uuid:9a1569e1-d573-43e2-93a4-257dfd6cd22f","http://resolver.tudelft.nl/uuid:9a1569e1-d573-43e2-93a4-257dfd6cd22f","Global diversity of enterococci and description of 18 previously unknown species","Schwartzman, Julia A. (Harvard Medical School; University of Southern California); Lebreton, Francois (Harvard Medical School; Walter Reed Army Institute of Research); Salamzade, Rauf (Massachusetts Institute of Technology; University of Wisconsin School of Medicine and Public Health); Shea, Terrance (Massachusetts Institute of Technology); Martin, Melissa J. (Harvard Medical School; Walter Reed Army Institute of Research); Schaufler, Katharina (Harvard Medical School; Greifswald University; Christian-Albrechts-Universität zu Kiel); Urhan, A. (TU Delft Pattern Recognition and Bioinformatics; Massachusetts Institute of Technology); Abeel, T.E.P.M.F. (TU Delft Pattern Recognition and Bioinformatics; Massachusetts Institute of Technology); Camargo, Ilana L.B.C. (Universidade de São Paulo)","","2024","Enterococci are gut microbes of most land animals. Likely appearing first in the guts of arthropods as they moved onto land, they diversified over hundreds of millions of years adapting to evolving hosts and host diets. Over 60 enterococcal species are now known. Two species, Enterococcus faecalis and Enterococcus faecium, are common constituents of the human microbiome. They are also now leading causes of multidrug-resistant hospital-associated infection. The basis for host association of enterococcal species is unknown. To begin identifying traits that drive host association, we collected 886 enterococcal strains from widely diverse hosts, ecologies, and geographies. This identified 18 previously undescribed species expanding genus diversity by >25%. These species harbor diverse genes including toxins and systems for detoxification and resource acquisition. Enterococcus faecalis and E. faecium were isolated from diverse hosts highlighting their generalist properties. Most other species showed a more restricted distribution indicative of specialized host association. The expanded species diversity permitted the Enterococcus genus phylogeny to be viewed with unprecedented resolution, allowing features to be identified that distinguish its four deeply rooted clades, and the entry of genes associated with range expansion such as B-vitamin biosynthesis and flagellar motility to be mapped to the phylogeny. This work provides an unprecedentedly broad and deep view of the genus Enterococcus, including insights into its evolution, potential new threats to human health, and where substantial additional enterococcal diversity is likely to be found.","antibiotic resistance; Enterococcus; genomics; global diversity; host microbe interaction","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:71534aae-41f4-435a-8402-dd23e104483a","http://resolver.tudelft.nl/uuid:71534aae-41f4-435a-8402-dd23e104483a","Damage Classification of a Bolted Connection using Guided Waves and Explainable Artificial Intelligence","Hu, M. (TU Delft Structural Integrity & Composites; Harbin Engineering University); Yue, N. (TU Delft Group Yue); Groves, R.M. (TU Delft Group Groves)","","2024","With the improvements in computational power and advances in chip and sensor technology, the applications of machine learning (ML) technologies in structural health monitoring (SHM) are increasing rapidly. Compared with traditional methods, deep learning based SHM (Deep SHM) methods are more efficient and have a higher accuracy. However, due to the black box nature of deep learning, the trained models are usually difficult to interpret, which blocks their practical application. Therefore, it is of great importance to develop explainable artificial intelligence (XAI) methods to understand the internal decision-making mechanisms of damage classification in Deep SHM. In this paper, a novel XAI algorithm named Deep Gradient-weighted Class Activation Mapping (Deep Grad CAM) is proposed by combining the existing method Grad CAM with the convolutional neural network (CNN) deconvolution mechanism. In this paper, Deep Grad CAM is used to interpret a one-dimensional convolutional neural network trained to detect bolt loosening based on guided wave propagation. The interpretation performance of Deep Grad CAM is compared with Grad CAM, and their performances are quantified using Infidelity. The results show that the Infidelity of Deep Grad CAM is much smaller than that of Grad CAM, indicating significant improvements in explanation accuracy and reliability.","deep learning; explainable AI (XAI); guided waves; one-dimensional convolutional neural network (1D CNN); structural health monitoring (SHM)","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:62166ffb-ad44-4afc-aedd-234b9cd4a399","http://resolver.tudelft.nl/uuid:62166ffb-ad44-4afc-aedd-234b9cd4a399","Carbon solute drag effect on the growth of carbon supersaturated bainitic ferrite: Modeling and experimental validations","Dai, Zongbiao (Xi’an Jiaotong University); Chen, H. (TU Delft Novel Aerospace Materials; Tsinghua University); Sun, Junjie (Xi’an Jiaotong University); van der Zwaag, S. (TU Delft Group Garcia Espallargas); Sun, Jun (Xi’an Jiaotong University)","","2024","The carbon partitioning and lengthening rate of bainitic ferrite (αb) are excellent experimental parameters to estimate our level of understanding of the mechanism of bainitic transformation from a continuum perspective and our ability to capture it in analytical expressions. For Fe-C alloys and relatively simple steels the classical Zener-Hillert theory captures the bainitic transformation rather well but mispredicts the level of carbon in solution in the bainite and overestimates the lengthening rates for transformations at lower temperatures. To address this issue, this paper presents a new thermo-kinetic model based on the Zener-Hillert theory and the Gibbs energy balance concept to simulate the lengthening behavior of αb in the Fe-C and low alloyed steels. The model incorporates the effect of the temperature dependent carbon diffusion within the migrating interface via a temperature dependent ferrite/austenite interfacial energy and a temperature dependent diffusion coefficient but does not impose local equilibrium across the interface. The good agreement between the model predictions and nine sets of published experiments indicates that both the carbon supersaturation in αb and the slower lengthening rate are caused by carbon diffusion within the migrating interface. It is found that the degree of carbon supersaturation in αb increases significantly with decreasing temperature. Consequently, the enhanced carbon solute drag effect, resulting from carbon diffusion within the interface, strongly retards the lengthening rates of αb at lower temperatures. Transformation strain is shown to have a modest effect on the lengthening rates but to lower the degree of carbon supersaturation.","Bainite formation; Carbon diffusion; Carbon supersaturation; Energy dissipation; Lengthening kinetics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-02","","","Novel Aerospace Materials","","",""
"uuid:1c5b4a48-1f6c-46fe-a480-82354e07aa5c","http://resolver.tudelft.nl/uuid:1c5b4a48-1f6c-46fe-a480-82354e07aa5c","Neural network relief: a pruning algorithm based on neural activity","Dekhovich, A. (TU Delft Team Marcel Sluiter); Tax, D.M.J. (TU Delft Pattern Recognition and Bioinformatics); Sluiter, M.H.F. (TU Delft Team Marcel Sluiter); Bessa, M.A. (Brown University)","","2024","Current deep neural networks (DNNs) are overparameterized and use most of their neuronal connections during inference for each task. The human brain, however, developed specialized regions for different tasks and performs inference with a small fraction of its neuronal connections. We propose an iterative pruning strategy introducing a simple importance-score metric that deactivates unimportant connections, tackling overparameterization in DNNs and modulating the firing patterns. The aim is to find the smallest number of connections that is still capable of solving a given task with comparable accuracy, i.e. a simpler subnetwork. We achieve comparable performance for LeNet architectures on MNIST, and significantly higher parameter compression than state-of-the-art algorithms for VGG and ResNet architectures on CIFAR-10/100 and Tiny-ImageNet. Our approach also performs well for the two different optimizers considered—Adam and SGD. The algorithm is not designed to minimize FLOPs when considering current hardware and software implementations, although it performs reasonably when compared to the state of the art.","Connection pruning; Iterative pruning; Neural network pruning; Sparsity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-05","","","Team Marcel Sluiter","","",""
"uuid:ad8e8a0b-7228-4f33-9a86-5b51f774922b","http://resolver.tudelft.nl/uuid:ad8e8a0b-7228-4f33-9a86-5b51f774922b","Downsizing and the use of timber as embodied carbon reduction strategies for new-build housing: A partial life cycle assessment","Souaid, C. (TU Delft Urban Development Management); ten Caat, P.N. (TU Delft Environmental & Climate Design); Meijer, A. (TU Delft Environmental & Climate Design); Visscher, H.J. (TU Delft Design & Construction Management)","","2024","The 2050 decarbonization goals coupled with the growing housing shortage in Europe intensify the pressure on new-build dwellings to enhance their energy performance. Beyond a zero operational energy, the focus has shifted towards reducing embodied carbon (EC). Against this backdrop, this study investigates the simultaneous impact of downsizing and the use of timber in new-build dwellings, EC reduction strategies seldom explored concurrently. Through partial life cycle assessments, three scenarios are modelled: the Small, Medium, and Large House, with two construction variations for each, comparing a modular timber design to a conventional concrete alternative. Designs are based on dwellings built in Almere, the Netherlands. Data is extracted from the Swiss Ecoinvent database using the TOTEM tool and the static −1/+1 approach for biogenic carbon accounting is adopted. Results show a total EC ranging from 42,608 to 70,384 kgCO2eq for the timber designs versus 54,681 to 91,270 kgCO2eq for their concrete counterparts. Findings suggest that the relationship between house size and EC is sublinear whereby a house twice the size entails less than twice the EC emissions. Only the simultaneous implementation of downsizing and the use of timber achieved 53% carbon savings. The discussion explores implications of outcomes across academic, industry and policy perspectives, challenges in implementing smaller timber dwellings, and study limitations and future research. Beyond its empirical contribution, this paper offers a practical contribution with its hierarchical data analysis approach covering building, element and component. This approach can be implemented by researchers and practitioners alike to inform their design process.","Embodied carbon; Life cycle assessment; Timber construction; Downsizing; House size; Housing","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:db8a45fb-5bf5-4320-a11a-138ae5a80939","http://resolver.tudelft.nl/uuid:db8a45fb-5bf5-4320-a11a-138ae5a80939","A new numerical modelling framework for fixed oscillating water column wave energy conversion device combining BEM and CFD methods: Validation with experiments","Raghavan, V. (TU Delft Offshore Engineering); Simonetti, Irene (University of Florence); Metrikine, A. (TU Delft Hydraulic Engineering; TU Delft Engineering Structures); Lavidas, G. (TU Delft Offshore Engineering); Cappietti, Lorenzo (University of Florence)","","2024","The Oscillating Water Column (OWC) wave energy converter has been shown to have high potential, thus rendering extensive development in recent years. In order to further accelerate its development, highly accurate yet computationally efficient tools are necessary particularly when studying the interaction of multiple OWC devices. This paper proposes a new framework for fixed OWC devices with an orifice, that uses the input from a high fidelity non-linear numerical model to improve the accuracy of a low fidelity linear numerical model keeping computational costs low. This is done by accounting for the non-linearities in the pressure-flow of an orifice in the input to the linear numerical model. Experimental data is used to validate the framework, thus providing an accurate and computationally efficient linear numerical model, that can be used for the preliminary analysis of fixed OWC devices.","wave energy; computational fluid dynamic (CFD); Boundary Element Method (BEM); Oscillating Water Column; HAMS-MREL; OpenFOAM; Framework","en","journal article","","","","","","","","","","Hydraulic Engineering","Offshore Engineering","","",""
"uuid:876fad45-94fd-4f78-a250-a48a4d229216","http://resolver.tudelft.nl/uuid:876fad45-94fd-4f78-a250-a48a4d229216","MACE: Automated Assessment of Stereochemistry of Transition Metal Complexes and Its Applications in Computational Catalysis","Chernyshov, I. (TU Delft ChemE/Inorganic Systems Engineering); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering)","","2024","Computational chemistry pipelines typically commence with geometry generation, well-established for organic compounds but presenting a considerable challenge for transition metal complexes. This paper introduces MACE, an automated computational workflow for converting chemist SMILES/MOL representations of the ligands and the metal center to 3D coordinates for all feasible stereochemical configurations for mononuclear octahedral and square planar complexes directly suitable for quantum chemical computations and implementation in high-throughput computational chemistry workflows. The workflow is validated through a structural screening of a data set of transition metal complexes extracted from the Cambridge Structural Database. To further illustrate the power and capabilities of MACE, we present the results of a model DFT study on the hemilability of pincer ligands in Ru, Fe, and Mn complexes, which highlights the utility of the workflow for both focused mechanistic studies and larger-scale high-throughput pipelines.","","en","journal article","","","","","","Publisher Copyright: © 2024 The Authors. Published by American Chemical Society","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:e9c748fa-0998-41d9-838f-c2bdb97b7d3a","http://resolver.tudelft.nl/uuid:e9c748fa-0998-41d9-838f-c2bdb97b7d3a","A Novel Composite Sensor for UHF Partial Discharge and Gas State Measurement in GIS","Chen, Huan (Xi’an Jiaotong University); Zhang, Zhaoyu (Xi’an Jiaotong University); Guo, R. (TU Delft High Voltage Technology Group); Han, Xutao (Xi’an Jiaotong University); Zhang, Xuanrui (Xi’an Jiaotong University); Lin, Junhao (Xi’an Jiaotong University)","","2024","The gas state of the insulating gas significantly impacts the development and extent of the partial discharge (PD) in gas-insulated switchgear (GIS). Correlating these two factors allows for a more comprehensive diagnosis of insulation defects. At present, the ultrahigh-frequency (UHF) method has been widely used for PD detection in GIS. However, the measurements of the insulating gas’s state in the field are primarily conducted offline or at gas valves, providing ineffective data for reference. To address this challenge, this article introduces a novel composite sensor capable of simultaneous UHF and gas state measurements. Building upon the disk-type UHF sensor structure, the composite sensor integrates a gas state sensing unit with the micro-electromechanical systems (MEMS) sensor as the core. A robust shielding shell is designed through finite element simulation to ensure the safety of the sensor, with the maximum induced voltage and electric field strength on the sensing unit measuring 0.28 V and 414 V/m, respectively. The average UHF measurement sensitivity of the composite sensor reaches 13.3 mm. In addition, the composite sensor exhibits low errors (±0.2 °C, ±3 kPa, and $\pm 10~\mu \text{L}$ /L), high consistency and repeatability in temperature, pressure, and moisture measurement. Finally, the composite sensor has demonstrated its capability to simultaneously and safely detect PD signals and the gas state within GIS.","Combined detection; electromagnetic shielding; gas-insulated switchgear (GIS); gas state; micro-electromechanical systems (MEMS) sensor; ultrahigh frequency (UHF)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-01","","","High Voltage Technology Group","","",""
"uuid:a4085c4b-de19-4894-8c45-ea6b684bfe3c","http://resolver.tudelft.nl/uuid:a4085c4b-de19-4894-8c45-ea6b684bfe3c","Centimeter-Level Indoor Visible Light Positioning","Zhu, R. (TU Delft Embedded Systems); Van Den Abeele, Maxim (Katholieke Universiteit Leuven); Beysens, Jona (CSEM SA); Yang, J. (TU Delft Web Information Systems); Wang, Q. (TU Delft Embedded Systems)","","2024","Visible light positioning (VLP) based on the received signal strength (RSS) can leverage a dense deployment of LEDs in future lighting infrastructure to provide accurate and energy-efficient indoor positioning. However, its positioning accuracy heavily depends on the density of collected fingerprints, which is labor-intensive. In this work, we propose a data pre-processing method, including data cleaning and data augmentation, to construct reliable and dense fingerprint samples, thereby alleviating the impact of noisy samples as well as reducing labor intensity. Extensive experiments demonstrate that our proposed method achieves an average positioning error of 1.7 cm, utilizing a sparse dataset that reduces the fingerprint collection effort by 98 percent. Running a tinyML-based model for VLP on the Arduino Nano microcontroller, we also show the possibilities for deploying RSS fingerprint-based VLP systems on resource-constrained embedded devices for real-world applications.","","en","journal article","","","","","","","","2024-09-30","","","Embedded Systems","","",""
"uuid:a8a413df-ce92-4833-9dbd-98b07f0c1b71","http://resolver.tudelft.nl/uuid:a8a413df-ce92-4833-9dbd-98b07f0c1b71","Irradiation resistance of thermo-optical properties of zirconium diboride by 3 MeV electrons","Rønning, K.D. (Student TU Delft); Tang, Y. (TU Delft Group Tang)","","2024","Due to good thermal conductivity and thermal shock resistance, ultra-high temperature ceramics such as zirconium diboride (ZrB2) have been investigated as promising materials to be used in reusable thermal protection systems TPSs are vital to the heat balance of a spacecraft during atmospheric reentry and subsequent operation in space. Hence, the thermal and optical properties are especially critical for such applications. Meanwhile, radiation exposure in space can pose risks of degrading such material properties, especially over a prolonged mission duration. The interaction of electron radiation-which can be found in the outer Van Allen belt, with ZrB2 has not been studied previously and was chosen as the main scope of this study. An electron source of 3 MeV with different radiation exposure time was used. The response of thermo-optical properties of ZrB2 to increasing electron radiation fluences was investigated. ZrB2 samples were made through spark plasma sintering into sintered pellets and then exposed to 3 MeV electron irradiation. These ZrB2 samples were characterized by their microstructure, thermal conductivity, coefficient of thermal expansion (CTE), emittance, absorptivity, and surface roughness before and after irradiation. It was found that ZrB2’s thermo-optical properties showed high radiation resistance at these fluences, and no apparent microstructural change was observed after irradiation. However, the irradiated samples had, on average, a 29% lower surface roughness than the unirradiated samples, possibly originating from electron sputtering.","zirconium diboride; electron irradiation; thermal protection systems; thermal properties; optical properties","en","journal article","","","","","","","","","","","Group Tang","","",""
"uuid:835a8662-ebd2-4456-b9de-02ec74bb382f","http://resolver.tudelft.nl/uuid:835a8662-ebd2-4456-b9de-02ec74bb382f","Polydispersity effect on dry and immersed granular collapses: an experimental study","Polanía, Oscar (Universidad de los Andes; Université de Montpellier); Estrada, Nicolas (Universidad de los Andes); Azéma, Emilien (Institut Universitaire de France; Université de Montpellier); Renouf, Mathieu (Université de Montpellier); Cabrera, M.A. (TU Delft Geo-engineering)","","2024","The column collapse experiment is a simplified version of natural and industrial granular flows. In this set-up, a column built with grains collapses and spreads over a horizontal plane. Granular flows are often studied with a monodisperse distribution; however, this is not the case in natural granular flows where a variety of grain sizes, known as polydispersity, is a common feature. In this work, we study the effect of polydispersity, and of the inherent changes that polydispersity causes in the initial packing fraction, in dry and immersed columns. We show that dry columns are not significantly affected by polydispersity, reaching similar distances at similar times. In contrast, immersed columns are strongly affected by the polydispersity and packing fraction, and the collapse sequence is linked to changes of the basal pore fluid pressure P. At the collapse initiation, negative changes of P beneath the column produce a temporary increase of the column strength. The negative change of P lasts longer in polydisperse columns than in monodisperse columns, delaying the collapse sequence. Conversely, during the column spreading, positive changes of P lead to a decrease of the shear strength. For polydisperse collapses, the excess of P lasts longer, allowing the material to reach farther distances, compared with the collapses of monodisperse materials. Finally, we show that a mobility model that scales the final runout with the collapse kinetic energy remains true for different polydispersity levels in a three-dimensional configuration, capturing the scaling between the micro to macro controlling features.","avalanches; gravity currents; particle/fluid flow","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-21","","","Geo-engineering","","",""
"uuid:7a7c041f-a807-4ba5-9535-206bf6615500","http://resolver.tudelft.nl/uuid:7a7c041f-a807-4ba5-9535-206bf6615500","Recommendations for accelerating open preprint peer review to improve the culture of science","Avissar-Whiting, Michele (Howard Hughes Medical Institute, Cambridge); Belliard, F. (TU Delft Scholarly Communications and Publishing); Bertozzi, Stafano M. (University of California Berkeley); Brand, Amy (Massachusetts Institute of Technology); Brown, Katherine (The Company of Biologists, Cambridge); Clément-Stoneham, Géraldine (UKRI, London); Dawson, Staphanie (ScienceOpen, Berlin); Dey, Gautam (European Molecular Biology Laboratory Heidelberg); Ecer, Daniel (Sciety/eLife, Cambridge)","","2024","AUPeer: Plea reviewsecoisnfianrmthimportant atallheadi part nglof evethelsarere scientific presenteprocess, dcorrectbut ly: traditional peer review at journals is coming under increased scrutiny for its inefficiency and lack of transparency. As preprints become more widely used and accepted, they raise the possibility of rethinking the peer-review process. Preprints are enabling new forms of peer review that have the potential to be more thorough, inclusive, and collegial than traditional journal peer review, and to thus fundamentally shift the culture of peer review toward constructive collaboration. In this Consensus View, we make a call to action to stakeholders in the community to accelerate the growing momentum of preprint sharing and provide recommendations to empower researchers to provide open and constructive peer review for preprints.","","en","journal article","","","","","","","","","","","Scholarly Communications and Publishing","","",""
"uuid:606a9222-0b59-4b6a-8c84-64e808477a1d","http://resolver.tudelft.nl/uuid:606a9222-0b59-4b6a-8c84-64e808477a1d","Effect of the number of Cyperus rotundus and medium height on the performance of batch-constructed wetland in treating aquaculture effluent","Imron, M.F. (TU Delft Sanitary Engineering; Airlangga University); Hestianingsi, Wa Ode Ayu (Airlangga University); Putranto, Trisnadi Widyaleksono Catur (Airlangga University); Citrasari, Nita (Airlangga University); Abdullah, Siti Rozaimah Sheikh (Universiti Kebangsaan Malaysia); Hasan, Hassimi Abu (Universiti Kebangsaan Malaysia); Kurniawan, Setyo Budi (Institute of Microbiology of the Academy of Sciences of the Czech Republic)","","2024","Increasing aquaculture cultivation produces large quantities of wastewater. If not handled properly, it can have negative impacts on the environment. Constructed wetlands (CWs) are one of the phytoremediation methods that can be applied to treat aquaculture effluent. This research was aimed at determining the performance of Cyperus rotundus in removing COD, BOD, TSS, turbidity, ammonia, nitrate, nitrite, and phosphate from the batch CW system. Treatment was carried out for 30 days with variations in the number of plants (10, 15, and 20) and variations in media height (10, 12, and 14 cm). The result showed that aquaculture effluent contains high levels of organic compounds and nutrients, and C. rotundus can grow and thrive in 100% of aquaculture effluent. Besides that, the use of C. rotundus in CWs with the effect of numbers of plants and media height showed performance of COD, BOD, TSS, turbidity, ammonia, nitrate, nitrite, and phosphate with 70, 79, 90, 96, 64, 82, 92, and 48% of removal efficacy, respectively. There was no negative impact observed on C. rotundus growth after exposure to aquaculture effluent, as indicated by the increase in wet weight, dry weight, and growth rate when compared to the control. Thus, adding aquaculture effluent to CWs planted with C. rotundus supports the growth and development of plants while also performing phytoremediation.","Aquaculture; Environmental pollution; Phytotechnology; Sustainable treatment","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-02","","","Sanitary Engineering","","",""
"uuid:7c702c97-ce75-4226-a15d-1b96f6da087c","http://resolver.tudelft.nl/uuid:7c702c97-ce75-4226-a15d-1b96f6da087c","Beyond control over data: Conceptualizing data sovereignty from a social contract perspective","Abbas, A.E. (TU Delft Information and Communication Technology); van Velzen, Thomas (Student TU Delft); Ofe, H.A. (TU Delft Information and Communication Technology); van de Kaa, G. (TU Delft Economics of Technology and Innovation); Zuiderwijk-van Eijk, A.M.G. (TU Delft Information and Communication Technology); de Reuver, Mark (TU Delft Information and Communication Technology)","","2024","In the data economy, data sovereignty is often conceptualized as data providers’ ability to control their shared data. While control is essential, the current literature overlooks how this facet interrelates with other sovereignty facets and contextual conditions. Drawing from social contract theory and insights from 31 expert interviews, we propose a data sovereignty conceptual framework encompassing protection, participation, and provision facets. The protection facets establish data sharing foundations by emphasizing baseline rights, such as data ownership. Building on this foundation, the participation facet, through responsibility divisions, steers the provision facets. Provision comprises facets such as control, security, and compliance mechanisms, thus ensuring that foundational rights are preserved during and after data sharing. Contextual conditions (data type, organizational size, and business data sharing setting) determine the level of difficulty in realizing sovereignty facets. For instance, if personal data is shared, privacy becomes a relevant protection facet, leading to challenges of ownership between data providers and data subjects, compliance demands, and control enforcement. Our novel conceptualization paves the way for coherent and comprehensive theory development concerning data sovereignty as a complex, multi-faceted construct.","Data economy; Data marketplaces; Data sharing; Data sovereignty; L86; Meta-platforms","en","journal article","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:e56b944e-f24d-4893-81b6-4dd8304fe9aa","http://resolver.tudelft.nl/uuid:e56b944e-f24d-4893-81b6-4dd8304fe9aa","Full nitrogen and phosphorus removal in the PASDEBPR system","Kamath, P. (IHE Delft Institute for Water Education); Rubio-Rincón, F. J. (IHE Delft Institute for Water Education); Brdjanovic, Damir (TU Delft BT/Environmental Biotechnology; IHE Delft Institute for Water Education); Lopez-Vazquez, C. M. (IHE Delft Institute for Water Education)","","2024","Photo-activated sludge (PAS) system aims to utilize microalgae to deliver oxygen for bacterial respiration, eliminating the need for external aeration. However, research on the treatment potential of PAS systems in the removal of nutrients is limited. In this context, a research study was devised to evaluate the possibility of developing a microalgae-bacteria consortium to achieve the simultaneous removal of organic carbon, nitrogen, and phosphorus. A successful PAS system capable of removing phosphorus was established at the end of the first phase, with an effluent phosphorus (P) concentration of 1.6 mg P L−1. In the subsequent stage, during the introduction of the nitrification-denitrification process, the system lost stability and deteriorated. Interestingly the system recovered via the sparging of nitrogen gas reaching effluent concentrations of 1.22 mg P L−1 and 0.88 mg N L−1. Thus, the system was capable of removing phosphorus and nitrogen via biological means without the need for external aeration. It is hypothesized that the inhibition caused was due to the production of a gaseous compound during the nitrification/denitrification process.","Denitrification; Enhanced biological phosphorus removal; Microalgae-bacteria consortium; Nitrous oxide","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-16","","","BT/Environmental Biotechnology","","",""
"uuid:bc8e981e-2a58-440a-8715-db3999eb64d3","http://resolver.tudelft.nl/uuid:bc8e981e-2a58-440a-8715-db3999eb64d3","Mode substitution induced by electric mobility hubs: Results from Amsterdam","Liao, F. (Radboud Universiteit Nijmegen); Vleugel, J (TU Delft Transport and Planning); Bösehans, Gustav (Newcastle University); Dissanayake, Dilum (University of Birmingham); Thorpe, Neil (Jacobs); Bell, Margaret (Newcastle University); van Arem, B. (TU Delft Transport and Planning); Correia, Gonçalo (TU Delft Transport and Planning)","","2024","Electric mobility hubs (eHUBS) are locations where multiple shared electric modes including electric cars and e-bikes are available. To assess their potential to reduce private car use, it is important to investigate to what extent people would switch to eHUBS modes after their introduction. Moreover, people may adapt their behaviour differently depending on their current travel mode. This study is based on stated preference data collected in Amsterdam. We analysed the data using mixed logit models. We found that users of different modes not only have varied general preferences for different shared modes but also have different sensitivity for attributes such as travel time and cost. Public transport users are more likely to switch to eHUBS modes than car users. People who bike and walk have strong inertia, but the percentage choosing eHUBS modes doubles when the trip distance is longer (5 or 10 km).","Carsharing; eHUBS; Mode choice; Shared e-bike","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:bc088cea-5a70-459f-8eb9-e5e6d086379b","http://resolver.tudelft.nl/uuid:bc088cea-5a70-459f-8eb9-e5e6d086379b","Towards sustainable groundwater development with effective measures under future climate change in Beijing Plain, China","Liu, S. (TU Delft Water Resources; IHE Delft Institute for Water Education); Zhou, Yangxiao (IHE Delft Institute for Water Education; Hebei University); Eiman, Fatima (IHE Delft Institute for Water Education); McClain, M.E. (TU Delft Water Resources; IHE Delft Institute for Water Education); Wang, Xu sheng (China University of Geosciences)","","2024","To cope with the groundwater depletion problem and achieve sustainable groundwater development, groundwater conservation measures and managed aquifer recharge (MAR) have been implemented worldwide. However, knowledge gaps exit how does the aquifer system respond to these interventions differently and if these interventions are adequate to lead to long-term sustainable groundwater development under future climate change. In Beijing Plain, two measures have been implemented: reduction of groundwater abstraction by substituting groundwater abstraction with transferred surface water and implementation of managed aquifer recharge (MAR) in two major rivers. This study aims to assess how do the shallow and deep aquifers respond to these measures and if these measures can lead to long-term sustainable groundwater development in Beijing Plain under future climate change. A 3-D transient groundwater flow model was calibrated and used to simulate groundwater level and budget changes from 2021 to 2050. The monthly groundwater recharge was estimated using the projected monthly precipitation from three downscaled regional climate models under two scenarios (RCP4.5 and RCP8.5). The results show that declines in groundwater head and storage can be reversed with the combined two measures, thereby contributing to achieve sustainable groundwater development. The reduction of abstractions is a deciding measure to reverse the trend of groundwater depletion, especially in the deep confined aquifers, while large scale MAR schemes can restore the cones of depressions in shallow aquifers and maintain the groundwater abstraction. Climate variation has large impacts on groundwater resources, especially, consecutive dry years can cause rapid groundwater storage depletion. The projected monthly precipitation from 2021 to 2050 is not significantly different from the past. Therefore, the projected future precipitation has minor impacts on groundwater resources in the next 30 years. The findings from the study will support the Beijing municipality to maintain the tight control on groundwater abstraction and to implement large-scale MAR schemes in two rivers. This successful example will encourage managers of other heavily exploited aquifers to take similar measures to achieve sustainable groundwater development.","Beijing Plain; Climate change; Groundwater sustainability; Substitution of abstraction, Managed Aquifer Recharge","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:1f4ed1e0-15a9-4854-a6a0-74adaa8a1424","http://resolver.tudelft.nl/uuid:1f4ed1e0-15a9-4854-a6a0-74adaa8a1424","Flocculation characteristics of suspended Mississippi River mud under variable turbulence, water and salt sources, and salinity: a laboratory study","Abolfazli, Ehsan (Virginia Tech College of Engineering); Osborn, Ryan (Virginia Tech College of Engineering); Dunne, K.B.J. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Nittrouer, Jeffrey A. (Texas Tech University); Strom, Kyle (Virginia Tech College of Engineering)","","2024","Muddy sediment constitutes a major fraction of the suspended sediment mass carried by the Mississippi River. Thus, adequate knowledge of the transport dynamics of suspended mud in this region is critical in devising efficient management plans for coastal Louisiana. We conducted laboratory tank experiments on the sediment suspended in the lower reaches of the Mississippi River to provide insight into the flocculation behavior of the mud. In particular, we measure how the floc size distribution responds to changing environmental factors of turbulent energy, sediment concentration, and changes in base water composition and salinity during summer and winter. We also compare observations from the tank experiments to in situ observations. Turbulence shear rate, a measure of river hydrodynamic energy, was found to be the most influential factor in determining mud floc size. All flocs produced at a given shear rate could be kept in suspension down to shear rates of approximately 20 s−1. At this shear rate, flocs on the order of 150–200 μm and larger can settle out. Equilibrium floc size was not found to depend on sediment concentration; flocs larger than 100 μm formed in sediment concentrations as low as 20 mgL−1. An increase in salinity generated by adding salts to river water suspensions did not increase the flocculation rate or equilibrium size. However, the addition of water collected from the Gulf of Mexico to river-water suspensions did enhance the flocculation rate and the equilibrium sizes. We speculate that the effects of Gulf of Mexico water originate from its biomatter content rather than its ion composition. Floc sizes in the mixing tanks were comparable to those from the field for similar estimated turbulent energy. Flocs were found to break within minutes under increased turbulence but can take hours to grow under conditions of reduced shear in freshwater settings. Growth was faster with the addition of Gulf of Mexico water. Overall, the experiments provide information on how suspended mud in the lower reaches of the Mississippi might respond to changes in turbulence and salinity moving from the fluvial to marine setting through natural distributary channels or man-made diversions.","flocculation; Gulf of Mexico; Mississippi River; mud; sediment","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:08df48eb-44d1-4ed2-b8c2-8e1fae6fc6f5","http://resolver.tudelft.nl/uuid:08df48eb-44d1-4ed2-b8c2-8e1fae6fc6f5","Investigation of mode-II delamination fracture energy with a discontinuous computational homogenization model","Ke, L. (TU Delft Applied Mechanics); van der Meer, F.P. (TU Delft Applied Mechanics)","","2024","Numerical methods for delamination analysis, such as the cohesive zone method, require fracture energy as an essential input. Existing formulations rely on a phenomenological relationship that links fracture energy to the mode of fracture based on linear elastic fracture mechanics (LEFM). However, doubts exist about the applicability of LEFM. It has been demonstrated that the phenomenological relationships describing fracture energy as a function of mode-ratio are not universally valid. Computational homogenization (FE2) provides an alternative where the dissipative mechanisms can be resolved on the microscale. This paper aims to assess the suitability of a proposed discontinuous FE2 framework for characterizing delamination growth under mode-II conditions by comparing it to direct numerical simulations (DNS). The impact of plasticity on effective fracture energy is evaluated for two distinct mode-II test configurations. The dissipation density from the bulk integration points within the delamination propagation zone is monitored. The findings demonstrate the FE2 model's capability to accurately capture plastic energy dissipation around a growing crack. Variations in plastic dissipation are observed between the mTCT and ENF test setups, leading to differences in effective mode-II fracture energy. These nuances, unaccounted for in state-of-the-art mesoscale cohesive models, highlight the FE2 framework's potential for enhancing delamination modeling.","Composites; Computational homogenization; Delamination; Fracture energy; Plasticity","en","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:39d9f3f8-3ac0-4654-ab33-000bf6635f9c","http://resolver.tudelft.nl/uuid:39d9f3f8-3ac0-4654-ab33-000bf6635f9c","Development of an Innovative Extension for Fatigue Life Monitoring Using a Piezoelectric Sensor","Ghaderiaram, A. (TU Delft Materials and Environment); Mohammadi, R. (TU Delft Structural Integrity & Composites); Schlangen, E. (TU Delft Materials and Environment); Fotouhi, M. (TU Delft Materials and Environment)","","2024","Engineering structures, such as bridges, wind turbines, airplanes, ships, buildings, and offshore platforms, often experience uncertain dynamic loadings due to environmental factors and operational conditions. The lack of knowledge about the load spectrum for these structures poses challenges in terms of design and can lead to either over-engineering or catastrophic failure. This research introduces a robust and innovative device, analogous to a ""Fitbit"" for structures, capable of measuring complex loading conditions throughout the structure's lifespan. The proposed approach involves developing a middleware, referred to as an ""extension,"" which facilitates the transfer of mechanical deformation to a piezoelectric sensor. This approach overcomes challenges associated with directly attaching piezoelectric sensors to the structure's surface such as rupture possibility in higher strain and attaching on rough surfaces. The feasibility study primarily focuses on validating the performance of the extension and monitoring variation trends. The ultimate objective is to develop an Internet of Things (IoT) sensor node capable of measuring applied cyclic loads. To achieve this goal, an electronic system and embedded software will be developed to capture the complex load spectrum and convert it into a fatigue damage index for predicting the structure's fatigue life. The collected data will be transmitted to the user through a wireless communication platform. The proposed sensor design is versatile, allowing for both attachment and embedding and is demonstrated here for monitoring fatigue in engineering structures.","Fatigue life monitoring; Piezoelectric sensor","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:4dee4bae-d221-4397-b589-495a74720c0f","http://resolver.tudelft.nl/uuid:4dee4bae-d221-4397-b589-495a74720c0f","Scaling up dynamic charging infrastructure: Significant battery cost savings","Liao, X. (TU Delft Transport and Planning); Saeednia, M. (TU Delft Transport and Planning); Nogal Macho, M. (TU Delft Integral Design & Management); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics)","","2024","Large-scale electrification of heavy-duty road freight faces challenges including scarcity of charging infrastructure and high battery costs. Dynamic charging could help overcome these challenges by enabling trucks to charge while driving. Important additional benefits for carriers related to lower required sizes and longer lifetimes of batteries could justify the required investments. The study investigates the optimal configuration of network sections to be electrified so that the balance between costs and benefits turns out positive. A case study for a highway network spanning 4 countries in Europe suggests that dynamic charging can lead to a significant reduction in overall transport system costs, up to very large network sizes. The study supports the decision-making of policymakers and road authorities by providing new insights into the costs and benefits of dynamic charging networks, and simultaneously considering the perspectives of investors and users.","Battery-electric landscape; Dynamic charging network planning; Sustainable road freight; Truck electrification","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:12a11b1c-a3de-4c8b-a05a-fc6790d538a5","http://resolver.tudelft.nl/uuid:12a11b1c-a3de-4c8b-a05a-fc6790d538a5","A conceptual design of two-stream alkali-activated materials","Sun, Yubo (Universiteit Gent); Mohan, Manu K. (Universiteit Gent); Tao, Yaxin (Universiteit Gent); Zhang, Yi (Universiteit Gent; Tongji University); Van Tittelboom, Kim (Universiteit Gent); Ye, G. (TU Delft Materials and Environment; Universiteit Gent); De Schutter, Geert (Universiteit Gent)","","2024","To properly control the reaction kinetics and fresh properties evolution in conventional alkali-activated materials (AAMs), a conceptual design of two-stream AAMs has been proposed in this study. This is achieved by dividing the solid and liquid components in AAMs, including blast furnace slag (BFS) and electric arc furnace slag (EFS) precursors, as well as aqueous sodium hydroxide and silicate activators into two separate streams A and B, where a very limited reactivity is expected in individual streams to ensure sufficient workability retention. Moreover, a final-stage intermixing is required to combine individual stream mixtures and trigger the major activation reaction. Fresh and hardened properties of combined mixtures were checked at different stages. The microstructure and reaction products were investigated to understand the strength development. Low dynamic rheological parameters and good workability retention have been detected in all individual stream mixtures, accompanied by limited exothermic heat flows after the initial dissolution confirmed by calorimetry tests. Further, Portland cement (PC) is partially blended into stream A to alter the early stiffening process in combined mixtures and meet various setting demands after intermixing. However, this might lead to a reduction in mechanical properties, associated with the formation of porous microstructures and an increase in the Ca/Si ratio in reaction products. Eventually, the conceptual design is validated in different scenarios including self-compacting and 3D-printing concrete applications.","3D-printing; Microstructure; Rheology; Self-compacting; Two-stream AAMs","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-23","","","Materials and Environment","","",""
"uuid:10589431-fb03-40dd-94a3-0f85d7741a09","http://resolver.tudelft.nl/uuid:10589431-fb03-40dd-94a3-0f85d7741a09","Spatial Parameters for Circular Construction Hubs: Location Criteria for a Circular Built Environment","Tsui, T.P.Y. (TU Delft Environmental & Climate Design); Furlan, C. (TU Delft Environmental Technology and Design; University of Natural Resources and Applied Life Sciences, Vienna; Universiteit Antwerpen); Wandl, Alex (TU Delft Environmental Technology and Design); van Timmeren, A. (TU Delft Environmental Technology and Design)","","2024","Implementing a circular economy in cities has been proposed by policy makers as a potential solution for achieving sustainability in the construction sector. One strategy that has gained interest by both policy makers and companies is to develop “circular construction hubs”: locations that collect, store, and redistribute waste as secondary resources. However, there is limited literature taking a spatially explicit view, identifying the spatial parameters that could affect the locations of hubs both for now and in the future. This study therefore aims to categorize different types of circular hubs for the construction industry, collect spatial parameters required for finding suitable locations for each type of circular hub, and translate the spatial parameters into a list of data and spatial analysis methods that could be used to identify potential future locations. The study used the Netherlands as a case study, extracting spatial parameters from two sources: Dutch governmental policy documents on circular economy and spatial development and interviews with companies operating circular hubs. Four types of circular construction hubs were identified: urban mining hubs, industry hubs, local material banks, and craft centers. The spatial parameters were extracted for each type of hub from four perspectives: resources (such as material type, business model), accessibility (such as mode and scale of transportation), land use (such as plot size, land use), and socio-economic (such as labor availability). The parameters were then translated into a list of spatial data and analysis methods required to identify future locations of circular construction hubs.","Circular cities; Circular construction hub; GIS; Territorializing circular economy; Urban mining; Site selection analysis","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:ba5cf3db-50de-42b1-a7dc-48b1b3778d09","http://resolver.tudelft.nl/uuid:ba5cf3db-50de-42b1-a7dc-48b1b3778d09","Model Reference Adaptive Stabilizing Control with Application to Leaderless Consensus","Yue, Dongdong (Southeast University); Baldi, S. (Southeast University); Cao, Jinde (Southeast University); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2024","This article describes an extension of the well-known model reference adaptive control (MRAC) approach. The extension relies on explicitly involving the tracking error in the feedback control law: it is shown that including this term along with its appropriate extra adaptive gain allows one to handle possibly unstable reference dynamics. Owing to its stabilizing nature, the proposed framework is referred to as model reference adaptive stabilizing control. Such an extension turns out to be particularly useful in leaderless consensus of heterogeneous uncertain agents, since the literature has discussed that leaderless adaptation may not avoid unstable closed-loop dynamics. In such consensus setting, the framework, referred to as model reference adaptive stabilizing consensus, generalizes the existing MRAC-based consensus schemes and can achieve consensus when state-of-the-art MRAC-based schemes may fail.","Adaptation models; Adaptive control; adaptive stabilization; consensus; directed spanning tree; Mathematical models; MRAC; Multi-agent systems; multiagent systems; Observers; Standards; Target tracking","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-11","","Delft Center for Systems and Control","","","",""
"uuid:ba50ad21-1940-4127-a4f7-4d68b1d4ff6a","http://resolver.tudelft.nl/uuid:ba50ad21-1940-4127-a4f7-4d68b1d4ff6a","Mapping a 50-spin-qubit network through correlated sensing","van de Stolpe, G.L. (TU Delft QID/Taminiau Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Kwiatkowski, D.P. (TU Delft QID/Taminiau Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bradley, C.E. (TU Delft QID/Taminiau Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Randall, J.A.D. (TU Delft QID/Taminiau Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Abobeih, M.H.M.A. (TU Delft QID/Taminiau Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Breitweiser, S. A. (University of Pennsylvania); Bassett, L. C. (University of Pennsylvania); Markham, M. (Element Six Innovation); Twitchen, D. J. (Element Six Innovation); Taminiau, T.H. (TU Delft QID/Taminiau Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2024","Spins associated to optically accessible solid-state defects have emerged as a versatile platform for exploring quantum simulation, quantum sensing and quantum communication. Pioneering experiments have shown the sensing, imaging, and control of multiple nuclear spins surrounding a single electron spin defect. However, the accessible size of these spin networks has been constrained by the spectral resolution of current methods. Here, we map a network of 50 coupled spins through high-resolution correlated sensing schemes, using a single nitrogen-vacancy center in diamond. We develop concatenated double-resonance sequences that identify spin-chains through the network. These chains reveal the characteristic spin frequencies and their interconnections with high spectral resolution, and can be fused together to map out the network. Our results provide new opportunities for quantum simulations by increasing the number of available spin qubits. Additionally, our methods might find applications in nano-scale imaging of complex spin systems external to the host crystal.","","en","journal article","","","","","","","","","","","QID/Taminiau Lab","","",""
"uuid:bc63aa2f-630e-47f2-a093-8042d697bc88","http://resolver.tudelft.nl/uuid:bc63aa2f-630e-47f2-a093-8042d697bc88","Scalable Photochromic Film for Solar Heat and Daylight Management","Meng, Weihao (University of Chinese Academy of Sciences); Kragt, A.J.J. (TU Delft Architectural Technology; ClimAd Technology B.V.); Gao, Yingtao (University of Chinese Academy of Sciences); Brembilla, E. (TU Delft Environmental & Climate Design); van der Burgt, Julia S. (ClimAd Technology B.V.); Schenning, Albertus P.H.J. (Eindhoven University of Technology); Klein, T. (TU Delft Architectural Technology); van den Ham, E.R. (TU Delft Environmental & Climate Design); Wang, Jingxia (University of Chinese Academy of Sciences)","","2024","The adaptive control of sunlight through photochromic smart windows could have a huge impact on the energy efficiency and daylight comfort in buildings. However, the fabrication of inorganic nanoparticle and polymer composite photochromic films with a high contrast ratio and high transparency/low haze remains a challenge. Here, a solution method is presented for the in situ growth of copper-doped tungsten trioxide nanoparticles in polymethyl methacrylate, which allows a low-cost preparation of photochromic films with a high luminous transparency (luminous transmittance Tlum = 91%) and scalability (30 × 350 cm2). High modulation of visible light (ΔTlum = 73%) and solar heat (modulation of solar transmittance ΔTsol = 73%, modulation of solar heat gain coefficient ΔSHGC = 0.5) of the film improves the indoor daylight comfort and energy efficiency. Simulation results show that low-e windows with the photochromic film applied can greatly enhance the energy efficiency and daylight comfort. This photochromic film presents an attractive strategy for achieving more energy-efficient buildings and carbon neutrality to combat global climate change.","daylight comfort; energy saving; photochromicity; scalability; smart windows; transparency; tungsten trioxide","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-05","","","Architectural Technology","","",""
"uuid:72083644-74c4-49fd-8314-0f7e6ecf5de0","http://resolver.tudelft.nl/uuid:72083644-74c4-49fd-8314-0f7e6ecf5de0","A computational framework for pharmaco-mechanical interactions in arterial walls using parallel monolithic domain decomposition methods","Balzani, Daniel (Center for Interface-Dominated High Performance Materials); Heinlein, A. (TU Delft Delft Institute of Applied Mathematics; TU Delft Numerical Analysis); Klawonn, Axel (University of Cologne); Knepper, Jascha (University of Cologne); Nurani Ramesh, Sharan (Center for Interface-Dominated High Performance Materials); Rheinbach, Oliver (University of Technology Bergakademie Freiberg); Saßmannshausen, Lea (University of Cologne); Uhlmann, Klemens (Center for Interface-Dominated High Performance Materials)","","2024","A computational framework is presented to numerically simulate the effects of antihypertensive drugs, in particular calcium channel blockers, on the mechanical response of arterial walls. A stretch-dependent smooth muscle model by Uhlmann and Balzani is modified to describe the interaction of pharmacological drugs and the inhibition of smooth muscle activation. The coupled deformation-diffusion problem is then solved using the finite element software FEDDLib and overlapping Schwarz preconditioners from the Trilinos package FROSch. These preconditioners include highly scalable parallel GDSW (generalized Dryja–Smith–Widlund) and RGDSW (reduced GDSW) preconditioners. Simulation results show the expected increase in the lumen diameter of an idealized artery due to the drug-induced reduction of smooth muscle contraction, as well as a decrease in the rate of arterial contraction in the presence of calcium channel blockers. Strong and weak parallel scalability of the resulting computational implementation are also analyzed.","calcium channel blockers; domain decomposition methods; drug transport; finite element method; GDSW coarse space; hypertension; iterative solvers; overlapping Schwarz; RGDSW coarse space; scalable preconditioners; smooth muscle cells; structural mechanics","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:0426f78d-5df0-4fe0-8f71-6fc5ead33d00","http://resolver.tudelft.nl/uuid:0426f78d-5df0-4fe0-8f71-6fc5ead33d00","Time-of-day perception in paintings","Yu, C. (TU Delft Human Information Communication Design); Van Zuijlen, Mitchell J.P. (Kyoto University); Spoiala, C. (TU Delft Human Information Communication Design); Pont, S.C. (TU Delft Human Information Communication Design); Wijntjes, M.W.A. (TU Delft Human Information Communication Design); Hurlbert, Anya (Newcastle University)","","2024","The spectral shape, irradiance, direction, and diffuseness of daylight vary regularly throughout the day. The variations in illumination and their effect on the light reflected from objects may in turn provide visual information as to the time of day. We suggest that artists' color choices for paintings of outdoor scenes might convey this information and that therefore the time of day might be decoded from the colors of paintings. Here we investigate whether human viewers' estimates of the depicted time of day in paintings correlate with their image statistics, specifically chromaticity and luminance variations. We tested time-of-day perception in 17th- to 20th-century Western European paintings via two online rating experiments. In Experiment 1, viewers' ratings from seven time choices varied significantly and largely consistently across paintings but with some ambiguity between morning and evening depictions. Analysis of the relationship between image statistics and ratings revealed correlations with the perceived time of day: higher ""morningness"" ratings associated with higher brightness, contrast, and saturation and darker yellow/brighter blue hues; ""eveningness"" with lower brightness, contrast, and saturation and darker blue/brighter yellow hues. Multiple linear regressions of extracted principal components yielded a predictive model that explained 76% of the variance in time-of-day perception. In Experiment 2, viewers rated paintings as morning or evening only; rating distributions differed significantly across paintings, and image statistics predicted people's perceptions. These results suggest that artists used different color palettes and patterns to depict different times of day, and the human visual system holds consistent assumptions about the variation of natural light depicted in paintings.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Human Information Communication Design","","",""
"uuid:f8e2efa5-90b9-485a-bc42-8c190d2de1df","http://resolver.tudelft.nl/uuid:f8e2efa5-90b9-485a-bc42-8c190d2de1df","A Multilayer Control Strategy for the Calais Canal","Segovia Castillo, P. (TU Delft Transport Engineering and Logistics); Puig, Vicenc (Universitat Politecnica de Catalunya; Institut de Ròbotica i Informàtica Industrial, Barcelona); Duviella, Eric (Université de Lille)","","2024","This article presents the design of a control strategy for the Calais canal, a navigation canal located in a lowland area in northern France that is affected by tides. Moreover, the available actuators are discrete-valued and the hierarchy of operational objectives is time-varying. All these circumstances render water level regulation of the Calais canal a challenging problem. In view of this situation, the design of the overall control architecture is divided into a sequence of structured tasks, which are distributed among layers. The upper layer determines the current operating mode based on the analysis of several environmental and operational aspects. Information regarding the current mode is taken into account at the intermediate layer to select the appropriate optimization-based control problem, which is solved using lexicographic minimization. The optimal control setpoints are determined and sent to the lower layer, where scheduling problems are solved to select low-level control actions from a finite set to minimize the mismatch with respect to the optimal setpoints. Different realistic simulation scenarios are tested to demonstrate the effectiveness of the proposed approach.","Actuators; Irrigation; Logic gates; Model predictive control (MPC); multilayer control; Navigation; Nonhomogeneous media; Tides; water management; Water resources; water resources","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-08","","","Transport Engineering and Logistics","","",""
"uuid:2cc582aa-c13c-45b2-8769-09a68d2e1209","http://resolver.tudelft.nl/uuid:2cc582aa-c13c-45b2-8769-09a68d2e1209","Testing Stationarity and Statistical Independence of Multistatic/Polarimetric Sea-Clutter with Application to NetRAD Data","Aubry, Augusto (Università degli Studi di Napoli Federico II); Carotenuto, Vincenzo (Università degli Studi di Napoli Federico II); Maio, Antonio De (Università degli Studi di Napoli Federico II); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2024","The design of bespoke adaptive detection schemes relying on the joint use of multistatic/polarimetric measurements requires a preliminary statistical inference on the clutter interference environment. This is of paramount importance to develop an analytic model for the received signal samples, which is mandatory for the synthesis of radar detectors. In this respect, the aim of this article is the development of suitable learning tools to study some important statistical features of the sea-clutter environment perceived at the nodes of a multistatic/polarimetric radar system. Precisely, the stationarity of the data in the slow-time domain is first assessed by resorting to generalized inner product (GIP) based statistics. Then, the possible presence of structural symmetries in the clutter covariance matrices is investigated. Finally, relationships between some statistical parameters characterizing the sea-clutter returns on the bistatic polarimetric channels are explored via specific sequential hypothesis testing. This research activity is complemented by the use of radar returns measured via the netted RADar (NetRAD), which collects simultaneously monostatic and bistatic polarimetric measurements. The results indicate that the analyzed data can be modeled as drawn from a stationary Gaussian process within the coherence time. In addition, the bistatic returns on the different polarimetric channels can be assumed statistically independent with speckle components possibly exhibiting proportional/equal covariance matrices depending on the transmit/receive polarization and bistatic geometry.","Spherically Invariant Random Process (SIRP); sea-clutter; multistatic/polarimetric radar; Generalized Inner Product (GIP); data homogeneity; covariance matrix structure; Model Order Selection (MOS); proportionality/equality of covariance matrices","en","journal article","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:ac261766-a741-48d5-8db3-018dbd0979fb","http://resolver.tudelft.nl/uuid:ac261766-a741-48d5-8db3-018dbd0979fb","The Simultaneous Model-Based Estimation of Joint, Muscle, and Tendon Stiffness is Highly Sensitive to the Tendon Force-Strain Relationship","Cop, Christopher P. (University of Twente); Jakubowski, Kristen L. (Emory University); Schouten, A.C. (TU Delft Biomechanical Engineering); Koopman, Bart (University of Twente); Perreault, Eric J. (Northwestern University); Sartori, Massimo (University of Twente)","","2024","Objective: Accurate estimation of stiffness across anatomical levels (i.e., joint, muscle, and tendon) in vivo has long been a challenge in biomechanics. Recent advances in electromyography (EMG)-driven musculoskeletal modeling have allowed the non-invasive estimation of stiffness during dynamic joint rotations. Nevertheless, validation has been limited to the joint level due to a lack of simultaneous in vivo experimental measurements of muscle and tendon stiffness. Methods: With a focus on the triceps surae, we employed a novel perturbation-based experimental technique informed by dynamometry and ultrasonography to derive reference stiffness at the joint, muscle, and tendon levels simultaneously. Here, we propose a new EMG-driven model-based approach that does not require external joint perturbation, nor ultrasonography, to estimate multi-level stiffness. We present a novel set of closed-form equations that enables the person-specific tuning of musculoskeletal parameters dictating biological stiffness, including passive force-length relationships in modeled muscles and tendons. Results: Calibrated EMG-driven musculoskeletal models estimated the reference data with average normalized root-mean-square error ≈ 20%. Moreover, only when calibrated tendons were approximately four times more compliant than typically modeled, our approach could estimate multi-level reference stiffness. Conclusion: EMG-driven musculoskeletal models can be calibrated on a larger set of reference data to provide more realistic values for the biomechanical variables across multiple anatomical levels. Moreover, the tendon models that are typically used in musculoskeletal modeling are too stiff. Significance: Calibrated musculoskeletal models informed by experimental measurements give access to an augmented range of biomechanical variables that might not be easily measured with sensors alone.","Biomechanics; Electromyography; Impedance; Joint stiffness; Mathematical models; muscle stiffness; Muscles; musculoskeletal modeling; Perturbation methods; tendon stiffness; Tendons","en","journal article","","","","","","","","","","Biomechanical Engineering","","","",""
"uuid:d3cdc49f-3d2c-4b85-b8f4-0f63af6193d8","http://resolver.tudelft.nl/uuid:d3cdc49f-3d2c-4b85-b8f4-0f63af6193d8","A 72-Channel Resistive-and-Capacitive Sensor-Interface Chip With Noise-Orthogonalizing and Pad-Sharing Techniques","Feng, Xiangdong (Zhejiang University; Nanhu Brain-Computer Interface Institute); Luo, Yuxuan (Zhejiang University); Cai, Tianyi (Zhejiang University); Xuan, Yangfan (Zhejiang University); Zhang, Yunshan (Microaiot); Shen, Yili (Zhejiang University); Yang, Changgui (Zhejiang University); Xiao, Qijing (Zhejiang University); Du, S. (TU Delft Electronic Instrumentation); Zhao, Bo (Zhejiang University; Nanhu Brain-Computer Interface Institute)","","2024","The growing trend of the Internet of Things (IoT) involves trillions of sensors in various applications. An extensive array of parameters need to be gathered concurrently with high-precision, low-cost, and low-power sensor nodes, such as resistive (R) and capacitive (C) sensors. Single-chip channel fusion can be an effective solution, while it is challenging to suppress the noise and integrate massive I/O pads. However, conventional oversampling noise-shaping methods increase power consumption, which fails to meet the demand of long-term monitoring applications. In addition, existing R/C sensor-interface chips require a pair of I/O pads for each sensor, where the pad frame dominates the overall chip area in massive-channel integration. In this work, we demonstrate a 72-channel R&C sensor-interface chip for proximity-and-temperature sensing. A noise-orthogonalizing technique is proposed to eliminate the quantization noise at the signal frequencies, achieving an energy efficiency of 19.1 pJ/step/channel. Moreover, a pad-sharing technique is proposed to reduce the number of I/O pads by half, enabling 72 sensors to be read by 36 pairs of I/O pads. The chip is fabricated by 65-nm CMOS technology, and measurement results show resolutions of 286 Omega and 162 fF, respectively. The power consumption and die area are reduced to 0.74 mu text{W} /Channel and 0.038 mm2/Channel, respectively.","Low power; multichannel sensing; noise-orthogonalizing; pad-sharing; sensor interface","en","journal article","","","","","","","","2024-07-23","","","Electronic Instrumentation","","",""
"uuid:6d8dc39f-14bc-419e-b776-56328b984521","http://resolver.tudelft.nl/uuid:6d8dc39f-14bc-419e-b776-56328b984521","Design Formulas for Flat Gradient Index Lenses with Planar or Spherical Output Wavefront","Hu, Weiya (Student TU Delft); Coco Martin, C.M. (TU Delft Tera-Hertz Sensing); Cavallo, D. (TU Delft Tera-Hertz Sensing)","","2024","A semi-analytical method is presented for the design of gradient index (GRIN) flat lenses. Closed-form expressions are derived to define the refractive index distribution of the lens, for several cases: collimating lenses with on-axis feed, collimating lenses with off-axis feed, lenses converting spherical wavefronts with different wavenumbers, lenses changing the focal number of a quasi-optical system, and Fresnel zone lenses. The design equations are validated by ray-tracing simulations in inhomogeneous media, implemented by numerical solution of the Eikonal equation.","geometrical optics; gradient indexes lenses; lens antennas; optical design; ray tracing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-07","","","Tera-Hertz Sensing","","",""
"uuid:98be4917-1def-48a5-8e5d-0267997807bd","http://resolver.tudelft.nl/uuid:98be4917-1def-48a5-8e5d-0267997807bd","Understanding students’ adoption of the ChatGPT chatbot in higher education: the role of anthropomorphism, trust, design novelty and institutional policy","Polyportis, A. (TU Delft BT/Biotechnology and Society; Erasmus Universiteit Rotterdam); Pachos-Fokialis, N. (TU Delft Economics of Technology and Innovation)","","2024","The present research aims to highlight the underlying factors that drive students’ adoption of the ChatGPT chatbot in higher education. This study extends the meta-UTAUT framework by including additional exogenous factors of anthropomorphism, trust, design novelty, and institutional policy. Empirical examination with Structural Equation Modelling among 355 students in Dutch higher education institutions revealed attitude and behavioural intention as significant positive predictors of students’ ChatGPT use behaviour. Institutional policy negatively moderated the effect of behavioural intention on use behaviour. Behavioural intention was significantly and positively influenced by attitude, performance expectancy, social influence, and facilitating conditions. Anthropomorphism, design novelty, trust, performance expectancy, and effort expectancy were unveiled as significant positive antecedents of attitude. The central theoretical contributions of this research include investigating students’ use behaviour instead of behavioural intention, establishing attitude as a core construct, underlining additional antecedents of attitude, and highlighting the importance of institutional policy. The present study contributes to prior research on technology adoption, especially in the area of artificial intelligence in education. The findings yield valuable insights for chatbot designers, product managers, and higher education policy writers.","Anthropomorphism; chatbot adoption; ChatGPT; design novelty; higher education; meta-UTAUT","en","journal article","","","","","","","","","","","BT/Biotechnology and Society","","",""
"uuid:f3dffda4-099d-4c85-b510-ca3285b06f01","http://resolver.tudelft.nl/uuid:f3dffda4-099d-4c85-b510-ca3285b06f01","Design of Integrated Hybrid Configuration of Modular Multilevel Converter and Marx Generator to Generate Complex Waveforms for Dielectric Testing of Grid Assets","Ganeshpure, D.A. (TU Delft High Voltage Technology Group); Soeiro, Thiago Batista (University of Twente); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Kulkarni, Nitish Milind (Student TU Delft); Bauer, P. (TU Delft DC systems, Energy conversion & Storage); Vaessen, P.T.M. (TU Delft High Voltage Technology Group; KEMA Laboratories)","","2024","This article proposes a new configuration of a Modular Multilevel Converter (MMC) and a Marx generator to generate fast-rising impulse waveforms. This new configuration improves the capabilities of the MMC-based high voltage arbitrary wave shape generator to generate fast-rising impulse since the MMC topology faces many inherent limitations. Similar to the conventional superimposed circuit of the AC transformer or DC rectifier circuit with the Marx generator, three hybrid circuits of MMC and the Marx generator are introduced, where the most optimal choice is made considering the practical aspect of testing, such as the size, cost and the preparation time. Then, the detailed analytical study is performed on the Marx generator circuit and MMC circuit, and when both circuits are coupled together to deliver a complete guideline on choosing various system parameters when the impulse wave shape and the load capacitor are given. The concept of this new hybrid configuration is demonstrated with a scaled-down prototype where the impulse with a rise time of 1μs is superimposed on different arbitrary wave shapes. Similarly, the MATLAB-Simulink simulation model validates the proposed configuration for a 200 kV DC link voltage and 67 submodules with the desired impulse performance.","Modular Multilevel Converter; Marx generator; Superimposed waveforms; Lightning Impulse","en","journal article","","","","","","","","","","","High Voltage Technology Group","","",""
"uuid:efd0081a-9890-40e4-a444-392190c28f7b","http://resolver.tudelft.nl/uuid:efd0081a-9890-40e4-a444-392190c28f7b","Do You Need a Hand?: a Bimanual Robotic Dressing Assistance Scheme","Zhu, J. (TU Delft Learning & Autonomous Control; University of York); Gienger, Michael (Honda Research Institute Europe GmbH); Franzese, G. (TU Delft Learning & Autonomous Control); Kober, J. (TU Delft Learning & Autonomous Control)","","2024","Developing physically assistive robots capable of dressing assistance has the potential to significantly improve the lives of the elderly and disabled population. However, most robotics dressing strategies considered a single robot only, which greatly limited the performance of the dressing assistance. In fact, healthcare professionals perform the task bimanually. Inspired by them, we propose a bimanual cooperative scheme for robotic dressing assistance. In the scheme, an interactive robot joins hands with the human thus supporting/guiding the human in the dressing process while the dressing robot performs the dressing task. We identify a key feature: the elbow angle that affects the dressing action and propose an optimal strategy for the interactive robot using the feature. A dressing coordinate based on the posture of the arm is defined to better encode the dressing policy. We validate the interactive dressing scheme with extensive experiments and also an ablation study.","Estimation; Manipulators; Robot kinematics; Robots; Sensors; Task analysis; Tracking","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-14","","","Learning & Autonomous Control","","",""
"uuid:1c3889b2-579d-4f23-86c2-33e896c28867","http://resolver.tudelft.nl/uuid:1c3889b2-579d-4f23-86c2-33e896c28867","Tunable Quantum Criticality in Multicomponent Rydberg Arrays","Chepiga, N. (TU Delft QN/Chepiga Lab; Kavli institute of nanoscience Delft)","","2024","Arrays of Rydberg atoms have appeared as a remarkably rich playground to study quantum phase transitions in one dimension. One of the biggest puzzles that was brought forward in this context are chiral phase transitions out of density waves. Theoretically predicted chiral transition out of period-four phase is still pending experimental verification mainly due to extremely short interval over which this transition is realized in a single-component Rydberg array. In this Letter, we show that multicomponent Rydberg arrays with extra experimentally tunable parameters provide a mechanism to manipulate quantum critical properties without breaking translation symmetry explicitly. We consider an effective blockade model of two component Rydberg atoms. Weak and strong components obey nearest- and next-nearest-neighbor blockades correspondingly. When laser detuning is applied to either of the two components the system is in the period-3 and period-2 phases. But laser detuning applied to both components simultaneously stabilizes the period-4 phase partly bounded by the chiral transition. We show that relative ratio of the Rabi frequencies of the two components tunes the properties of the conformal Ashkin-Teller point and allows us to manipulate an extent of the chiral transition. The prospects of multicomponent Rydberg arrays in the context of critical fusion is briefly discussed.","","en","journal article","","","","","","","","","","","QN/Chepiga Lab","","",""
"uuid:468b11e4-732a-426e-9b5c-3307081177ad","http://resolver.tudelft.nl/uuid:468b11e4-732a-426e-9b5c-3307081177ad","Enhancing the durability of Pt nanoparticles for water electrolysis using ultrathin SiO2 layers","Li, M. (TU Delft ChemE/Product and Process Engineering); Saedy, S. (TU Delft ChemE/Product and Process Engineering); Fu, S. (TU Delft Large Scale Energy Storage); Stellema, T.P. (Student TU Delft); Kortlever, R. (TU Delft Large Scale Energy Storage); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering)","","2024","Extending the lifetime of electrocatalytic materials is a major challenge in electrocatalysis. Here, we employ atomic layer deposition (ALD) to coat the surface of carbon black supported platinum nanoparticles (Pt/CB) with an ultra-thin layer of silicon dioxide (SiO2) to prevent deactivation of the catalyst during H2 evolution. Our results show that after an accelerated durability test (ADT) the current density at −0.2 V vs. reversible hydrogen electrode (RHE) of the unprotected Pt/CB catalyst was reduced by 34%. By contrast, after coating the Pt/CB catalyst with 2 SiO2 ALD cycles, the current density at the same potential was reduced by 7% after the ADT procedure, whereas when the Pt/CB sample was coated with 5 SiO2 ALD cycles, the current density was reduced by only 2% after the ADT. Characterization of the Pt particles after electrochemical testing shows that the average particle size of the uncoated Pt/CB catalyst increases by roughly 16% after the ADT, whereas it only increases by 3% for the Pt/CB catalyst coated with 5 cycles of SiO2 ALD. In addition, the coating also strongly reduces the detachment of Pt nanoparticles, as shown by a strong decrease in the Pt concentration in the electrolyte after the ADT. However, 20 cycles of SiO2 ALD coating results in an over-thick coating that has an inhibitory effect on the catalytic activity. In summary, we demonstrate that only a few cycles of SiO2 ALD can strongly improve the stability of Pt catalyst for the hydrogen evolution reaction.","","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:0dea20a7-3733-4325-8ba8-6a59857f228a","http://resolver.tudelft.nl/uuid:0dea20a7-3733-4325-8ba8-6a59857f228a","Exploring beliefs and perceptions towards Advanced Rider Assistance Systems (ARAS) in motorcycle safety","Kaye, Sherrie Anne (Queensland University of Technology); Nandavar, Sonali (Queensland University of Technology); Lewis, Ioni (Queensland University of Technology); Blackman, Ross (Deakin University); Schramm, Amy; McDonald, Melinda (Queensland University of Technology); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science); Haworth, Narelle (Queensland University of Technology)","","2024","The study applied the Theory of Planned Behaviour (TPB) to explore motorcycle riders’ underlying behavioural, normative, and control beliefs towards Advanced Rider Assistance Systems (ARAS). Each belief was explored in terms of three categories of technologies, (i) advanced technologies that help riders manage riding according to situations and conditions, (ii) advanced technologies that help riders to stop, and (iii) advanced technologies that help riders to corner. Eight focus groups were conducted with 39 motorcycle riders (Mage = 44.54 years, 27 males) who resided in Australia. First, participants completed a short online questionnaire which asked demographic information (e.g., age, gender, riding experience), before taking part in a 50-minute semi-structured online focus group. Participants’ knowledge of ARAS differed depending on the type of technology, with most participants reporting good to excellent knowledge of cruise control and standard anti-lock braking system (ABS) and a poor to fair understanding of selectable riding modes and cornering ABS. For behavioural beliefs, two common advantages reported for all three categories of technologies were safety and that the technologies would benefit new riders or riders with less experience. The three common disadvantages included concerns over riders’ reliance on the technologies, cost, and loss of skill or false sense of security. For normative beliefs, participants reported that their loved ones (i.e., partner, family, and friends) would approve of them using these technologies, with participants perceiving that ‘purists’ (i.e., riders who prefer to ride traditional motorcycles) would disapprove. For control beliefs, cost, lack of information on the safety of advanced technologies, and not being able to switch off systems were reported as barriers to use. Lowering insurance premiums, education/test rides, technologies as selectable options, and availability, were all identified as factors that would encourage use of ARAS. By providing information about ARAS, riders will become more informed about ARAS, which may enhance trust and user acceptance. Additionally, ongoing research and development are essential to ensure the evaluation and improvement of ARAS and mitigate any unintended consequences.","Advanced rider assistance systems; Beliefs; Motorcycle; Qualitative research; Theory of planned behaviour","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:fdf06b31-3987-4387-8420-f0d161978b5d","http://resolver.tudelft.nl/uuid:fdf06b31-3987-4387-8420-f0d161978b5d","From ethics to epistemology and back again: informativeness and epistemic injustice in explanatory medical machine learning","Pozzi, G. (TU Delft Ethics & Philosophy of Technology); Duran, J.M. (TU Delft Ethics & Philosophy of Technology)","","2024","In this paper, we discuss epistemic and ethical concerns brought about by machine learning (ML) systems implemented in medicine. We begin by fleshing out the logic underlying a common approach in the specialized literature (which we call the informativeness account). We maintain that the informativeness account limits its analysis to the impact of epistemological issues on ethical concerns without assessing the bearings that ethical features have on the epistemological evaluation of ML systems. We argue that according to this methodological approach, epistemological issues are instrumental to and autonomous of ethical considerations. This means that the informativeness account considers epistemological evaluation uninfluenced and unregulated by an ethical counterpart. Using an example that does not square well into the informativeness account, we argue for ethical assessments that have a substantial influence on the epistemological assessment of ML and that such influence should not be understood as merely informative but rather regulatory. Drawing on the case analyzed, we claim that within the theoretical framework of the informativeness approach, forms of epistemic injustice—especially epistemic objectification—remain unaddressed. Our analysis should motivate further research investigating the regulatory role that ethical elements play in the epistemology of ML.","Epistemic injustice; Epistemology and ethics of ML; Explanatory ML; Informativeness; Medical ML","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:1c902586-87c8-4396-8563-fa9fadf8dee1","http://resolver.tudelft.nl/uuid:1c902586-87c8-4396-8563-fa9fadf8dee1","The impact of decarbonising the iron and steel industry on European power and hydrogen systems","Boldrini, Annika (European Commission Joint Research Centre; Universiteit Utrecht); Koolen, Derck (European Commission; Universiteit Utrecht); Crijns-Graus, Wina (Universiteit Utrecht); van den Broek, M.A. (TU Delft Energie and Industrie)","","2024","The transition of the European iron and steel industry (ISI) towards low-carbon manufacturing is crucial for the European Union (EU)’s 2050 climate neutrality objective. One emerging solution is electrification by using hydrogen (H2) as iron ore reductant, which increases specific electricity use per tonne of steel up to 35 times compared to the conventional, most adopted coal-based technology. This study develops three scenarios, encompassing a moderate to an accelerated ISI transition, to evaluate the impact of the ISI decarbonisation on the power system CO2 emissions, generation mix and volume, and marginal prices in 2030. The study first estimates future electricity and H2 demand by considering country-specific technologies deployment and energy intensities. Then, these estimates serves as input to the model METIS to simulate European power system operations through a unit commitment and economic dispatch problem. The study shows that the power system can accommodate a transition of the ISI that substitutes 28% of the coal-based production with low carbon technologies, mainly based on H2. This leads to a 25% reduction in direct CO2 emissions and a demand increase of 20 TWh of electricity and 40 TWhHHV of H2. Furthermore, a 50% reduction in indirect power system emissions is achieved, compared to 2018, thanks to the substantial renewable power capacity deployment foreseen in the coming years. The study also demonstrates that a reduction of indirect CO2 emissions by over 85% can be achieved by deploying 1.2 and 2.7 GW of renewable power generators, and 200 and 400 MW of electrolyser capacity for each million tonne of steel produced annually with low-carbon technologies. Additional renewable capacity that ensures green steel production is also key to maintaining stable electricity prices.","Decarbonisation; Energy policy; Hydrogen; Iron and steel industry; Power systems","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:081986a3-7360-4815-98a2-7cedce5b224b","http://resolver.tudelft.nl/uuid:081986a3-7360-4815-98a2-7cedce5b224b","Impact of Speed Limit Enforcement Cameras on Speed Behavior: Naturalistic Evidence from Brazil","Amancio, Eduardo Cesar (Universidade Tecnológica Federal Do Paraná (UTFPR)); Gadda, Tatiana Maria Cecy (Universidade Tecnológica Federal Do Paraná (UTFPR)); Corrêa, Janine Nicolosi (Universidade Tecnológica Federal Do Paraná (UTFPR)); Bonetti, Gabriela da Costa (Universidade Tecnológica Federal Do Paraná (UTFPR)); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science); Bastos, Jorge Tiago (Federal University of Paraná)","","2024","Speeding is widely recognized as a key contributor to the occurrence and severity of road crashes, making studies on speed reduction devices particularly relevant given poor road safety outcomes worldwide. This study investigates the impact of fixed speed cameras on driver behavior and speed reduction in urban arterials using a naturalistic driving study methodology. Data from 13 drivers and 116 trips in Curitiba, Brazil, were analyzed, with a focus on speed cameras placed on arterial roads. Speed data were grouped and analyzed by various categories, including topographic profile, day and week periods, and rain conditions. Mean comparisons were used to compare data sets, revealing an overall speed reduction effect of 0.69 km/h (−1.33%) around the speed camera. The study identified a pattern of punctual speed reduction, known as a “kangaroo jump,” a speed reduction followed by an increase in speed, referred to as the “compensation effect,” and a new pattern characterized by a non-significant speed reduction at the speed camera site followed by an increase in speed, referred to as the “cobra strike effect” because of its curve pattern. The largest speed reductions were observed for flat topographic profiles (−2.98%), daytime travel (−1.58%), and travel on working days (−1.75%) with rain (−1.80%). Conversely, the speed camera had little impact on vehicle speed for uphill topographic profiles, no rain conditions, and travels during weekend.","automated enforcement; general; safety; speeding; traffic law enforcement","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:fbe01343-2ef4-4134-b547-9ff1ab8f310b","http://resolver.tudelft.nl/uuid:fbe01343-2ef4-4134-b547-9ff1ab8f310b","Green ammonia supply chain and associated market structure","Zhao, H. (TU Delft Energie and Industrie)","","2024","Green ammonia is poised to be a key part in the hydrogen economy. This paper discusses green ammonia supply chains with a focus on market structures. The architecture of upstream and downstream supply chains is explored. Market structure prototypes in different stages are explored based on transaction cost economics and lessons from the energy industry. In the infancy, a highly vertically integrated structure is proposed to reduce risks and ensure capital recovery. A restructuring towards a disintegrated structure is necessary in the next stage to improve the efficiency. In the late stage, a competitive structure characterized by a separation between asset ownership and production activities and further development of short-term and spot markets are proposed towards a market-driven industry. Mixed structures combining multiple structure features are also discussed due to the dependency on actual conditions. Further, a multi-linear regression model is developed to evaluate the designed structures using a case in the gas industry, due to the commonality in vertical integration and data availability. Results indicate that high asset specificity and uncertainty and low frequency lead to a more disintegrated market structure, and vice versa, thus supporting the structures designed. In addition, evidence from the latest trends in the clean ammonia market also verifies the designed early structure. Besides, potential ways to accelerate market emergence are also discussed. We assume the findings and results contribute to developing green ammonia supply chains and the hydrogen economy.","Green ammonia; Hydrogen economy; Market structure; Supply chain; Transaction cost economics","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:6f2d7bae-5777-4e37-aa8a-875e2704e62a","http://resolver.tudelft.nl/uuid:6f2d7bae-5777-4e37-aa8a-875e2704e62a","Optimizing safety barrier allocation to prevent domino effects in large-scale chemical clusters using graph theory and optimization algorithms","Zhang, Muchen (Nanjing Tech University); Li, Zelin (Nanjing Tech University); Hou, Shuya (Nanjing Tech University); Deng, Siyu (Nanjing Tech University); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia; University of Tasmania); Zhang, Bin (Nanjing Tech University)","","2024","Domino effects are high-impact low-probability events that can have catastrophic consequences. To prevent and to reduce risks related to such events, safety barriers (SBs) are crucial. However, the initiation, propagation, and stopping processes of domino effects are characterized with complexity and uncertainties and hence they are unpredictable. This makes it challenging to allocate SBs based on predicted probabilities. In this study, a multi-objective optimization model which integrates graph theory with Non-dominated Sorting Genetic Algorithm II (NSGA-II) was proposed to allocate add-on SBs effectively. Graph metrics were used to quantify the escalation risks related to storage tanks and to optimize the allocation of add-on SBs, thereby minimizing the consequences of a domino effect under a budget constraint. The results of the case study demonstrate great efficiency in finding globally optimal strategies with a largest reduction of 94.3% in the out-closeness score due to the implementation of add-on SBs, allowing decision-makers to choose the most preferable investment strategy in face of domino effect risk. Our study therefore provides a novel approach to achieve an optimal allocation of add-on SBs globally and can be useful in preventing domino effects in large-scale chemical clusters equipped with a large number of storage tanks.","Domino effects; Graph theory; Multi-objective optimization; NSGA-II; Safety barriers","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-22","","","Safety and Security Science","","",""
"uuid:3abe64b4-8a0a-4f2a-ae47-679c9294e659","http://resolver.tudelft.nl/uuid:3abe64b4-8a0a-4f2a-ae47-679c9294e659","Are creative users more apt in reusing and adopting Open Government Data (OGD)? Gender differences","Alexopoulos, Charalampos (University of the Aegean); Saxena, Stuti (Graphic Era University); Rizun, Nina (Politechnika Gdanska); Matheus, R. (TU Delft Information and Communication Technology); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services)","","2024","Open Government Data (OGD) has been considered as a potent instrument for value creation and innovation by a range of stakeholders. Given that individual ingenuity is a function of individual and environmental factors, it is important to understand how the OGD adoption and usage is a factor of creative performance behaviors (CPB), viz., Problem Identification (PI), Information Search (IS), Idea Generation (IG) and Idea Promotion (IP) as well as creative self-efficacy (CSE). Invoking the adapted Unified Theory of Acceptance and Use of Technology (UTAUT) constructs alongside the moderating effects of CPB and CSE constructs and also gender, the present study seeks to underline the behavioural intention towards OGD adoption and usage among 362 undergraduate and postgraduate university students in India. The guiding research question is: “Is there any difference among the males and females in terms of their OGD adoption and usage as far as their creative propensities are concerned?” Findings from the PLS-SEM (Partial Least Squares-Structural Equation Modeling) procedures show that there are gender differences across the CPB and CSE constructs. The study's contribution lies in furthering our understanding of OGD adoption and use with the additional determinants of creativity literature.","CPB; Creative performance behavior; Creative self-efficacy; Creativity; CSE; Gender; OGD; Open government data; UTAUT","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-18","","Engineering, Systems and Services","Information and Communication Technology","","",""
"uuid:58b1acba-4b66-45ec-91a5-3b196b531ac9","http://resolver.tudelft.nl/uuid:58b1acba-4b66-45ec-91a5-3b196b531ac9","Digital Slot Machines: Social Media Platforms as Attentional Scaffolds","Voinea, Cristina (Oxford Uehiro Centre for Practical Ethics); Marin, L. (TU Delft Ethics & Philosophy of Technology); Vică, Constantin (Bucharest University)","","2024","In this paper we introduce the concept of attentional scaffolds and show the resemblance between social media platforms and slot machines, both functioning as hostile attentional scaffolds. The first section establishes the groundwork for the concept of attentional scaffolds and draws parallels to the mechanics of slot machines, to argue that social media platforms aim to capture users’ attention to maximize engagement through a system of intermittent rewards. The second section shifts focus to the interplay between emotions and attention, revealing how online attentional capture through emotionally triggering stimuli leads to distraction. The final section elucidates the collective implications of scaffolding attention through social media platforms. The examination of phenomena such as emotional contagion and the emergence of group emotions underscores the transition from individual experiences to shared collective outcomes. Employing online moral outrage as a case study, we illustrate how negative emotions serve as scaffolds for individuals’ attention, propagate within social groups, and give rise to collective attitudes.","Attentional scaffolds; Digital slot machines; Hostile scaffolds; Social media platforms","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:cd347b6d-0075-4742-b13b-ab21fabd50c3","http://resolver.tudelft.nl/uuid:cd347b6d-0075-4742-b13b-ab21fabd50c3","Enhanced sensitivity and tunability of thermomechanical resonance near the buckling bifurcation","Liu, Hanqing (TU Delft Dynamics of Micro and Nano Systems; National University of Defense Technology); Baglioni, G. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Boix-Constant, Carla (Universidad de Valencia (ICMol)); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems); Verbiest, G.J. (TU Delft Dynamics of Micro and Nano Systems)","","2024","The high susceptibility of ultrathin two-dimensional (2D) material resonators to force and temperature makes them ideal systems for sensing applications and exploring thermomechanical coupling. Although the dynamics of these systems at high stress has been thoroughly investigated, their behavior near the buckling transition has received less attention. Here, we demonstrate that the force sensitivity and frequency tunability of 2D material resonators are significantly enhanced near the buckling bifurcation. This bifurcation is triggered by compressive displacement that we induce via thermal expansion of the devices, while measuring their dynamics via an optomechanical technique. We understand the frequency tuning of the devices through a mechanical buckling model, which allows to extract the central deflection and boundary compressive displacement of the membrane. Surprisingly, we obtain a remarkable enhancement of up to 14× the vibration amplitude attributed to a very low stiffness of the membrane at the buckling transition, as well as a high frequency tunability by temperature of more than 4.02$\%$ K−1. The presented results provide insights into the effects of buckling on the dynamics of free-standing 2D materials and thereby open up opportunities for the realization of 2D resonant sensors with buckling-enhanced sensitivity.","nanomechanical resonator; buckling bifurcation; frequency tuning; vibration amplitude","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:af03cb84-d74a-4738-b928-3c63e31c7c92","http://resolver.tudelft.nl/uuid:af03cb84-d74a-4738-b928-3c63e31c7c92","What factors contribute to in-role and extra-role safety behavior among food delivery riders?","Nguyen-Phuoc, Duy Quy (The University of Da Nang); Mai, Nhat Xuan (The University of Da Nang); Ho-Mai, Nhi Thao (Hanken School of Economics); Nguyen, Minh Hieu (University of Transport and Communications); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science)","","2024","Food delivery riders face significant road safety risks globally. Previous studies have primarily focused on the direct relationship between job demands, job resources, and road safety behaviors, often neglecting the potential indirect paths through dual processes, namely the health impairment process and the motivational process, which may lead to dangerous riding behaviors. Additionally, the impact of organizational citizenship behaviors on the safety of food delivery riders within the industry remains unexplored. This study aims to address these gaps by applying the dual processes of the Job Demands-Resources model (JD-R) to identify factors influencing the safety behaviors of food delivery riders in Vietnam. Data were collected through face-to-face surveys with 410 riders in public places. The findings show a nuanced interplay between job demands, resources, and safety behaviors. Specifically, job burnout acts as a bridge, mediating the influence of job demands on in-role safety behaviors. On the other hand, job resources-encompassing facets like job autonomy, performance feedback, technology support, and work support-indirectly shape both in-role and extra-role safety behaviors via the conduit of motivation. These resources not only bolster motivation but also serve as pillars in fostering a culture of safety among riders. This research not only deepens our understanding of the determinants of food delivery riders' safety behaviors but also highlights the pivotal role food delivery companies play in shaping these behaviors. By prioritizing the holistic well-being of their riders and offering robust support, these companies can foster an environment conducive to safety. Additionally, by integrating additional dimensions of job demands and resources into the JD-R model, this study paves the way for more comprehensive and nuanced future research in this domain.","Gig Economy workers; Human factors; Job design; Job strain; Occupational safety; Safety behaviors","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-06","","","Safety and Security Science","","",""
"uuid:76a85d9f-76a1-499c-afff-3e10802772bc","http://resolver.tudelft.nl/uuid:76a85d9f-76a1-499c-afff-3e10802772bc","Why and how often do authorities remunicipalise urban public transport? The case of France","van de Velde, Didier (TU Delft Organisation & Governance); Desmaris, Christian (Université de Lyon)","","2024","For decades, public transport services in most French towns and cities have been provided on a delegated management basis, by subcontracting to private parties, using calls for tender as a selection mechanism. Recently, however, a number of cities, some of them large, have opted for direct management, moving away from the private, competitive model in favour of public management.
Our study answers two questions: What is the scale of this shift? And how can it be interpreted: what are the triggers and motivations behind it? The literature on these questions is sparse, partial and dated. Our database shows that remunicipalisation is no longer an anecdotal phenomenon in France. Our interviews reveal that the motivations are always composite and that political factors are predominant.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-11","","","Organisation & Governance","","",""
"uuid:0e4af207-3298-4671-944f-5fd6dc0cd655","http://resolver.tudelft.nl/uuid:0e4af207-3298-4671-944f-5fd6dc0cd655","The Chvátal–Gomory procedure for integer SDPs with applications in combinatorial optimization","de Meijer, F.J.J. (TU Delft Discrete Mathematics and Optimization); Sotirov, Renata (Tilburg University)","","2024","In this paper we study the well-known Chvátal–Gomory (CG) procedure for the class of integer semidefinite programs (ISDPs). We prove several results regarding the hierarchy of relaxations obtained by iterating this procedure. We also study different formulations of the elementary closure of spectrahedra. A polyhedral description of the elementary closure for a specific type of spectrahedra is derived by exploiting total dual integrality for SDPs. Moreover, we show how to exploit (strengthened) CG cuts in a branch-and-cut framework for ISDPs. Different from existing algorithms in the literature, the separation routine in our approach exploits both the semidefinite and the integrality constraints. We provide separation routines for several common classes of binary SDPs resulting from combinatorial optimization problems. In the second part of the paper we present a comprehensive application of our approach to the quadratic traveling salesman problem ( QTSP ). Based on the algebraic connectivity of the directed Hamiltonian cycle, two ISDPs that model the QTSP are introduced. We show that the CG cuts resulting from these formulations contain several well-known families of cutting planes. Numerical results illustrate the practical strength of the CG cuts in our branch-and-cut algorithm, which outperforms alternative ISDP solvers and is able to solve large QTSP instances to optimality.","integer semidefinite programming; Chvátal-Gomory procedure; total dual integrality; branch-and-cut; quadratic traveling salesman problem","en","journal article","","","","","","","","","","","Discrete Mathematics and Optimization","","",""
"uuid:33971f54-4b3d-4bee-a23d-217b69bd240a","http://resolver.tudelft.nl/uuid:33971f54-4b3d-4bee-a23d-217b69bd240a","Input Decoupling of Lagrangian Systems via Coordinate Transformation: General Characterization and its Application to Soft Robotics","Pustina, P. (TU Delft Learning & Autonomous Control; Sapienza University of Rome); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Boyer, Frederic (IMT Atlantique); De Luca, Alessandro (Sapienza University of Rome); Renda, Federico (Khalifa University of Science and Technology)","","2024","Suitable representations of dynamical systems can simplify their analysis and control. On this line of thought, this paper aims to answer the following question: <italic>Can a transformation of the generalized coordinates under which the actuators directly perform work on a subset of the configuration variables be found?</italic> Not only we show that the answer to this question is <italic>yes</italic>, but we also provide necessary and sufficient conditions. More specifically, we look for a representation of the configuration space such that the right-hand side of the dynamics in Euler-Lagrange form becomes [<italic>IO</italic>]tu, being u the system input. We identify a class of systems, called <italic>collocated</italic>, for which this problem is solvable. Under mild conditions on the input matrix, a simple test is presented to verify whether a system is collocated or not. By exploiting power invariance, we provide necessary and sufficient conditions that a change of coordinates decouples the input channels if and only if the dynamics is collocated. In addition, we use the collocated form to derive novel controllers for damped underactuated mechanical systems. To demonstrate the theoretical findings, we consider several Lagrangian systems with a focus on continuum soft robots.","Dynamics; Mechanical systems; Modeling, Control, and Learning for Soft Robots; Motion Control; Robot kinematics; Robots; Soft robotics; Sufficient conditions; Symmetric matrices; Underactuated Robots; Vectors","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:896d1388-10e5-4142-980c-e8c9c77ddfff","http://resolver.tudelft.nl/uuid:896d1388-10e5-4142-980c-e8c9c77ddfff","Model-based approach for the automatic inclusion of production considerations in the conceptual design of aircraft structures","Bruggeman, A.M.R.M. (TU Delft Flight Performance and Propulsion); Bansal, D. (TU Delft Flight Performance and Propulsion); la Rocca, G. (TU Delft Flight Performance and Propulsion); van der Laan, T (Fokker Aerostructures); van den Berg, T. (Fokker/GKN Aerospace)","","2024","Including production considerations in the early design stages of aircraft structures is challenging. Production information is mostly known by experts and rarely formally documented such that it can be effectively used during the design process. Producibility is mostly considered after completing the design, resulting in increased cost and development time due to the late discovery of production issues. This paper presents a new model, called the Manufacturing Information Model (MIM), which supports the automatic inclusion of production considerations into the design process. The MIM provides a single source of truth and a generic structure to capture and organize production-related information in a product system. Furthermore, it provides compatibility analyses to automatically warn for or exclude infeasible designs. Analysis tools use the information stored within the MIM to calculate the mass, costs, and production rate of the product. To show the functionalities of the MIM, it has been applied to the conceptual design of a wing box at a Tier 1 company. This use case shows how the MIM supports trade-off decisions, as it allows for the identification of trends and the ranking of different manufacturing concepts. Overall, the MIM provides a structured and formal approach to include production information in the conceptual design, improving the decision-making process.","","en","journal article","","","","","","","","","","","Flight Performance and Propulsion","","",""
"uuid:6c351747-4fbf-4ec1-9833-ef8b4fb11b87","http://resolver.tudelft.nl/uuid:6c351747-4fbf-4ec1-9833-ef8b4fb11b87","On Self-Intersections of Cubic Bézier Curves","Yu, Ying-Ying (Liaoning Normal University); Li, Xin (Dalian Maritime University); Ji, Y. (TU Delft Numerical Analysis)","","2024","Cubic Bézier curves are widely used in computer graphics and geometric modeling, favored for their intuitive design and ease of implementation. However, self-intersections within these curves can pose significant challenges in both geometric modeling and analysis. This paper presents a comprehensive approach to detecting and computing self-intersections of cubic Bézier curves. We introduce an efficient algorithm that leverages both the geometric properties of Bézier curves and numerical methods to accurately identify intersection points. The self-intersection problem of cubic Bézier curves is firstly transformed into a quadratic problem by eliminating trivial solutions. Subsequently, this quadratic system is converted into a linear system that may be easily analyzed and solved. Finally, the parameter values corresponding to the self-intersection points are computed through the solution of the linear system. The proposed method is designed to be robust and computationally efficient, making it suitable for real-time applications.","geometric modeling; Bézier curves; self-intersections","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:12d78965-608e-44fe-af1e-fc7fe84a19fd","http://resolver.tudelft.nl/uuid:12d78965-608e-44fe-af1e-fc7fe84a19fd","Bone cell response to additively manufactured 3D micro-architectures with controlled Poisson's ratio: Auxetic vs. non-auxetic meta-biomaterials","Yarali, E. (TU Delft Biomaterials & Tissue Biomechanics); Klimopoulou, M. (TU Delft Biomaterials & Tissue Biomechanics); David, M.K. (TU Delft ChemE/O&O groep); Boukany, P. (TU Delft ChemE/Product and Process Engineering); Staufer, U. (TU Delft Micro and Nano Engineering); Fratila-Apachitei, E.L. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics); Accardo, A. (TU Delft Micro and Nano Engineering); Mirzaali, Mohammad J. (TU Delft Biomaterials & Tissue Biomechanics)","","2024","The Poisson's ratio and elastic modulus are two parameters determining the elastic behavior of biomaterials. While the effects of elastic modulus on the cell response is widely studied, very little is known regarding the effects of the Poisson's ratio. The micro-architecture of meta-biomaterials determines not only the Poisson's ratio but also several other parameters that also influence cell response, such as porosity, pore size, and effective elastic modulus. It is, therefore, very challenging to isolate the effects of the Poisson's ratio from those of other micro-architectural parameters. Here, we computationally design meta-biomaterials with controlled Poisson's ratios, ranging between -0.74 and +0.74, while maintaining consistent porosity, pore size, and effective elastic modulus. The 3D meta-biomaterials were additively manufactured at the micro-scale using two-photon polymerization (2PP), and were mechanically evaluated at the meso‑scale. The response of murine preosteoblasts to these meta-biomaterials was then studied using in vitro cell culture models. Meta-biomaterials with positive Poisson's ratios resulted in higher metabolic activity than those with negative values. The cells could attach and infiltrate all meta-biomaterials from the bottom to the top, fully covering the scaffolds after 17 days of culture. Interestingly, the meta-biomaterials exhibited different cell-induced deformations (e.g., shrinkage or local bending) as observed via scanning electron microscopy. The outcomes of osteogenic differentiation (i.e., Runx2 immunofluorescent staining) and matrix mineralization (i.e., Alizarin red staining) assays indicated the significant potential impact of these meta-biomaterials in the field of bone tissue engineering, paving the way for the development of advanced bone meta-implants. Statement of significance: We studied the influence of Poisson's ratio on bone cell response in meta-biomaterials. While elastic modulus effects are well-studied, the impact of Poisson's ratio, especially negative values found in architected biomaterials, remains largely unexplored. The complexity arises from intertwined micro-architectural parameters, such as porosity and elastic modulus, making it challenging to isolate the Poisson's ratio. To overcome this limitation, this study employed rational computational design to create meta-biomaterials with controlled Poisson's ratios, alongside consistent effective elastic modulus, porosity, and pore size. The study reveals that two-photon polymerized 3D meta-biomaterials with positive Poisson's ratios displayed higher metabolic activity, while all the developed meta-biomaterials supported osteogenic differentiation of preosteoblasts as well as matrix mineralization. The outcomes pave the way for the development of advanced 3D bone tissue models and meta-implants.","Cell differentiation; Meta-biomaterials; Metabolic activity; Poisson's ratio; Preosteoblasts; Two-photon polymerization","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:5fcc82ce-8371-40fb-a0e8-afa54887def4","http://resolver.tudelft.nl/uuid:5fcc82ce-8371-40fb-a0e8-afa54887def4","Optimal Configuration of Omega-Kappa FF-SAR Processing for Specular and Non-Specular Targets in Altimetric Data: The Sentinel-6 Michael Freilich Study Case","Amraoui, Samira (Collecte Localisation Satellites); Guccione, Pietro (ARESYS SRL); Moreau, Thomas (Collecte Localisation Satellites); Alves, Marta (Collecte Localisation Satellites); Altiparmaki, O. (TU Delft Astrodynamics & Space Missions); Peureux, Charles (Collecte Localisation Satellites); Recchia, Lisa (ARESYS SRL); Maraldi, Claire (Centre National pour l’Etudes Spatiales); Boy, François (CNES Centre National d'Etudes Spatiales); Donlon, Craig (European Space Agency (ESA))","","2024","In this study, the full-focusing (FF) algorithm is reviewed with the objective of optimizing it for processing data from different types of surfaces probed in altimetry. In particular, this work aims to provide a set of optimal FF processing parameters for the Sentinel-6 Michael Freilich (S6-MF) mission. The S6-MF satellite carries an advanced radar altimeter offering a wide range of potential FF-based applications which are just beginning to be explored and require prior optimization of this processing. In S6-MF, the Synthetic Aperture Radar (SAR) altimeter acquisitions are known to be aliased in the along-track direction. Depending on the target, aliasing can be tolerated or may be a severe impairment to provide the level of performance expected from FF processing. Another key aspect to consider in this optimization study is the unprecedented resolution of the FF processing, which results in a higher posting rate than the standard SAR processing. This work investigates the relationship between posting rate and noise levels and provides recommendations for optimal algorithm configurations in various scenarios, including transponder, open ocean, and specular targets like sea-ice and inland water scenes. The Omega–Kappa (WK) algorithm, which has demonstrated superior CPU efficiency compared to the back-projection (BP) algorithm, is considered for this study. But, unlike BP, it operates in the Doppler frequency domain, necessitating further precise spectral and time domain settings. Based on the results of this work, real case studies using S6-MF acquisitions are presented. We first compare S6-MF FF radargrams with Sentinel-1 (S1) images to showcase the potential of optimally configured FF processing. For highly specular surfaces such as sea-ice, distinct techniques are employed for lead signature identification. S1 relies on image-based lineic reconstruction, while S6-MF utilizes phase coherency of focalized pulses for lead detection. The study also delves into two-dimensional wave spectra derived from the amplitude modulation of image/radargrams, with a focus on a coastal example. This case is especially intriguing, as it vividly illustrates different sea states characterized by varying spectral peak positions over time.","","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:d5811855-0b1b-4a3a-a6ea-b0a0a0abd3b5","http://resolver.tudelft.nl/uuid:d5811855-0b1b-4a3a-a6ea-b0a0a0abd3b5","Trained innate immunity modulates osteoblast and osteoclast differentiation","Rahmani, N. R. (University Medical Center Utrecht; Universiteit Utrecht); Belluomo, R. (Universiteit Utrecht); Kruyt, M. C. (University Medical Center Utrecht; University of Twente); Gawlitta, D. (Universiteit Utrecht; University Medical Center Utrecht); Joosten, L. A.B. (Radboud University Medical Center; University of Medicine and Pharmacy); Weinans, Harrie (TU Delft Biomaterials & Tissue Biomechanics; University Medical Center Utrecht); Croes, M. (University Medical Center Utrecht)","","2024","Macrophages are key regulators in bone repair and regeneration. Recent studies have shown that long-term epigenetic changes and metabolic shifts occur during specific immune training of macrophages that affect their functional state, resulting in heightened (trained) or reduced (tolerant) responses upon exposure to a second stimulus. This is known as innate immune memory. Here, we study the impact of macrophages’ memory trait on osteoblast differentiation of human mesenchymal stromal cells (hMSCs) and osteoclast differentiation. An in vitro trained immunity protocol of monocyte-derived macrophages was employed using inactivated Candida albicans and Bacillus Calmette–Guérin (BCG) to induce a ‘trained’ state and Pam3CSK4 (PAM) and Lipopolysaccharides (LPS) to induce a ‘tolerance’ state. Macrophages were subsequently cocultured with hMSCs undergoing osteogenic differentiation during either resting (unstimulated) or inflammatory conditions (restimulated with LPS). Alkaline phosphatase activity, mineralization, and cytokine levels (TNF, IL-6, oncostatin M and SDF-1α) were measured. In addition, macrophages underwent osteoclast differentiation. Our findings show that trained and tolerized macrophages induced opposing results. Under resting conditions, BCG-trained macrophages enhanced ALP levels (threefold), while under inflammatory conditions this was found in the LPS-tolerized macrophages (fourfold). Coculture of hMSCs with trained macrophages showed mineralization while tolerized macrophages inhibited the process under both resting and inflammatory conditions. While osteoclast differentiation was not affected in trained-macrophages, this ability was significantly loss in tolerized ones. This study further confirms the intricate cross talk between immune cells and bone cells, highlighting the need to consider this interaction in the development of personalized approaches for bone regenerative medicine. Graphical Abstract: (Figure presented.).","Bone regeneration; Innate immune memory; Macrophage; MSC; Osteoimmunology","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:7e632475-60c7-4570-aae1-e258a9cdf3a9","http://resolver.tudelft.nl/uuid:7e632475-60c7-4570-aae1-e258a9cdf3a9","Quantifying riming from airborne data during the HALO-(AC)3campaign","Maherndl, Nina (University of Leipzig); Moser, Manuel (University of Mainz; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Lucke, J.R. (TU Delft Aircraft Noise and Climate Effects; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Mech, Mario (University of Cologne); Risse, Nils (University of Cologne); Schirmacher, Imke (University of Cologne); Maahn, Maximilian (University of Leipzig)","","2024","Riming is a key precipitation formation process in mixed-phase clouds which efficiently converts cloud liquid to ice water. Here, we present two methods to quantify riming of ice particles from airborne observations with the normalized rime mass, which is the ratio of rime mass to the mass of a size-equivalent spherical graupel particle. We use data obtained during the HALO-(AC)3 aircraft campaign, where two aircraft collected radar and in situ measurements that were closely spatially and temporally collocated over the Fram Strait west of Svalbard in spring 2022. The first method is based on an inverse optimal estimation algorithm for the retrieval of the normalized rime mass from a closure between cloud radar and in situ measurements during these collocated flight segments (combined method). The second method relies on in situ observations only, relating the normalized rime mass to optical particle shape measurements (in situ method). We find good agreement between both methods during collocated flight segments with median normalized rime masses of 0.024 and 0.021 (mean values of 0.035 and 0.033) for the combined and in situ method, respectively. Assuming that particles with a normalized rime mass smaller than 0.01 are unrimed, we obtain average rimed fractions of 88ĝ€¯% and 87ĝ€¯% over all collocated flight segments. Although in situ measurement volumes are in the range of a few cubic centimeters and are therefore much smaller than the radar volume (about 45ĝ€¯m footprint diameter at an altitude of 500ĝ€¯m above ground, with a vertical resolution of 5ĝ€¯m), we assume they are representative of the radar volume. When this assumption is not met due to less homogeneous conditions, discrepancies between the two methods result. We show the performance of the methods in a case study of a collocated segment of cold-air outbreak conditions and compare normalized rime mass results with meteorological and cloud parameters. We find that higher normalized rime masses correlate with streaks of higher radar reflectivity. The methods presented improve our ability to quantify riming from aircraft observations.","","en","journal article","","","","","","","","","","","Aircraft Noise and Climate Effects","","",""
"uuid:c4c1a755-e776-45a3-9660-14050242eca8","http://resolver.tudelft.nl/uuid:c4c1a755-e776-45a3-9660-14050242eca8","Low disorder and high valley splitting in silicon","Degli Esposti, D. (TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Stehouwer, L.E.A. (TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Gül, Önder (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; TNO); Samkharadze, Nodar (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; TNO); Déprez, C.C. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Meyer, M. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Meijer, Ilja N. (Kavli institute of nanoscience Delft; Student TU Delft); Tryputen, L. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; TNO); Karwal, S. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; TNO); Vandersypen, L.M.K. (TU Delft QuTech Advanced Research Centre; TU Delft QN/Vandersypen Lab; Kavli institute of nanoscience Delft); Sammak, A. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; TNO); Veldhorst, M. (TU Delft QN/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Scappucci, G. (TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2024","The electrical characterisation of classical and quantum devices is a critical step in the development cycle of heterogeneous material stacks for semiconductor spin qubits. In the case of silicon, properties such as disorder and energy separation of conduction band valleys are commonly investigated individually upon modifications in selected parameters of the material stack. However, this reductionist approach fails to consider the interdependence between different structural and electronic properties at the danger of optimising one metric at the expense of the others. Here, we achieve a significant improvement in both disorder and valley splitting by taking a co-design approach to the material stack. We demonstrate isotopically purified, strained quantum wells with high mobility of 3.14(8) × 105 cm2 V−1 s−1 and low percolation density of 6.9(1) × 1010 cm−2. These low disorder quantum wells support quantum dots with low charge noise of 0.9(3) μeV Hz−1/2 and large mean valley splitting energy of 0.24(7) meV, measured in qubit devices. By striking the delicate balance between disorder, charge noise, and valley splitting, these findings provide a benchmark for silicon as a host semiconductor for quantum dot qubits. We foresee the application of these heterostructures in larger, high-performance quantum processors.","","en","journal article","","","","","","","","","","","QCD/Scappucci Lab","","",""
"uuid:9dd05d41-e515-4b6b-a1e5-f18fc06b7023","http://resolver.tudelft.nl/uuid:9dd05d41-e515-4b6b-a1e5-f18fc06b7023","High-Pressure oxidative coupling of methane on alkali metal catalyst – Microkinetic analysis and operando thermal visualization","Yu, Yuhang (University of Tokyo); Obata, Keisuke (University of Tokyo); Movick, William J. (University of Tokyo); Yoshida, Shintaro (University of Tokyo); Palomo Jiménez, J. (TU Delft ChemE/Catalysis Engineering); Lundin, Sean Thomas B. (National Institute of Advanced Industrial Science and Technology (AIST)); Urakawa, A. (TU Delft ChemE/Catalysis Engineering); Sarathy, S. Mani (King Abdullah University of Science and Technology); Takanabe, Kazuhiro (University of Tokyo; Japan Science and Technology Agency)","","2024","To introduce promotional H2O effects for both CH4 rate and C2 selectivity, the OH radical formation, catalyzed through H2O activation with O2 surface species, was critical for modeling selective Mn-K2WO4/SiO2 catalysts. Based on our reported experimental evidence, which demonstrates the formation of H2O2 through surface alkali peroxide intermediate, the elementary reactions that account for the OH-mediated pathway were added into the microkinetic model. The advanced model adeptly replicated the promotional H2O effects on both OCM rate and selectivity. The data from a low-pressure microkinetic study were treated isothermally, and extended for near-industrially relevant pressures up to 901 kPa. Thermal visualization using an infrared camera found substantial temperature increases at undiluted high-pressure conditions which caused C2 selectivity to drop significantly. When the furnace temperatures were decreased after ignition, side reactions after O2 depletion (e.g., hydrocarbon reforming) were suppressed, obtaining 13.7 (11.8) % yields at 19.9 % CH4 conversion with 68.6 (59.1) % selectivities for C2-4 (C2) at 901 kPa. The temperature was found to be the determining factor of C2 yield which was perturbed by varying space velocity or CH4/O2 ratios. The optimum temperature for high-pressure conditions was predicted as 885 °C at 901 kPa. The study provides mechanistic and industrially relevant understandings for further OCM catalyst design and system application.","High pressure; Microkinetic analysis; OCM mechanisms; OH-mediated pathway; Operando thermal visualization","en","journal article","","","","","","Publisher Copyright: © 2024 The Author(s)","","","","","ChemE/Catalysis Engineering","","",""
"uuid:31d03aac-111d-4ce9-a3d5-cda9096c52c0","http://resolver.tudelft.nl/uuid:31d03aac-111d-4ce9-a3d5-cda9096c52c0","Non-intrusive temperature measurements for transient freezing in laminar internal flow using laser induced fluorescence","Kaaks, B.J. (TU Delft RST/Reactor Physics and Nuclear Materials); Couweleers, S.D. (TU Delft RST/Technici Pool); Lathouwers, D. (TU Delft RST/Reactor Physics and Nuclear Materials); Kloosterman, J.L. (TU Delft RST/Radiation, Science and Technology); Rohde, M. (TU Delft RST/Reactor Physics and Nuclear Materials)","","2024","This work presents two color LIF temperature measurements for the transient freezing in a square channel under laminar flow conditions. This is the first time non-intrusive temperature measurements were performed within the thermal boundary layer during the transient growth of an ice layer in internal flow. A combination of a local outlier factor algorithm and a smoothing operation was used to remove the top to bottom striations and reduce the other measurement noise. The temperature uncertainty in our measurements was between σ=0.3∘C and σ=0.5∘C. For the largest temperature difference between the bulk and the melting point of 14.6 °C, good results were obtained. As such, the current campaign demonstrates the potential of LIF as a non-intrusive temperature measurement technique for solid–liquid phase change experiments. However, some artefacts were present within the vicinity of the ice-layer due to the scattering of the laser light, especially near the inlet of the channel where the ice-layer is curved instead of flat. LIF measurements taken within a short time span prior to the onset of ice freezing showed approximately 2 °C of subcooling, consistent with previous findings. In addition, an anomalous behavior within the thermal boundary layer was observed, with a much smaller temperature gradient within the first few mm above the cold plate and a point of inflection in the temperature profile. The anomalous temperature behavior is possibly attributed to enhanced natural convection as a result of the subcooling at the cold plate surface.","Laminar internal flow; Laser Induced Fluorescence; Non-intrusive temperature measurements; Transient freezing","en","journal article","","","","","","","","","","RST/Radiation, Science and Technology","RST/Reactor Physics and Nuclear Materials","","",""
"uuid:d5f9bd8c-3c51-462e-8cf0-472864e1a798","http://resolver.tudelft.nl/uuid:d5f9bd8c-3c51-462e-8cf0-472864e1a798","A highly stable, pressure-driven, flow control system based on Coriolis mass flow sensors for organs-on-chips","de Haan, Pim (Rijksuniversiteit Groningen; TI-COAST); Mulder, Jean Paul S.H. (Rijksuniversiteit Groningen); Lötters, J.C. (TU Delft Precision and Microsystems Engineering; Bronkhorst High-Tech BV; University of Twente); Verpoorte, Elisabeth (Rijksuniversiteit Groningen)","","2024","Stable delivery of liquids to microfluidic systems is essential for their reproducible functioning, especially when supplying flows to organs-on-chips – delicate living models that recreate human physiology on the microscale and thus can be used to reduce the need for animal testing. Most flow control systems are unable to sustain a robust and stable flow in longer experiments (>1 week), particularly those based on the ubiquitous syringe pump. Though easy to use, syringe pumps have no mechanism for actually measuring flow, let alone flow regulation with sensor feedback. We have developed a liquid delivery system based on the generation of flow by applying a constant air pressure to liquids in sealed containers. A flow of liquid is monitored by accurate measurement of mass flows (mg/min) using downstream Coriolis-based mass flow sensors. Measured mass flows provide fast feedback to integrated valves, with valves opening or closing slightly to increase or decrease solution flows to the organs-on-chips as required. This mass flow sensing principle is not affected by changes in the density, temperature, and viscosity of the liquids being displaced. This is in contrast to systems that use volumetric flow sensors, which require recalibration when these parameters change. The rationale behind using this principle for organs-on-chips, is that the stability provided by this flow control system allows for more control over growth of these mini-organs. We demonstrate the functionality of this system with three examples: 1) Fast stabilization (within seconds) under changing physical conditions; 2) Short-term stability (minutes to hours) of delivered flows in a microreactor with interconnected inlets; and 3) Long-term stability (>1 week) of cell medium flows to a living organ-on-a-chip. Two categories of organs-on-chips (OOCs) can be distinguished: 1) solid OOC are designed for three-dimensional cell or tissue constructs that interact with each other and their surroundings, and 2) barrier-type OOC contain a selective cellular barrier between two compartments as do many barriers in the body. The latter of these two types is the most challenging to culture and maintain as they are very sensitive to variations in flow and pressure surges. The flow control system presented in this work provides a great improvement compared to the use of syringe pumps and volumetric flow sensors in OOC studies. The novelty of this work lies in the long-term stability use of this system for organs-on-chips, maintaining stability for short to very long periods of time without compromising the barrier function of the organ-on-chip by pressure surges, bacterial contamination, or other undesired effects from the flow delivery system.","Coriolis flow sensor; Flow control system; Flow stability; Organ-on-a-chip","en","journal article","","","","","","","","","","Precision and Microsystems Engineering","","","",""
"uuid:16582a99-0526-4e72-8383-0e74aeeb7784","http://resolver.tudelft.nl/uuid:16582a99-0526-4e72-8383-0e74aeeb7784","2D Ge2Se2P4 Monolayer: A Versatile Photocatalyst for Sustainable Water Splitting","Vu, Tuan V. (Van Lang University); Hieu, Nguyen N. (Duy Tan University); Vo, Dat D. (Van Lang University); Kartamyshev, A. I. (Van Lang University); Tong, Hien D. (Vietnamese-German University); Trinh, Thuat T. (Norwegian University of Science and Technology (NTNU)); Khuong Dien, Vo (National Cheng Kung University); Haman, Zakaryae (Moulay Ismail University); Dey, P. (TU Delft Team Poulumi Dey); Khossossi, N. (TU Delft Team Poulumi Dey)","","2024","This study aims to identify photo-/electrocatalysts that can enhance the oxygen evolution reaction (OER), hydrogen evolution reaction (HER), and oxygen reduction reaction (ORR), which are of utmost importance in electro-/photochemical energy systems, such as solar energy, fuel cells, water electrolyzers, or metal-air batteries. Our study focused on investigating the 2D Ge2Se2P4 monolayer and found that it exhibits a bifunctional photocatalyst with a very high solar-to-hydrogen efficiency. The two-dimensional (2D) Ge2Se2P4 monolayer has superior HER activity compared to that of most 2D materials, and it also outperforms the reference catalysts IrO2(110) and Pt(111) in terms of low overpotential values for ORR and OER mechanisms. Such superior catalytic performance in the 2D Ge2Se2P4 monolayer can be attributed to its electron states, charge transfer process, and suitable band alignments referring to normal hydrogen electrodes. Overall, the study suggests that the Ge2Se2P4 monolayer could be an excellent bifunctional catalyst for advancing photo-/electrochemical energy systems.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-29","","","Team Poulumi Dey","","",""
"uuid:1729ac19-9537-4131-a48b-4900c63a9a24","http://resolver.tudelft.nl/uuid:1729ac19-9537-4131-a48b-4900c63a9a24","Assessing nozzle flow dynamics in fused filament fabrication through the parametric map α − λ","Schuller, Tomás (Universidade do Porto; Institute of Science and Innovation in Mechanical and Industrial Engineering (INEGI)); Fanzio, P. (TU Delft Micro and Nano Engineering); Galindo-Rosales, Francisco J. (Universidade do Porto)","","2024","Polymer rheology profoundly influences the intricate dynamics of material extrusion in fused filament fabrication (FFF). This numerical study, which uses the Giesekus model fed with a full rheometric experimental dataset, meticulously examines the molten flow patterns inside the printing nozzle in FFF. Our findings reveal new insight into the interplay between elastic stresses and complex flow patterns, highlighting their substantial role in forming upstream vortices. The parametric map α-λ from the Giesekus model allowed us to sort the materials and connect the polymer rheology with the FFF nozzle flow dynamics. The identification of elastic instabilities, the characterization of flow types, and the correlation between fluid rheology and pressure drop variations mark significant advancements in understanding FFF processes. These insights pave the way for tailored nozzle designs, promising enhanced efficiency and reliability in FFF-based additive manufacturing.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-11","","","Micro and Nano Engineering","","",""
"uuid:cf3f497d-32d5-4c84-aeb0-404314965385","http://resolver.tudelft.nl/uuid:cf3f497d-32d5-4c84-aeb0-404314965385","Predicting protein retention in ion-exchange chromatography using an open source QSPR workflow","Neijenhuis, T. (TU Delft BT/Bioprocess Engineering); Le Bussy, Olivier (GSK Vaccines, Rixensart); Geldhof, Geoffroy (GSK Vaccines, Rixensart); Klijn, M.E. (TU Delft BT/Bioprocess Engineering); Ottens, M. (TU Delft BT/Design and Engineering Education)","","2024","Protein-based biopharmaceuticals require high purity before final formulation to ensure product safety, making process development time consuming. Implementation of computational approaches at the initial stages of process development offers a significant reduction in development efforts. By preselecting process conditions, experimental screening can be limited to only a subset. One such computational selection approach is the application of Quantitative Structure Property Relationship (QSPR) models that describe the properties exploited during purification. This work presents a novel open-source Python tool capable of extracting a range of features from protein 3D models on a local computer allowing total transparency of the calculations. As open-source tool, it also impacts initial investments in constructing a QSPR workflow for protein property prediction for third parties, making it widely applicable within the field of bioprocess development. The focus of current calculated molecular features is projection onto the protein surface by constructing surface grid representations. Linear regression models were trained with the calculated features to predict chromatographic retention times/volumes. Model validation shows a high accuracy for anion and cation exchange chromatography data (cross-validated R2 of 0.87 and 0.95). Hence, these models demonstrate the potential of the use of QSPR to accelerate process design.","chromatography; protein features; Quantitative Structure Activity Relationship (QSAR); Quantitative Structure Property Relationship (QSPR); retention prediction","en","journal article","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:023b1c6c-3d52-4354-a958-0880d7bf9bdc","http://resolver.tudelft.nl/uuid:023b1c6c-3d52-4354-a958-0880d7bf9bdc","The Funnel of Game Design – An Adaptive Game Design Approach for Complex Systems","Freese, M. (TU Delft Policy Analysis; Otto-von-Guericke University); Lukosch, H.K. (University of Canterbury)","","2024","Background: In a world of ever-increasing complexity, organizations and people have an ever-increasing need for support systems that help them understand and shape the world around them. While simulation game design derived from the very idea to propose an instrument able to address complexity, seminal approaches dealt with a different level of complexity. In a networked, digitalized world, complexity has increased, and traditional approaches towards designing games show certain shortcomings that have to be overcome. Aim: This article proposes a new process of game design for complex problems and complex systems that can both be used by game designers as well as the scientific community in the field. This process is represented within a framework, based on two parts. The so-called ‘Funnel of Game Design’ based on the IDEAS approach represents the process of problem derivation, while the ‘House of Game Design’ also covers possible steps towards the final game product and process, including de-briefing and evaluation. Method: Based on hands-on experiences and related work, we developed several steps of a game design process (IDEAS approach). In face-to-face interviews, we discussed the first version of the framework with experts in the field of simulation game studies. Results: This process led to the framework presented in this article, which shows the steps of problem derivation as well as challenges that can occur, and proposes adaptive methods to overcome these challenges. The framework includes elements that support the definition of complex problems, and their translation into game designs. Recommendation: We recommend practitioners and scientists to apply the new framework presented here in their efforts to define the underlying problem that should be addressed by an envisioned simulation game, and in translating this into a valid, engaging and meaningful game experience.","analytical science; complex systems; design framework; design science; simulation games","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:478c5b9b-eb91-4d86-aa82-42d0c3af003b","http://resolver.tudelft.nl/uuid:478c5b9b-eb91-4d86-aa82-42d0c3af003b","The value of a mobile educative Application additional to Standard counselling on aspirin Adherence in Pregnancy: the ASAP study, a randomised controlled trial","bij de Weg, Jeske M. (Vrije Universiteit Amsterdam; Amsterdam Reproduction and Development Research Institute, Amsterdam); de Boer, Marjon A. (Vrije Universiteit Amsterdam; Amsterdam Reproduction and Development Research Institute, Amsterdam); Meijer, Cynthia (Vrije Universiteit Amsterdam; Amsterdam Reproduction and Development Research Institute, Amsterdam); Lugtenburg, Noëlle (Student TU Delft); Melles, M. (TU Delft Applied Ergonomics and Design); de Vries, Johanna I.P. (Vrije Universiteit Amsterdam; Amsterdam Reproduction and Development Research Institute, Amsterdam); de Groot, Christianne J.M. (Vrije Universiteit Amsterdam; Amsterdam Reproduction and Development Research Institute, Amsterdam)","","2024","Objective: To assess the added value of a novel, mobile educative application to standard counselling on aspirin adherence during pregnancy versus standard counselling alone. Methods: Participants were randomly assigned for additional use of a mobile educative application or standard counselling alone. Main outcome measures were adherence to aspirin measured by two validated questionnaires: Simplified Medication Adherence Questionnaire (SMAQ), Believes and Behaviour Questionnaire (BBQ), and patients reported tablet intake >90%. Results: A total of 174 women with an indication for aspirin during pregnancy were included. The questionnaires were filled in by 126 out of the 174 participants (72.4%). Similar results were found in the app group and the standard counselling groups for both validated questionnaires. Tablet intake >90% was seen in 88.7% and 87.5% (p = 0.834) of the app group and standard counselling group respectively. Subgroup analyses demonstrated a negative effect of BMI and a positive effect of educational level on adherence. Conclusions: Our study revealed no added effect of a novel, mobile educative application to standard counselling on aspirin adherence during pregnancy. Tablet intake was equally high in both groups probably explained by our high educated population. Innovation: Future studies should focus on tailored counselling on medication to pregnant women's needs including medication reminders, addressing concerns, adequate health literacy and side effects, offering rewards to further stimulate aspirin adherence in pregnancy with optimal outcome for mother and their neonate.","Adherence; Aspirin; Counselling; Education; Educative application; Pregnancy","en","journal article","","","","","","","","","","","Applied Ergonomics and Design","","",""
"uuid:2de10f3f-ef0e-495c-8b2c-3129d9ee35c4","http://resolver.tudelft.nl/uuid:2de10f3f-ef0e-495c-8b2c-3129d9ee35c4","Effect of temperature on dislocation-tuned dielectricity and piezoelectricity in single-crystal BaTiO3","Dietrich, Felix (Technische Universität Darmstadt); Ni, Fan (Technische Universität Darmstadt); Fulanović, Lovro (Technische Universität Darmstadt); Zhou, Xiandong (Sichuan University); Isaia, Daniel (Technische Universität Darmstadt); Braga Groszewicz, P. (TU Delft RST/Storage of Electrochemical Energy); Zhang, Chunlin (Physcience Optoelectronics Co., Ltd, Beijing); Xu, Bai Xiang (Technische Universität Darmstadt); Rödel, Jürgen (Technische Universität Darmstadt)","","2024","The pinning-controlled mobility of ferroelectric/ferroelastic domain walls is an important part of managing polarization switching and determining the final properties of ferroelectric and piezoelectric materials. Here, we assess the impact of temperature on dislocation-induced domain wall pinning as well as on dislocation-tuned dielectric and piezoelectric response in barium titanate single crystals. Our solid-state nuclear magnetic resonance spectroscopy results indicate that the entire sample exclusively permits in-plane domains, with their distribution remaining insensitive to temperature changes below the Curie temperature (TC). The domain wall pinning field monotonically decreases with increasing temperature up to TC, as evidenced by a combination of experimental observations and phase-field simulations. Our work highlights the promising potential of dislocation engineering in controlling domain wall mobility within bulk ferroelectrics.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-11","","","RST/Storage of Electrochemical Energy","","",""
"uuid:0807aab6-06b6-4137-8a91-35e7ba0bc378","http://resolver.tudelft.nl/uuid:0807aab6-06b6-4137-8a91-35e7ba0bc378","Introduction to the Issue on Artificial Intelligence in the Public Sector: Risks and Benefits of AI for Governments","Mellouli, Sehl (Laval University); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Ojo, Adegboyega (Carleton University)","","2024","Artificial Intelligence (AI) is increasingly adopted by public sector organizations to provide better public services and to transform their internal processes. AI is now considered a key enabler for digital innovation and transformation in the public sector. However, AI is still relatively a new research area in the field of digital government. The term, AI, captures a wide range of technologies, techniques, and tools such as machine/deep learning, natural language processing, robotics, computer vision, and more recently Generative AI. While these AI technologies afford different applications and benefits in the gov- ernment context, they also create social, ethical, and legal challenges. These challenges require solutions combining both technical (e.g., data and algorithmic solutions to minimize bias) and institutional (e.g., governance structures and processes) mechanisms. The special issue is a collection of articles that contribute to a better understanding of the issues associated with AI deployment in different areas of government operations. They cover AI applications in the areas of emergency re- sponse, policy analysis, public bids, and citizen participation. The contributions also address the challenge of realizing a legal transparency regime for AI in government and the effect of AI in bureaucratic decision-making.","Artificial intelligence; benefits; e-government; risks","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-12","","Engineering, Systems and Services","","","",""
"uuid:031fc2fe-75d3-43b3-b278-0f5ae3333426","http://resolver.tudelft.nl/uuid:031fc2fe-75d3-43b3-b278-0f5ae3333426","Validation of artificial intelligence-based digital microscopy for automated detection of Schistosoma haematobium eggs in urine in Gabon","Meulah, Brice (Leiden University Medical Center; CERMEL); Oyibo, P.O. (TU Delft Team Michel Verhaegen); Hoekstra, Pytsje T. (Leiden University Medical Center); Mour, Paul Alvyn Nguema (CERMEL; Ecole doctorale regionale d’Afrique centrale en infectiologie tropicale de Franceville); Maloum, Moustapha Nzamba (CERMEL); Laclong Lontchi, Roméo Aimé (CERMEL); Honkpehedji, Yabo Josiane (Leiden University Medical Center; CERMEL; Fondation pour la Recherche Scientifique); Bengtson, Michel (Leiden University Medical Center); Hokke, Cornelis (Leiden University Medical Center); Diehl, J.C. (TU Delft Design for Sustainability)","","2024","Introduction
Schistosomiasis is a significant public health concern, especially in Sub-Saharan Africa. Conventional microscopy is the standard diagnostic method in resource-limited settings, but with limitations, such as the need for expert microscopists. An automated digital microscope with artificial intelligence (Schistoscope), offers a potential solution. This field study aimed to validate the diagnostic performance of the Schistoscope for detecting and quantifying Schistosoma haematobium eggs in urine compared to conventional microscopy and to a composite reference standard (CRS) consisting of real-time PCR and the up-converting particle (UCP) lateral flow (LF) test for the detection of schistosome circulating anodic antigen (CAA).
Methods
Based on a non-inferiority concept, the Schistoscope was evaluated in two parts: study A, consisting of 339 freshly collected urine samples and study B, consisting of 798 fresh urine samples that were also banked as slides for analysis with the Schistoscope. In both studies, the Schistoscope, conventional microscopy, real-time PCR and UCP-LF CAA were performed and samples with all the diagnostic test results were included in the analysis. All diagnostic procedures were performed in a laboratory located in a rural area of Gabon, endemic for S. haematobium.
Results
In study A and B, the Schistoscope demonstrated a sensitivity of 83.1% and 96.3% compared to conventional microscopy, and 62.9% and 78.0% compared to the CRS. The sensitivity of conventional microscopy in study A and B compared to the CRS was 61.9% and 75.2%, respectively, comparable to the Schistoscope. The specificity of the Schistoscope in study A (78.8%) was significantly lower than that of conventional microscopy (96.4%) based on the CRS but comparable in study B (90.9% and 98.0%, respectively).
Conclusion
Overall, the performance of the Schistoscope was non-inferior to conventional microscopy with a comparable sensitivity, although the specificity varied. The Schistoscope shows promising diagnostic accuracy, particularly for samples with moderate to higher infection intensities as well as for banked sample slides, highlighting the potential for retrospective analysis in resource-limited settings.","","en","journal article","","","","","","","","","","","Team Michel Verhaegen","","",""
"uuid:cf120d58-14a9-425a-b26f-0562b402a494","http://resolver.tudelft.nl/uuid:cf120d58-14a9-425a-b26f-0562b402a494","Hexagonal Boron Nitride Spacers for Fluorescence Imaging of Biomolecules","Yang, X. (TU Delft Dynamics of Micro and Nano Systems); Shin, D. (TU Delft Dynamics of Micro and Nano Systems); Yu, Z. (TU Delft Dynamics of Micro and Nano Systems); Watanabe, Kenji (National Institute for Materials Science); Taniguchi, Takashi (National Institute for Materials Science); Babenko, Vitaliy (University of Cambridge); Hofmann, Stephan (University of Cambridge); Caneva, S. (TU Delft Dynamics of Micro and Nano Systems)","","2024","Fluorescence imaging is an invaluable tool to investigate biomolecular dynamics, mechanics, and interactions in aqueous environments. Two-dimensional materials offer large-area, atomically smooth surfaces for wide-field biomolecule imaging. Despite the success of graphene for on-chip biosensing and biomolecule manipulation, its strong fluorescence-quenching properties pose a challenge for biomolecular investigations that are based on direct optical readouts. Here, we employ few-layer hexagonal boron nitride (hBN) as a precisely tailorable fluorescence spacer between labelled lipid membranes and graphene substrates. By stacking high-quality hBN crystals in the 10–20 nm thickness range on monolayer graphene, we observe distance-dependent fluorescence intensity variations. Remarkably, with hBN spacers as thin as 20 nm, the fluorescence intensity is comparable to bare SiO2/Si substrates, while the intensity was reduced to 60 % and 80 % with ~10 nm and ~16 nm hBN thicknesses respectively. We confirm that pre-determined hBN thicknesses can be employed to control the non-radiative energy transfer properties of graphene, with fluorescence quenching following a d−4 distance-dependent behaviour. This seamless integration of electronically active and dielectric van der Waals materials into vertical heterostructures enables multifunctional platforms addressing the manipulation, localization, and visualization of biomolecules for fundamental biophysics and biosensing applications.","fluorescence; graphene; hexagonal boron nitride (hBN); lipids; quenching","en","journal article","","","","","","D.H.S. and S.C. acknowledge funding from the European Union's Horizon 2020 research and innovation program (ERC StG, SIMPHONICS, Project No. 101041486). S.C. acknowledges a Delft Technology Fellowship. X.Y. acknowledges funding from the Chinese Scholarship Council (Scholarship No. 202108270002). Z.Y. acknowledges funding from NWO (Project MechanoPore).","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:891fb906-9597-4543-ac66-99742b587c25","http://resolver.tudelft.nl/uuid:891fb906-9597-4543-ac66-99742b587c25","Utilizing Gold Nanoparticle Decoration for Enhanced UV Photodetection in CdS Thin Films Fabricated by Pulsed Laser Deposition: Exploiting Plasmon-Induced Effects","Belaid, Walid (Selçuk University); Gezgin, Serap Yiğit (Selçuk University); Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; National Research Institute of Astronomy and Geophysics; Necmettin Erbakan University); Eker, Yasin Ramazan (Necmettin Erbakan University); Kılıç, Hamdi Şükür (Selçuk University)","","2024","UV sensors hold significant promise for various applications in both military and civilian domains. However, achieving exceptional detectivity, responsivity, and rapid rise/decay times remains a notable challenge. In this study, we address this challenge by investigating the photodetection properties of CdS thin films and the influence of surface-deposited gold nanoparticles (AuNPs) on their performance. CdS thin films were produced using the pulsed laser deposition (PLD) technique on glass substrates, with CdS layers at a 100, 150, and 200 nm thickness. Extensive characterization was performed to evaluate the thin films’ structural, morphological, and optical properties. Photodetector devices based on CdS and AuNPs/CdS films were fabricated, and their performance parameters were evaluated under 365 nm light illumination. Our findings demonstrated that reducing CdS layer thickness enhanced performance concerning detectivity, responsivity, external quantum efficiency (EQE), and photocurrent gain. Furthermore, AuNP deposition on the surface of CdS films exhibited a substantial influence, especially on devices with thinner CdS layers. Among the configurations, AuNPs/CdS(100 nm) demonstrated the highest values in all evaluated parameters, including detectivity (1.1×1012 Jones), responsivity (13.86 A/W), EQE (47.2%), and photocurrent gain (9.2).","UV sensors; Au nanoparticles; CdS thin films; photodetection; pulsed laser deposition","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:26963a15-8dc6-49ce-9ffb-2136afd8bd0f","http://resolver.tudelft.nl/uuid:26963a15-8dc6-49ce-9ffb-2136afd8bd0f","State-of-the-practice in quality assurance in Java-based open source software development","Khatami, Ali (TU Delft Software Engineering); Zaidman, A.E. (TU Delft Software Technology)","","2024","To ensure the quality of software systems, software engineers can make use of a variety of quality assurance approaches, for example, software testing, modern code review, automated static analysis, and build automation. Each of these quality assurance practices have been studied in depth in isolation, but there is a clear knowledge gap when it comes to our understanding of how these approaches are being used in conjunction, or not. In our study, we broadly investigate whether and how these quality assurance approaches are being used in conjunction in the development of 1454 popular open source software projects on GitHub. Our study indicates that typically projects do not follow all quality assurance practices together with high intensity. In fact, we only observe weak correlation among some quality assurance practices. In general, our study provides a deeper understanding of how existing quality assurance approaches are currently being used in Java-based open source software development. Besides, we specifically zoom in on the more mature projects in our dataset, and generally we observe that more mature projects are more intense in their application of the quality assurance practices, with more focus on their ASAT usage, and code reviewing, but no strong change in their CI usage.","automated static analysis; build automation; code review; continuous integration; software quality assurance; software testing","en","journal article","","","","","","","","","","Software Technology","Software Engineering","","",""
"uuid:79945b43-4d28-436a-9199-a332782f3cc4","http://resolver.tudelft.nl/uuid:79945b43-4d28-436a-9199-a332782f3cc4","Indoor localisation through Isovist fingerprinting from point clouds and floor plans","Triantafyllou, Georgios (Student TU Delft); Verbree, E. (TU Delft Digital Technologies); Rafiee, A. (TU Delft Digital Technologies)","","2024","The objective of this paper is to investigate and propose a method for Indoor Localisation based on Isovists, with the aim of extending the fields of Location-based Services and Geomatics. Various methods and combinations incorporating Isovist concepts, Space Syntax, and visibility graphs are examined and assessed. By investigating these approaches, this study aims to create a comprehensive methodology to achieve localisation using Isovists. The main conclusion drawn from this research is that an Indoor Localisation method based on Isovists is not only feasible but can also effectively support Location-based Services. The analysis and evaluation of all the components have been thoroughly conducted, indicating that when properly integrated, they can provide substantial value for LBS applications. As this is a new method for Indoor Localisation, there is significant scope for future work, particularly in terms of connecting it with existing techniques and integrating them into user applications.","indoor localisation; space syntax; isovists; fingerprinting; point clouds","en","journal article","","","","","","","","","","","Digital Technologies","","",""
"uuid:20ff96ee-8198-4c41-b6ad-9cddfb83d77e","http://resolver.tudelft.nl/uuid:20ff96ee-8198-4c41-b6ad-9cddfb83d77e","New approximations for network reliability","Brown, Jason I. (Dalhousie University); Kolokolnikov, Theodore (Dalhousie University); Kooij, Robert (TU Delft Quantum & Computer Engineering; TNO)","","2024","We introduce two new methods for approximating the all-terminal reliability of undirected graphs. First, we introduce an edge removal process: remove edges at random, one at a time, until the graph becomes disconnected. We show that the expected number of edges thus removed is equal to (Formula presented.), where (Formula presented.) is the number of edges in the graph, and (Formula presented.) is the average of the all-terminal reliability polynomial. Based on this process, we propose a Monte-Carlo algorithm to quickly estimate the graph reliability (whose exact computation is NP-hard). Moreover, we show that the distribution of the edge removal process can be used to quickly approximate the reliability polynomial. We then propose increasingly accurate asymptotics for graph reliability based solely on degree distributions of the graph. These asymptotics are tested against several real-world networks and are shown to be accurate for sufficiently dense graphs. While the approach starts to fail for “subway-like” networks that contain many paths of vertices of degree two, different asymptotics are derived for such networks.","approximation; average reliability; first order approximation; Monte Carlo; network reliability; regular graph; second order approximation; subway-like network","en","journal article","","","","","","","","","","Quantum & Computer Engineering","","","",""
"uuid:f138b367-2840-447d-8d8d-436147df4061","http://resolver.tudelft.nl/uuid:f138b367-2840-447d-8d8d-436147df4061","On the design of 5GDHC substation control systems","Khlebnikova, E. (TU Delft Sanitary Engineering); Pothof, I.W.M. (TU Delft Sanitary Engineering; Deltares); van der Zwan, Sam (Deltares); Loverdou, Lefki (Gemeente Rotterdam)","","2024","This paper presents challenges in the control of 5GDHC networks and proposes an approach for the robust control design of these networks. Temperatures are low and temperature differences are small in 5GDHC networks, in order to minimise heat losses in the distribution network and directly utilise low-temperature heat sources. Therefore, the robust control design of substations is crucial for stable and efficient operation of 5GDHC networks. We proposed the key steps to obtain a consistent control design that can be verified and tested prior to commissioning of the building substations and network. The design approach was elaborated in a case study in an existing neighbourhood in Haarlem, the Netherlands, constructed in the 1930s to 1970s. This neighbourhood will become independent of natural gas using PVT-rooftop panels, individual heat pumps (HP), a 2-pipe, bi-directional energy flow network operating at ultra-low temperatures and ATES system as a seasonal and daily storage facility.","ATES; heat losses; Networks; photovoltaic thermal panels; PI control; WANDA","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:6ae9a117-b801-4cc0-ad21-92b63c258334","http://resolver.tudelft.nl/uuid:6ae9a117-b801-4cc0-ad21-92b63c258334","Analytical mass transfer coefficients for natural convection from vertical gas-evolving electrodes","Valle Marchante, N. (TU Delft Ship Hydromechanics and Structures); Haverkort, J.W. (TU Delft Energy Technology)","","2024","The high mass transfer to or from gas-evolving electrodes is an attractive feature of electrochemical reactors, which can be partly attributed to the large convective flows that arise due to the buoyancy of bubbles. We derive exact analytical expressions for mass transfer coefficients for the case of constant gas flux boundary conditions. For the mass transport both Dirichlet and Neumann boundary conditions are considered. We deploy a recently derived self-similar solution of laminar two-phase flows, with density, hydrodynamic diffusivity, and viscosity dependent on the local gas fraction. Combining this with the Lévêque approximation, new mass transfer coefficients are obtained analytically. These new results are relevant for various electrochemical processes with gas evolution as well as boiling. The new formulation shows the mass transfer coefficient to scale with the vertical coordinate z proportional to z−1/5 for short electrodes and low current densities and z−4/15 for long ones and high current densities. The former limit also applies when buoyancy is due to temperature or concentration differences in the case that density differences are small. We provide a general overview considering all possible gas and mass boundary conditions combinations and a comparison with the Boussinesq approximation of small density differences.","","en","journal article","","","","","","We acknowledge the Dutch Research Council (NWO) for funding under grant agreement KICH1.ED04.20.011 .","","","","","Ship Hydromechanics and Structures","","",""
"uuid:66023eb8-1b30-4150-9338-4ce268205868","http://resolver.tudelft.nl/uuid:66023eb8-1b30-4150-9338-4ce268205868","Quasi In-Situ Study of Microstructure in a Laser Powder Bed Fusion Martensitic Stainless Steel","Shahriari, Ayda (University of New Brunswick); Sanjari, Mehdi (University of New Brunswick; Natural Resources Canada); Mahmoudiniya, Mahdi (Universiteit Gent); Pirgazi, Hadi (Universiteit Gent); Shalchi Amirkhiz, Babak (University of New Brunswick; Natural Resources Canada); Kestens, L.A.I. (TU Delft Team Maria Santofimia Navarro; Universiteit Gent); Mohammadi, Mohsen (University of New Brunswick)","","2024","This study explores the evolution of solidification microstructure of a laser powder bed fusion (L-PBF) martensitic stainless steel during solution annealing and aging. Quasi in-situ experiments using electron backscatter diffraction (EBSD) revealed that the finer, more equiaxed microstructure below the melt pool was susceptible to recrystallization and grain growth during solution annealing. The two distinct solidification microstructures below and inside the melt pool converged into a uniform grain morphology after solution annealing and aging processes. Graphical Abstract: (Figure presented.)","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-09","","","Team Maria Santofimia Navarro","","",""
"uuid:b11cc3e4-6e23-4a88-9d5b-ec02f52a3a20","http://resolver.tudelft.nl/uuid:b11cc3e4-6e23-4a88-9d5b-ec02f52a3a20","Martingale solutions to the stochastic thin-film equation in two dimensions","Sauerbrey, M. (TU Delft Analysis)","","2024","We construct solutions to the stochastic thin-film equation with quadratic mobility and Stratonovich gradient noise in the physically relevant dimension d = 2 and allow in particular for solutions with non-full support. The construction relies on a Trotter–Kato time-splitting scheme, which was recently employed in d = 1. The additional analytical challenges due to the higher spatial dimension are overcome using α-entropy estimates and corresponding tightness arguments.","Noise; Stochastic compactness method; Thin-film equation; α-Entropy estimates","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-01","","","Analysis","","",""
"uuid:25a4b40e-a832-4acf-b180-552db6eab78e","http://resolver.tudelft.nl/uuid:25a4b40e-a832-4acf-b180-552db6eab78e","BIM-LADM Amalgamation - A Review","Zamzuri, A. (Universiti Teknologi Malaysia); Abdul Rahman, A. (Universiti Teknologi Malaysia); Hassan, M.I. (Universiti Teknologi Malaysia); van Oosterom, P.J.M. (TU Delft Digital Technologies)","","2024","This paper discussed two International Organization for Standardization (ISO) standards, namely, ISO 19152 – Land Administration Domain Model (LADM) and ISO 16739 – Industry Foundation Classes (IFC), to identify three-dimensional (3D) multi-floor building rights. These days, it is challenging to characterize all multifunctional structures due to the increase in complex buildings. High-rise building land and property information management is recommended through building information modeling (BIM). Countries like the Netherlands, Australia, and Turkey have considered adopting BIM for their land administration. A land administration standard, such as LADM, offers better legal and physical representation in identifying the rights, restrictions, and responsibilities (RRRs) of the spatial units and stakeholders. However, LADM falls short in representing all building elements, such as semantic information and invisible functional spaces. Hence, this paper reviews incorporating additional information from BIM to create a comprehensive three-dimensional building representation including legal information from LADM. Issues and challenges also being highlighted with some recommendations for possible future works.","LADM; BIM/IFC; land administration; multi-floor building; RRRs","en","journal article","","","","","","","","","","","Digital Technologies","","",""
"uuid:72bb8f0a-579b-4454-ac27-dfd86c544bef","http://resolver.tudelft.nl/uuid:72bb8f0a-579b-4454-ac27-dfd86c544bef","Photoimmuno-antimicrobial therapy for Staphylococcus aureus implant infection","van Dijk, Bruce (University Medical Center Utrecht); Oliveira, Sabrina (Universiteit Utrecht); Hooning van Duyvenbode, J. Fred F. (University Medical Center Utrecht); Nurmohamed, F. Ruben H.A. (University Medical Center Utrecht); Mashayekhi, Vida (Universiteit Utrecht); Beltran Hernandez, Irati (Universiteit Utrecht); van Strijp, Jos (University Medical Center Utrecht); de Vor, Lisanne (University Medical Center Utrecht); Aerts, Piet C. (University Medical Center Utrecht); Vogely, H. Charles (University Medical Center Utrecht); Weinans, Harrie (TU Delft Biomaterials & Tissue Biomechanics; University Medical Center Utrecht); van der Wal, Bart C.H. (University Medical Center Utrecht)","","2024","Introduction Implant infections caused by Staphylococcus aureus are responsible for high mortality and morbidity worldwide. Treatment of these infections can be difficult especially when bacterial biofilms are involved. In this study we investigate the potential of infrared photoimmunotherapy to eradicate staphylococcal infection in a mouse model. Methods A monoclonal antibody that targets Wall Teichoic Acid surface components of both S. aureus and its biofilm (4497-IgG1) was conjugated to a photosensitizer (IRDye700DX) and used as photoimmunotherapy in vitro and in vivo in mice with a subcutaneous implant pre-colonized with biofilm of Staphylococcus aureus. A dose of 400 μg and 200 μg of antibody-photosensitizer conjugate 4497-IgG–IRDye700DXwas administered intravenously to two groups of 5 mice. In addition, multiple control groups (vancomycin treated, unconjugated IRDye700DX and IRDye700DX conjugated to a non-specific antibody) were used to verify anti-microbial effects. Results In vitro results of 4497-IgG-IRDye700DX on pre-colonized (biofilm) implants showed significant (p<0.01) colony-forming units (CFU) reduction at a concentration of 5 μg of the antibody-photosensitizer conjugate. In vivo, treatment with 4497-IgG-IRDye700DX showed no significant CFU reduction at the implant infection. However, tissue around the implant did show a significant CFU reduction with 400 μg 4497-IgG-IRDye700DX compared to control groups (p = 0.037). Conclusion This study demonstrated the antimicrobial potential of photoimmunotherapy for selectively eliminating S. aureus in vivo. However, using a solid implant instead of a catheter could result in an increased bactericidal effect of 4497-IgG-IRDye700DX and administration locally around an implant (per operative) could become valuable applications in patients that are difficult to treat with conventional methods. We conclude that photoimmunotherapy could be a potential additional therapy in the treatment of implant related infections, but requires further improvement.","","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:87f5a71c-272b-4c71-842d-984cb6980710","http://resolver.tudelft.nl/uuid:87f5a71c-272b-4c71-842d-984cb6980710","Cross-coupled iterative learning control: A computationally efficient approach applied to an industrial flatbed printer","Aarnoudse, Leontine (Eindhoven University of Technology); Kon, Johan (Eindhoven University of Technology); Classens, Koen (Eindhoven University of Technology); van Meer, Max (Eindhoven University of Technology); Poot, Maurice (Eindhoven University of Technology); Tacx, Paul (Eindhoven University of Technology); Strijbosch, Nard (Eindhoven University of Technology); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2024","Cross-coupled iterative learning control (ILC) can improve the contour tracking performance of manufacturing systems significantly. This paper aims to develop a framework for norm-optimal cross-coupled ILC that enables intuitive tuning of time- and iteration-varying weights of the exact contour error and its tangential counterpart. This leads to an iteration-varying ILC algorithm for which convergence conditions are developed. In addition, a resource-efficient implementation is developed that reduces the computational load significantly and enables the use of long reference signals. The approach is experimentally validated on an industrial flatbed printer.","Contour tracking; Feedforward control; Iterative learning control","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:69402ff3-ea24-40bb-a99e-633ee1901351","http://resolver.tudelft.nl/uuid:69402ff3-ea24-40bb-a99e-633ee1901351","A Q-learning based multi-strategy integrated artificial bee colony algorithm with application in unmanned vehicle path planning","Ni, Xinrui (Beijing Jiaotong University); Hu, Wei (Beijing Jiaotong University); Fan, Q. (TU Delft Discrete Mathematics and Optimization); Cui, Yibing (Beijing Jiaotong University); Qi, Chongkai (Beijing Jiaotong University)","","2024","Artificial bee colony (ABC) is a prominent algorithm that offers great exploration capabilities among various meta-heuristic algorithms. However, its monotonous and one-dimensional search strategy limits its searching performance in the solving process. Thus, to address this issue, a Q-learning based multi-strategy integrated ABC algorithm (QMABC) is proposed. In the QMABC, multiple search strategies are proposed to utilize different individual experiences and search approaches for solution updates. Then, Q-learning is employed for strategy selection. In comparison to previous studies, this paper introduces more effective state and action configurations within the framework of Q-learning. To evaluate the performance of the QMABC, CEC 2017 benchmark functions are adopted to compare it to different meta-heuristic algorithms including ABC based and non-ABC based algorithms. Moreover, applications in path planning are implemented to further verify the effectiveness of the QMABC. Overall, it should be highlighted that the proposed QMABC demonstrates superiority in both numerical and practical experiments.","Artificial bee colony algorithm; Meta-heuristic algorithm; Multi-strategy; Path planning; Q-learning framework","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-25","","","Discrete Mathematics and Optimization","","",""
"uuid:caa942c6-2bba-4b57-81d3-d29c6dcabf9f","http://resolver.tudelft.nl/uuid:caa942c6-2bba-4b57-81d3-d29c6dcabf9f","Explainable artificial intelligence for intrusion detection in IoT networks: A deep learning based approach","Sharma, Bhawana (Manipal University Jaipur); Sharma, Lokesh (Manipal University Jaipur); Lal, C. (TU Delft Cyber Security); Roy, Satyabrata (Manipal University Jaipur)","","2024","The Internet of Things (IoT) is currently seeing tremendous growth due to new technologies and big data. Research in the field of IoT security is an emerging topic. IoT networks are becoming more vulnerable to new assaults as a result of the growth in devices and the production of massive data. In order to recognize the attacks, an intrusion detection system is required. In this work, we suggested a Deep Learning (DL) model for intrusion detection to categorize various attacks in the dataset. We used a filter-based approach to pick out the most important aspects and limit the number of features, and we built two different deep-learning models for intrusion detection. For model training and testing, we used two publicly accessible datasets, NSL-KDD and UNSW-NB 15. First, we applied the dataset on the Deep neural network (DNN) model and then the same dataset on Convolution Neural Network (CNN) model. For both datasets, the DL model had a better accuracy rate. Because DL models are opaque and challenging to comprehend, we applied the idea of explainable Artificial Intelligence (AI) to provide a model explanation. To increase confidence in the DNN model, we applied the explainable AI (XAI) Local Interpretable Model-agnostic Explanations (LIME ) method, and for better understanding, we also applied Shapley Additive Explanations (SHAP).","Convolution neural network; Deep neural network; DL; Intrusion detection system; Local interpretable model-agnostic explanations; Shapley additive explanations; XAI","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-25","","","Cyber Security","","",""
"uuid:01b73100-531d-4923-8c36-bcbc4b4b1855","http://resolver.tudelft.nl/uuid:01b73100-531d-4923-8c36-bcbc4b4b1855","A matrix-free parallel solution method for the three-dimensional heterogeneous Helmholtz equation","Chen, J. (TU Delft Numerical Analysis); Dwarka, V.N.S.R. (TU Delft Numerical Analysis); Vuik, Cornelis (TU Delft Delft Institute of Applied Mathematics)","","2024","The Helmholtz equation is related to seismic exploration, sonar, antennas, and medical imaging applications. It is one of the most challenging problems to solve in terms of accuracy and convergence due to the scalability issues of the numerical solvers. For 3D large-scale applications, high-performance parallel solvers are also needed. In this paper, a matrix-free parallel iterative solver is presented for the three-dimensional (3D) heterogeneous Helmholtz equation. We consider the preconditioned Krylov subspace methods for solving the linear system obtained from finite-difference discretization. The Complex Shifted Laplace Preconditioner (CSLP) is employed since it results in a linear increase in the number of iterations as a function of the wavenumber. The preconditioner is approximately inverted using one parallel 3D multigrid cycle. For parallel computing, the global domain is partitioned blockwise. The matrix-vector multiplication and preconditioning operator are implemented in a matrix-free way instead of constructing large, memory-consuming coefficient matrices. Numerical experiments of 3D model problems demonstrate the robustness and outstanding strong scaling of our matrix-free parallel solution method. Moreover, the weak parallel scalability indicates our approach is suitable for realistic 3D heterogeneous Helmholtz problems with minimized pollution error.","Helmholtz equation; parallel computation; matrix-free; geometric multigrid; preconditioner; scalability","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","Numerical Analysis","","",""
"uuid:8274d563-959c-474f-979d-878bfb0b1790","http://resolver.tudelft.nl/uuid:8274d563-959c-474f-979d-878bfb0b1790","A network analysis of factors influencing the purchase intentions for refurbished electronics","Wallner, T.S. (TU Delft Responsible Marketing and Consumer Behavior); Haslbeck, Jonas M.B. (Universiteit Maastricht; Universiteit van Amsterdam); Magnier, L.B.M. (TU Delft Responsible Marketing and Consumer Behavior); Mugge, R. (TU Delft Responsible Marketing and Consumer Behavior; Universiteit van Amsterdam)","","2024","Refurbishment can extend the lifetime of an electronic product and reduce its environmental footprint. However, consumers often perceive refurbished electronics as less attractive than new ones, resulting in lower purchase intentions. While prior research has identified several factors that influence consumer choices regarding refurbished electronics, we lack understanding of the interrelations between the different factors related to the consumer, product and context. To model the complex interplay of the factors involved in the intention to purchase refurbished speakers and earbuds, we conducted a survey with 1801 participants. A network encompassing both product categories revealed that the most central factors influencing the intention to purchase refurbished electronics were the product category, the perceived risk and the perception that refurbished electronics are uncomfortable to use because they remind the consumer of their prior user (territorial contamination). For refurbished earbuds, participants' concerns about territorial and hygienic contamination had the strongest negative relations to purchase intentions. For refurbished speakers, the purchase intentions were most negatively related to their perceived risk and positively related to their financial attractiveness. Hence, strategies aiming to enhance the consumer acceptance of refurbished electronics should aim at reducing risks and contamination concerns. Potential strategies to reduce the risks associated with refurbished electronics are discussed.","Refurbished electronics; Consumer acceptance; Network analysis; Circular economy; Sustainable consumer behaviour","en","journal article","","","","","","","","","","","Responsible Marketing and Consumer Behavior","","",""
"uuid:26134021-9159-423c-a8b1-5bcf5621938b","http://resolver.tudelft.nl/uuid:26134021-9159-423c-a8b1-5bcf5621938b","Statistically Distinct Plans for Multi-Objective Task Assignment","Wilde, N. (TU Delft Learning & Autonomous Control); Alonso-Mora, J. (TU Delft Learning & Autonomous Control)","","2024","We study the problem of finding statistically distinct plans for stochastic task assignment problems such as online multi-robot pickup and delivery (MRPD) when facing multiple competing objectives. In many real-world settings robot fleets do not only need to fulfil delivery requests, but also have to consider auxiliary objectives such as energy efficiency or avoiding human-centered work spaces. We pose MRPD as a multi-objective optimization problem where the goal is to find MRPD policies that yield different trade-offs between given objectives. There are two main challenges: 1) MRPD is computationally hard, which limits the number of trade-offs that can reasonably be computed, and 2) due to the random task arrivals, one needs to consider statistical variance of the objective values in addition to the average. We present an adaptive sampling algorithm that finds a set of policies which i) are approximately optimal, ii) approximate the set of all optimal solutions, and iii) are statistically distinguishable. We prove completeness and adapt a state-of-the-art MRPD solver to the multi-objective setting for three example objectives. In a series of simulation experiments we demonstrate the advantages of the proposed method compared to baseline approaches and show its robustness in a sensitivity analysis. The approach is general and could be adapted to other multi-objective task assignment and planning problems under uncertainty.","Costs; Linear programming; Multi-Objective Optimization; Multi-Robot Task Assignment; Optimization; Path Planning for Multiple Mobile Robots; Pickup and Delivery; Planning; Quality of service; Robots; Task analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-29","","","Learning & Autonomous Control","","",""
"uuid:2670fc77-a436-4370-be2a-0cdc055907f1","http://resolver.tudelft.nl/uuid:2670fc77-a436-4370-be2a-0cdc055907f1","On the Trajectory of a Light Small Rigid Body in an Incompressible Viscous Fluid","Bravin, M. (TU Delft Analysis); Nečasová, Šárka (Czech Academy of Sciences)","","2024","In this paper, we study the dynamics of a small rigid body in a viscous incompressible fluid in dimension two and three. More precisely we investigate the trajectory of the rigid body in the limit when its mass and its size tend to zero. We show that the velocity of the center of mass of the rigid body coincides with the background fluid velocity in the limit. We are able to consider the limit when the volume of the rigid bodies converges to zero while their densities are a fixed constant.","Asymptotic limit; Fluid-structure interaction; Navier-Stokes; PDEs; Rigid body","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:dbcc79c3-84c5-41ce-9a84-557773af39e3","http://resolver.tudelft.nl/uuid:dbcc79c3-84c5-41ce-9a84-557773af39e3","Aerodynamic model comparison for an X-shaped vertical-axis wind turbine","Giri Ajay, A. (TU Delft Wind Energy); Morgan, Laurence (University of Strathclyde); Wu, Y. (TU Delft Wind Energy); Bretos, David (Centro Nacional de Energías Renovables); Cascales, Aurelio (Centro Nacional de Energías Renovables); Pires, Oscar (Centro Nacional de Energías Renovables); Ferreira, Carlos (TU Delft Wind Energy)","","2024","This article presents a comparison study of different aerodynamic models for an X-shaped vertical-axis wind turbine and offers insight into the 3D aerodynamics of this rotor at fixed pitch offsets. The study compares six different numerical models: a double-multiple streamtube (DMS) model, a 2D actuator cylinder (2DAC) model, an inviscid free vortex wake model (from CACTUS), a free vortex wake model with turbulent vorticity (from QBlade), a blade-resolved unsteady Reynolds-averaged Navier–Stokes (URANS) model, and a lattice Boltzmann method (from PowerFLOW). All models, except URANS and PowerFLOW use the same blade element characteristics other than the number of blade elements. This comparison covers the present rotor configuration for several tip-speed ratios and fixed blade pitch offsets without unsteady corrections, except for the URANS and PowerFLOW which cover a single case. The results show that DMS and 2DAC models are inaccurate – especially at highly loaded conditions, are unable to predict the downwind blade vortex interaction, and do not capture the vertical/axial induction this rotor exhibits. The vortex models are consistent with each other, and the differences when compared against the URANS and PowerFLOW mostly arise due to the unsteady and flow curvature effects. Furthermore, the influence of vertical induction is very prominent for this rotor, and this effect becomes more significant with fixed pitch offsets where the flow at the blade root is considerably altered.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:64563d5b-e4ff-4e7b-b835-947b88063a72","http://resolver.tudelft.nl/uuid:64563d5b-e4ff-4e7b-b835-947b88063a72","Effect of vibrational modes on fluidization characteristics and solid distribution of cohesive micro- and nano-silica powders","Kamphorst, R. (TU Delft ChemE/Product and Process Engineering); Wu, K. (TU Delft ChemE/Product and Process Engineering); van Baarlen, M. (TU Delft Sanitary Engineering); Meesters, G.M.H. (TU Delft ChemE/Product and Process Engineering); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering)","","2024","In this study, the impact of different vibrational modes on the fluidization characteristics of cohesive micro- and nano-silica powder was examined. Fractional pressure drop, bed expansion measurements, and X-ray imaging were utilized to characterize the fluidization quality. The densities of the emulsion phase at the top and bottom of the column were quantified and compared, providing insights into the solid distribution within the fluidized bed. In the absence of vibration, neither powder could be fluidized within the considered range of superficial gas velocities. Vertical vibration was found to initiate fluidization for both powders. In contrast, elliptical vibration failed to overcome the channelling behavior when fluidizing the micro-powder. For nano-powder, combined channelling and powder compaction occurred when the bed was subjected to elliptical vibration. For the micro-powder, it was observed that bed homogeneity was independent of vertical vibration intensity but improved with increasing superficial gas velocity. For nano-powder, intensifying vertical vibration led to segregation, likely due to agglomerate densification. Furthermore, fractional pressure drop measurements proved to be a strong tool in assessing fluidization quality, providing insights that could not be attained by conventional indicators.","Agglomeration; Assistance methods; Cohesive particles; Nano-particles; Vibro-fluidized bed; X-ray imaging","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:c20dc01b-0580-44f5-aa29-9f1d998a4d72","http://resolver.tudelft.nl/uuid:c20dc01b-0580-44f5-aa29-9f1d998a4d72","Noncompact uniform universal approximation","van Nuland, T.D.H. (TU Delft Analysis)","","2024","The universal approximation theorem is generalised to uniform convergence on the (noncompact) input space Rn. All continuous functions that vanish at infinity can be uniformly approximated by neural networks with one hidden layer, for all activation functions φ that are continuous, nonpolynomial, and asymptotically polynomial at ±∞. When φ is moreover bounded, we exactly determine which functions can be uniformly approximated by neural networks, with the following unexpected results. Let Nφl(Rn)¯ denote the vector space of functions that are uniformly approximable by neural networks with l hidden layers and n inputs. For all n and all l≥2, Nφl(Rn)¯ turns out to be an algebra under the pointwise product. If the left limit of φ differs from its right limit (for instance, when φ is sigmoidal) the algebra Nφl(Rn)¯ (l≥2) is independent of φ and l, and equals the closed span of products of sigmoids composed with one-dimensional projections. If the left limit of φ equals its right limit, Nφl(Rn)¯ (l≥1) equals the (real part of the) commutative resolvent algebra, a C*-algebra which is used in mathematical approaches to quantum theory. In the latter case, the algebra is independent of l≥1, whereas in the former case Nφ2(Rn)¯ is strictly bigger than Nφ1(Rn)¯.","Deep learning; Feedforward ANN; Functional analysis; Ridge functions; Uniform convergence; Universal approximation theorem","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-25","","","Analysis","","",""
"uuid:b4840105-44cc-43a7-ae08-1424449bb2e8","http://resolver.tudelft.nl/uuid:b4840105-44cc-43a7-ae08-1424449bb2e8","Measuring Housing Inequality with the Value of Freedom in the Capability Approach: Proposal and Demonstration","Kimhur, Boram (TU Delft Urban Development Management)","","2024","An ongoing question in capability research is how to incorporate the value of freedom into the measurement of inequality. This article proposes an approach to answering this question in the housing domain and its operationalisation. The approach places an evaluation focus to the conditions constraining or expanding housing choices in the dimensions of opportunity, security, and ability. For operationalisation, the study designed a measurement of multidimensional housing disadvantages (MHDs) using the Alkire-Foster method and data from the Netherlands. Indicators include the entitlement to housing tenure options, vulnerability in housing cost payments, and ability to plan finance for housing. The measurement outcome demonstrates that the MHDs measurement can provide information on whose housing choices are more intensely constrained, thus having a lower capability for housing, and whose current housing situation is likely a result of coerced choices. The findings indicate that adults living with housemates or family (latent households), youths, and those with precarious jobs have a significantly lower capability for housing compared to other population groups. This article also compares the freedom-oriented measure of MHDs with functioning-oriented and other conventional measures and discusses its distinguishing properties. This comparison suggests a need to revisit current policy priorities in addressing housing inequality.","capability approach; freedom; inequality; multidimensional measurement; housing; capability for housing","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:d6f6758f-b484-4373-92d3-4b37b32ebee7","http://resolver.tudelft.nl/uuid:d6f6758f-b484-4373-92d3-4b37b32ebee7","The relationship between linearised 3D and 2DH models for tidally dominated shallow waters","Rozendaal, M.C. (TU Delft Human Information Communication Design); Dijkstra, Y.M. (TU Delft Mathematical Physics); Schuttelaars, H.M. (TU Delft Mathematical Physics)","","2024","The water motion computed using 3D and 2DH models in tidally dominated shallow waters can, in some cases, differ significantly. In 2DH models, bed friction is typically parametrised in terms of the depth-averaged velocity, whereas in 3D models, typically the near-bed velocity is used. This difference causes the bed shear stress in 2DH models to point towards the depth-averaged velocity, whereas in 3D models, it points towards the near-bed velocity, which are not necessarily the same. Focussing on linearised barotropic models, we derive an exact friction parametrisation for 2DH models such that the same depth-averaged dynamics are described as in the corresponding 3D model. The result is a convolutional friction formulation where the instantaneous friction depends on the present and past velocities, thus modifying the traditional 2DH friction formulation that only depends on the present depth-averaged velocity. In the case of harmonic (tidal) waves, this parametrisation has a clear physical interpretation and shows that the near-bed velocity should be parametrised as a rotated, deformed and phase shifted variant of the depth-averaged velocity. We demonstrate that in certain regions of the parameter space, it may be impossible to calibrate a 2DH model that uses a traditional friction law to reproduce the water levels from a 3D model, showing that the 3D friction formulation can be crucial to capture the 3D dynamics within a depth-averaged model. This phenomenon is explored in detail in a narrow well-mixed estuary.","2DH model; 3D friction formulation; 3D model; Idealised model","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-05","","","Human Information Communication Design","","",""
"uuid:27c70dcf-402f-4073-ac9c-ac48abd08de4","http://resolver.tudelft.nl/uuid:27c70dcf-402f-4073-ac9c-ac48abd08de4","Generation of Secondary Space Debris Risks from Net Capturing in Active Space Debris Removal Missions","Cuadrat-Grzybowski, M. (TU Delft Astrodynamics & Space Missions); Gill, E.K.A. (TU Delft Space Systems Egineering)","","2024","Mitigation strategies to eliminate existing space debris, such as with Active Space Debris Removal (ASDR) missions, have become increasingly important. Among the considered ASDR approaches, one involves using a net as a capturing mechanism. A fundamental requirement for any ASDR mission is that the capture process itself should not give rise to new space debris. However, in simulations of net capturing, the potential for structural breaking is often overlooked. A discrete Multi-Spring-Damper net model was employed to simulate the impact of a 30 m × 30 m net travelling at 20 m/s onto an ESA Envisat mock-up. The Envisat was modelled as a two-rigid-body system comprised of the main body and a large solar array with a hinge connection. The analysis revealed that more than two significant substructures had a notable likelihood of breaking, prompting the recommendation of limiting the impacting velocity. The generation of secondary space debris indicates that net capturing is riskier than previously assumed in the literature.","active debris removal; net capturing; structural breaking; secondary space debris generation","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:55c2d2db-32f7-4ad7-a51c-0af839d7efc0","http://resolver.tudelft.nl/uuid:55c2d2db-32f7-4ad7-a51c-0af839d7efc0","A generating absorbing boundary condition for simulating wave interaction with maritime structures in current or at forward speed","Chang, X. (Shanghai Ship and Shipping Research Institute Co.); Wellens, P.R. (TU Delft Ship Hydromechanics and Structures)","","2024","The lack of suitable boundary conditions in practical surface wave simulations with maritime structures in current or at forward speed may cause energy in the computational domain to accumulate due to spurious wave reflection. The common way to prevent wave reflection is to use passive wave absorbers, such as damping zones or relaxation zones, which requires larger domains at the cost of computational effort. Our goal is to derive a local generating absorbing boundary condition (GABC) for long-crested irregular waves on top of a mean flow, using the flow to model the forward speed of a structure such as a ship. Earlier work has demonstrated that a local GABC for free surface waves has a performance similar to passive wave absorbers, but at a reduced computational effort. New in the present work is that we extend, verify and validate the GABC in the presence of a nonzero mean flow. The GABC is designed to be accurate for a range of wave components in irregular sea states, with the resulting reflection coefficients for each component lower than a chosen value, say 5%. Having used potential flow theory for its derivation means that the boundary should not be placed at the exact location where wave breaking is expected, such as very close to the structure in the domain, or in the surf zone in coastal modeling. For the application with ships in this article that does not pose a limitation. The performance is demonstrated for a range of dimensionless wave number between 0 and 6. Such a boundary condition is obtained through a rational approximation of the linear dispersion relation with a mean flow, in combination with vertical derivatives of the solution variables along the boundary. Local linearization means that the GABC incorrectly considers bound, nonlinear wave components to be freely propagating wave components. Bound components, however, tend to have smaller amplitudes and do not appear to affect performance for the considered cases. Results of simulations with regular and irregular waves, on top of flows with different magnitudes and directions, are found to agree with the theory. The main source of differences is the implementation of the second derivate in the GABC near the free surface. Simulations of a Wigley hull at forward speed in irregular waves are compared to an experiment that was conducted specifically for validating the ABC. The data of the experiment are available as open data through doi: 10.4121/21320604. The comparison between simulation and experiment demonstrates that the GABC with a mean flow can be applied not only for theoretical simulations with propagating waves, but also for more practical applications with a structure in the domain.","Absorbing boundary condition; Combined waves and mean flow; Doppler shift; Volume of Fluid (VoF); Wave dispersion","en","journal article","","","","","","","","","","","Ship Hydromechanics and Structures","","",""
"uuid:00e76b21-675a-424a-9721-890063492f04","http://resolver.tudelft.nl/uuid:00e76b21-675a-424a-9721-890063492f04","Training and Testing Texture Similarity Metrics for Structurally Lossless Compression","Zhang, Kaixuan (Northwestern University); Shi, Zhaochen (Northwestern University); Zujovic, Jana (Northwestern University); de Ridder, H. (TU Delft Human Information Communication Design); van Egmond, R. (TU Delft Human Information Communication Design); Neuhoff, David L. (University of Michigan); Pappas, T. (TU Delft Human Information Communication Design)","","2024","We present a systematic approach for training and testing structural texture similarity metrics (STSIMs) so that they can be used to exploit texture redundancy for structurally lossless image compression. The training and testing is based on a set of image distortions that reflect the characteristics of the perturbations present in natural texture images. We conduct empirical studies to determine the perceived similarity scale across all pairs of original and distorted textures. We then introduce a data-driven approach for training the Mahalanobis formulation of STSIM based on the resulting annotated texture pairs. Experimental results demonstrate that training results in significant improvements in metric performance. We also show that the performance of the trained STSIM metrics is competitive with state of the art metrics based on convolutional neural networks, at substantially lower computational cost.","Databases; Distortion; Distortion measurement; Image coding; Measurement; Redundancy; Training","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-15","","","Human Information Communication Design","","",""
"uuid:01419615-9a1d-428d-8769-a96ab9498a6c","http://resolver.tudelft.nl/uuid:01419615-9a1d-428d-8769-a96ab9498a6c","How well do NDVI and OpenStreetMap data capture people’s visual perceptions of urban greenspace?","Teeuwen, R.F.L. (TU Delft Human-Centred Artificial Intelligence); Milias, V. (TU Delft Human-Centred Artificial Intelligence); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence); Psyllidis, A. (TU Delft Internet of Things)","","2024","The study of urban greenspaces typically relies on three types of data: people’s subjective perceptions collected via questionnaires, vegetation indices derived from satellite imagery, such as the Normalized Difference Vegetation Index (NDVI), and Land Use or Land Cover maps, such as OpenStreetMap (OSM). Data on people’s perceptions are essential when researching human activities, yet they scale poorly. NDVI and OSM data, on the other hand, are freely available worldwide, thus valuable for assessing cities at scale or prioritizing locations for interventions. However, it is unclear how effectively NDVI and OSM data capture people’s visual perceptions of urban greenspaces. In this work, we collect people’s visual perceptions of public spaces in three major European cities through crowdsourcing, quantitatively compare them to NDVI and OSM data, and qualitatively investigate disparities. We found that NDVI moderately correlates with perceived greenness and that not only OSM greenspaces but also pocket parks and play spaces are often considered green. Furthermore, we found that people’s perceptions correspond best to OSM data in small radius distances and NDVI data in larger radius distances and that combining NDVI and OSM data can improve identification of places in OSM that are commonly considered green. Our qualitative analysis revealed that configuration and variety of vegetation, and presence of other natural or built-up features, influence people’s perceptions of greenspace. With our findings we aim to help researchers and practitioners make more informed decisions when collecting greenspace data for their specific context, ultimately contributing to green urban environments that reflect people’s perspectives.","Urban greenspace; Visual perception; OpenStreetMap; NDVI; Crowdsourcing","en","journal article","","","","","","","","","","","Human-Centred Artificial Intelligence","","",""
"uuid:81bbc3bc-4ecf-4606-b100-4cb7e837c29b","http://resolver.tudelft.nl/uuid:81bbc3bc-4ecf-4606-b100-4cb7e837c29b","Comprehensive properties assessment of asphalt binder under aqueous solutions with different pH values and its gradient damage behaviors","Zou, Yingxue (Wuhan University of Technology); Wu, Shaopeng (Wuhan University of Technology); Chen, Anqi (Wuhan University of Technology); Liu, Quantao (Wuhan University of Technology); Amirkhanian, Serji (University of Alabama); Xu, S. (TU Delft Materials and Environment; Wuhan University of Technology); Yang, Chao (Hubei University of Technology); Wan, Pei (Wuhan University of Technology); Xu, Haiqin (Wuhan University of Technology); Lu, Ziyu (Wuhan University of Technology)","","2024","This study characterized the morphology, high-temperature property, rheological property, adhesion, cohesion, and chemical component of asphalt binder under aqueous solutions of different pH values. Then the physicochemical properties of asphalt binder were comprehensively evaluated by the improved radar chart. The properties of asphalt binder stripped layer-by-layer were explored to elucidate its gradient damage behaviors. The results indicate that the pH 3 solution reveals the greatest impact on the morphology of 90 asphalt (90 A) and styrene-butadiene-styrene modified asphalt (SBS MA), leading to the wide cracks on 90 A and the network cracks on SBS MA. The comprehensive assessment index of 90 A exposed to pH 3, pH 5, pH 7, pH 9, and pH 11 solutions can be reduced by 20.8%, 20.2%, 1.5%, 14.0%, and 25.5%, respectively. While for SBS MA, its corresponding values variation are 23.2%, 17.7%, 4.6%, 8.7%, and 13.0%, respectively. The acid solutions significantly affect the comprehensive properties of 90 A, but their pH value has little effect. 90 A exposure to the higher pH value of alkali solution reveals the worse comprehensive properties. Acid solute and alkali solute can aggravate the effect of aqueous solution on the comprehensive properties of SBS MA, and the degree of aggravation increases with the increase of solute concentration. The properties of asphalt binders exhibit varied gradient damage behaviors under different solute environments. The most serious damage occurs at 25–50 µm of 90 A and 0–50 µm of SBS MA. This study facilitates an accurate understanding of the mechanism of pavement distresses and composition design and construction utilization of asphalt binders.","Aqueous solutions; Asphalt binder; Comprehensive assessment; Gradient damage behaviors; pH value","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-17","","","Materials and Environment","","",""
"uuid:cc6842c0-23d3-40c6-be10-f93f8dee55fc","http://resolver.tudelft.nl/uuid:cc6842c0-23d3-40c6-be10-f93f8dee55fc","Integrating post-event very high resolution SAR imagery and machine learning for building-level earthquake damage assessment","Macchiarulo, V. (TU Delft Geo-engineering); Giardina, Giorgia (TU Delft Geo-engineering); Milillo, Pietro (University of Houston; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Aktas, Yasemin D. (University College London (UCL)); Whitworth, Michael R.Z. (AECOM Technology Corporation, United Kingdom)","","2024","Earthquakes have devastating effects on densely urbanised regions, requiring rapid and extensive damage assessment to guide resource allocation and recovery efforts. Traditional damage assessment is time-consuming, resource-intensive, and faces challenges in covering vast affected areas, often limiting timely decision-making. Space-borne synthetic aperture radars (SAR) have gained attention for their all-weather and day-night imaging capabilities. These advantages, coupled with wide coverage, short revisits and very high resolution (VHR), have created opportunities for using SAR data in disaster response. However, most SAR studies for post-earthquake damage assessment rely on change detection methods using pre-event SAR images, which are often unavailable in operational scenarios. Limited studies using solely post-event SAR data primarily concentrate on city-block-level damage assessment, thus not fully exploiting the VHR SAR potential. This paper presents a novel method integrating solely post-event VHR SAR imagery and machine learning (ML) for regional-scale post-earthquake damage assessment at the individual building-level. We first used supervised learning on case-specific datasets, and then introduced a combined learning approach, incorporating inventories from multiple case studies to assess generalisation. Finally, the ML model was tested on unseen study areas, to evaluate its flexibility in unfamiliar contexts. The method was implemented using datasets collected during the Earthquake Engineering Field Investigation Team (EEFIT) reconnaissance missions following the 2021 Nippes earthquake and the 2023 Kahramanmaraş earthquake sequence. The results demonstrate the method’s ability to classify standing and collapsed buildings, achieving up to 72% overall accuracy on unseen regions. The proposed method has potential for future disaster assessments, thereby contributing to more effective earthquake management strategies.","Disaster management; ML techniques; Post-earthquake reconnaissance; Remote sensing; Synthetic aperture radar; Texture analysis","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:f0f2e615-6b99-4a4d-af00-56c5ce55c2a8","http://resolver.tudelft.nl/uuid:f0f2e615-6b99-4a4d-af00-56c5ce55c2a8","Optimizing freeform lenses for extended sources with algorithmic differentiable ray tracing and truncated hierarchical B-splines","Heemels, A.N.M. (TU Delft ImPhys/Adam group); de Koning, B. (TU Delft ImPhys/Adam group; Student TU Delft); Möller, M. (TU Delft Numerical Analysis); Adam, A.J.L. (TU Delft ImPhys/Adam group)","","2024","We propose a method for optimizing the geometry of a freeform lens to redirect the light emitted from an extended source into a desired irradiance distribution. We utilize a gradient-based optimization approach with MITSUBA 3, an algorithmic differentiable non-sequential ray tracer that allows us to obtain the gradients of the freeform surface parameters with respect to the produced irradiance distribution. To prevent the optimizer from getting trapped in local minima, we gradually increase the number of degrees of freedom of the surface by using Truncated Hierarchical B-splines (THB-splines) during optimization. The refinement locations are determined by analyzing the gradients of the surface vertices. We first design a freeform using a collimated beam (zero-etendue source) for a complex target distribution to demonstrate the method’s effectiveness. Then, we demonstrate the ability of this approach to create a freeform that can project the light of an extended Lambertian source into a prescribed target distribution.","","en","journal article","","","","","","","","","","","ImPhys/Adam group","","",""
"uuid:4913ee24-246f-4515-bcc7-b92efa04f7c8","http://resolver.tudelft.nl/uuid:4913ee24-246f-4515-bcc7-b92efa04f7c8","Isolated propeller aeroacoustics at positive and negative thrust","Goyal, J. (TU Delft Wind Energy); Avallone, F. (Polytechnic University of Turin); Sinnige, T. (TU Delft Flight Performance and Propulsion)","","2024","Using propellers in negative thrust conditions can potentially result in many benefits, such as a steeper descent, a reduced landing run, reduced community noise, energy regeneration, etc. However, the aerodynamics and aeroacoustics of propellers in this regime are not well understood. This paper presents an aeroacoustic analysis of an isolated propeller operating in both positive and negative thrust conditions, using scale-resolved lattice-Boltzmann very large eddy simulations and the Ffowcs Williams & Hawkings analogy. The propeller was operated at a constant tip Mach number so that any differences in tonal noise between positive and negative thrust conditions were due to changes in blade loading. Results showed that the flow separation around the blades in the negative thrust case led to a 2 to 6 times higher standard deviation in integrated thrust compared to the positive thrust case. The blade loading in the negative thrust case shows the amplitude of fluctuations up to 18% for inboard sections and up to 30% near the blade tip compared to the time-averaged loads. The noise in the propeller plane is 10 dB higher in the positive thrust regime than in the negative thrust regime at a given absolute thrust level of |T_C = 0.08|. The lower noise at negative thrust is caused by two factors: the lower magnitude of the negative torque compared to the positive torque at a given thrust level and the shift of the blade loading inboard in the negative thrust condition due to the stall of the blade tip. Along the propeller axis, the negative thrust regime has 13-15 dB higher noise because of the increased broadband noise generated by the flow separation. In the negative thrust case, the noise along the propeller axis (89 dB) and propeller plane (92 dB) are comparable. However, this is not the case for the propulsive case. The comparison of noise in the vicinity of the propeller plane showed that using the propellers in negative thrust conditions allows for a steeper and quieter descent compared to a conventional descent; as long as the magnitude of the negative torque produced is equal to or less than the torque required to operate the propeller in a conventional landing.","Propeller aerodynamics; Propeller noise; Regenerative propellers","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:ea6c29e0-6ebb-4cbd-8192-8bdecaaaf89d","http://resolver.tudelft.nl/uuid:ea6c29e0-6ebb-4cbd-8192-8bdecaaaf89d","Dynamic Mesh Simulations in OpenFOAM: A Hybrid Eulerian–Lagrangian Approach","Pasolari, R. (TU Delft Wind Energy); Ferreira, Carlos (TU Delft Wind Energy); van Zuijlen, A.H. (TU Delft Aerodynamics); Baptista, C.F. (TU Delft Wind Energy)","","2024","The past few decades have witnessed a growing popularity in Eulerian–Lagrangian solvers due to their significant potential for simulating aerodynamic flows, particularly in cases involving strong body–vortex interactions. In this hybrid approach, the two component solvers are mutually coupled in a two-way fashion. Initially, the Lagrangian solver can supply boundary conditions to the Eulerian solver, while the Eulerian solver functions as a corrector for the Lagrangian solution in regions where the latter cannot achieve high accuracy. To utilize such tools effectively, it is vital for them to be capable of handling dynamic mesh movements. This study builds upon the previous research conducted by our team and extends the capabilities of the hybrid solver to handle dynamic meshes. While OpenFOAM, the Eulerian component of this hybrid code, incorporates built-in dynamic mesh properties, certain modifications are necessary to ensure its compatibility with the Lagrangian solver. More specifically, the evolution algorithm of the pimpleFOAM solver needs to be divided into two discrete steps: first, updating the mesh, and later, evolving the solution. This division enables a proper coupling between pimpleFOAM and the Lagrangian solver as an intermediate step. Therefore, the primary objective of this specific paper is to adapt the OpenFOAM solver to meet the demands of the hybrid solver and subsequently validate that the hybrid solver can effectively address dynamic mesh challenges using this approach. This approach introduces a pioneering method for conducting dynamic mesh simulations within the OpenFOAM framework, showcasing its potential for broader applications. To validate the approach, various test cases involving dynamic mesh movements are employed. Specifically, all these cases employ the Lamb–Oseen diffusing vortex, but each case incorporates different types of mesh movements, including translational, rotational, oscillational, and combinations thereof. The results from these cases demonstrate the effectiveness of the proposed OpenFOAM algorithm, with the maximum relative errors —when compared to the analytical solution across all presented cases—capped at (Formula presented.) for the worst-case scenario. This affirms the algorithm’s capability to successfully handle dynamic mesh simulations with the proposed solver.","OpenFOAM; dynamicmeshes; hybrid Eulerian–Lagrangian solvers; vortex particle methods","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:d543b40b-4f8c-4838-b2be-9c88109ec6d3","http://resolver.tudelft.nl/uuid:d543b40b-4f8c-4838-b2be-9c88109ec6d3","Exploring a geodesign approach for circular economy transition of cities and regions: Three European cases","Furlan, C. (TU Delft Environmental Technology and Design; BOKU-University of Natural Resources and Life Sciences); Mazzarella, C. (TU Delft Real Estate Management); Arlati, Alessandro (Universität Hamburg); Arciniegas, Gustavo (Geo-Col GIS); Obersteg, Andreas (Geo-Col GIS); Wandl, Alex (TU Delft Environmental Technology and Design); Cerreta, Maria (Università degli Studi di Napoli Federico II)","","2024","Transitioning towards a circular built environment and turning waste into resources have become one of the new sustainability paradigms today. However, a circular transition can be considered a ‘wicked problem’. The multiple dimensions and scales of the circular transition and its substantial spatial implications fit well into the planning approach of Geodesign. The Horizon 2020 funded project “Resource Management in the periurban Areas - Going beyond Urban Metabolism (REPAiR)” implemented an innovative Geodesign approach. Moreover, it explored its capability to support spatial decision-making processes for the circular economy transition of the built environment within urban planning practices. This article aims to understand to what extent a process of Geodesign, which is conducted with the support of a digital tool and a Living Lab approach, can support the creation of localised circular economy strategies and foster the circular economy transition in cities and territories. The analysis explores and compares the results of three European cases -Amsterdam, Hamburg and Naples. It considers the kind of data input required to run the process in every phase, the stakeholders involved and their typology, the specific urban or territorial, planning and governance scales of analysis, and the final output definition after the Geodesign process implementation. The approach outputs constitute a decision support system for easing negotiations between local actors regarding the circularity strategies to implement. The findings reveal an intertwinement between different forms of knowledge included in the process, ranging from sustainability to governance and design, and the actors engaged in planning a circularity transition spatially. However, even using similar starting data, the local information and the starting conditions strongly influence the process and the types of strategies elaborated in each case.","","en","journal article","","","","","","","","","","","Environmental Technology and Design","","",""
"uuid:a1503b71-0838-44db-a6e6-0ed694483fcb","http://resolver.tudelft.nl/uuid:a1503b71-0838-44db-a6e6-0ed694483fcb","Dynamic coherence scanning interferometry based on an optical phase mask for simultaneous measurement of local induced vibration and local topology change of a mirror","Vilaboa Pérez, Jesús (Université de Liège); Georges, Marc (Université de Liège); Hastanin, Juriy (Université de Liège); Loicq, J.J.D. (TU Delft Spaceborne Instrumentation; Université de Liège)","","2024","We describe the state of the development of a coherence scanning interferometer to measure local changes in topology and local induced vibrations of a mirror at cryogenic temperatures. The metrology instrument incorporates an optical phase mask and a microlenses array, enabling the acquisition of complete white light interferograms within a single-camera frame. This stands in contrast to traditional temporal phase-shifting interferometers. We design the optical phase mask as a combination of steps of different thicknesses, so each step introduces a different optical path difference to the rays. The local interferograms for each camera frame provide us with information on the local topology of the mirror. The interferogram displacement between camera frames allows us to monitor the mirror’s local induced vibrations. In this work, we report the metrology instrument’s working principle through numerical simulations and present the latest results of a proof of concept developed at the laboratory. The metrology instrument shown is of extensive usability in diverse applications related to real-time measurements of various fast physical processes and real-time characterization of the optical components topology.","coherence scanning interferometry; dynamical interferometry; optical phase mask; single-frame low-coherence interferometry; topology characterization; induced vibrations characterization","en","journal article","","","","","","","","","","","Spaceborne Instrumentation","","",""
"uuid:552766c2-3cfc-439d-9091-9a88e28e1b9c","http://resolver.tudelft.nl/uuid:552766c2-3cfc-439d-9091-9a88e28e1b9c","Exciton Transport in a Germanium Quantum Dot Ladder","Hsiao, T. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Cova Fariña, P. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Oosterhout, S.D. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; TNO); Jirovec, D. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Zhang, X. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); van Diepen, C.J. (TU Delft QCD/Vandersypen Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Lawrie, W.I.L. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wang, C.A. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Sammak, A. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; TNO); Scappucci, G. (TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Veldhorst, M. (TU Delft QN/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Vandersypen, L.M.K. (TU Delft QuTech Advanced Research Centre; TU Delft QN/Vandersypen Lab; Kavli institute of nanoscience Delft)","","2024","Quantum systems with engineered Hamiltonians can be used to study many-body physics problems to provide insights beyond the capabilities of classical computers. Semiconductor gate-defined quantum dot arrays have emerged as a versatile platform for realizing generalized Fermi-Hubbard physics, one of the richest playgrounds in condensed matter physics. In this work, we employ a germanium 4×2 quantum dot array and show that the naturally occurring long-range Coulomb interaction can lead to exciton formation and transport. We tune the quantum dot ladder into two capacitively coupled channels and exploit Coulomb drag to probe the binding of electrons and holes. Specifically, we shuttle an electron through one leg of the ladder and observe that a hole is dragged along in the second leg under the right conditions. This corresponds to a transition from single-electron transport in one leg to exciton transport along the ladder. Our work paves the way for the study of excitonic states of matter in quantum dot arrays.","","en","journal article","","","","","","","","","","","QCD/Vandersypen Lab","","",""
"uuid:328d3299-e8f0-42d8-9e72-d983e197d95e","http://resolver.tudelft.nl/uuid:328d3299-e8f0-42d8-9e72-d983e197d95e","Sub-seasonal soil moisture anomaly forecasting using combinations of deep learning, based on the reanalysis soil moisture records","Wang, X. (Chongqing Jiaotong University; Hohai University); Corzo, Gerald (IHE Delft Institute for Water Education); Lü, Haishen (Hohai University); Zhou, Shiliang (Chongqing Jiaotong University); Mao, K. (TU Delft Physical and Space Geodesy); Zhu, Yonghua (Hohai University); Duarte Prieto, F.S. (TU Delft Water Resources; IHE Delft Institute for Water Education); Liu, Mingwen (Hohai University); Su, Jianbin (Chinese Academy of Sciences)","","2024","Sub-seasonal drought forecasting is crucial for early warning in estimating agricultural production and optimizing irrigation management, as forecasting skills are relatively weak during this period. Soil moisture exhibits stronger persistence compared to other climate system quantities, which makes it especially influential in shaping land-atmosphere feedback, thus supplying a unique insight into drought forecasting. Relying on the soil moisture memory, this study investigates the combination of multiple deep-learning modules for sub-seasonal drought indices hindcast in the Huai River basin of China, using long-term ERA5-Land soil moisture records with a noise-assisted data analysis tool. The inter-compared deep-learning models include a hybrid model and a committee machine framework. The results show that the performance of the committee machine framework can be improved with the help of series decomposition and the forecasting skill is not impaired with the lead time increases. Overall, this study highlights the potential of combining deep-learning models with soil moisture memory analysis to improve sub-seasonal drought forecasting.","Committee model; Deep learning; Drought forecasting; Noise-assisted tool; Reanalysis soil moisture","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:b09badd9-a672-4c30-bba5-35f664e9c72a","http://resolver.tudelft.nl/uuid:b09badd9-a672-4c30-bba5-35f664e9c72a","Mechanism and Performance of SBS Polymer Dry-Modified Asphalt Mixture with PCB and TPO from Waste Tires","Li, Yuanyuan (Wuhan Institute of Technology); Li, Jun (Wuhan Institute of Technology); Bai, Tao (Wuhan Institute of Technology); Chen, Anqi (Wuhan Institute of Technology); Gu, Dengjun (Wuhan Institute of Technology); Gao, Y. (TU Delft Pavement Engineering)","","2024","Based on the efficient resource utilization of scrap tires, pyrolysis carbon black (PCB), and pyrolysis oil of waste tire (TPO), scrap tires' products were treated using dry styrene-butadiene-styrene (SBS) polymer modification of asphalt. The products of scrap tires, PCB and TPO, were handled using dry SBS polymer modification of asphalt based on the effective resource use of scrap tires. The consequences of scrap tires, PCB and TPO, were taken using dry SBS polymer modification of asphalt based on the effective resource use of scrap tires. PCB and TPO composite effect seriously degraded. Based on this, the impact of dry SBS polymer modification on the functionality of PCB-TPO-modified asphalt and the mechanism of modification was examined. According to the investigation, the SBS polymer was evenly distributed and fully developed in the asphalt mixture, which significantly enhanced the qualities of asphalt and the asphalt mixture and performed a positive role in the internal structure of the asphalt mixture.","Dry modification; Modified asphalt; Pyrolytic carbon black; Pyrolytic oil; Styrene-butadiene-styrene (SBS) polymer","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-18","","","Pavement Engineering","","",""
"uuid:73491ad5-d288-48a6-8dfd-5454ad5a7318","http://resolver.tudelft.nl/uuid:73491ad5-d288-48a6-8dfd-5454ad5a7318","Impact of Transforming Interface Geometry on Edge States in Valley Photonic Crystals","Yu, D. (Kavli institute of nanoscience Delft; Student TU Delft); Arora, S. (Kavli institute of nanoscience Delft; Student TU Delft); Kuipers, L. (TU Delft QN/Quantum Nanoscience; Kavli institute of nanoscience Delft)","","2024","We investigate how altering the interface geometry from a zigzag to a glide plane interface between two topologically distinct valley Hall emulating photonic crystals (VPC), profoundly affects edge states. We experimentally observe a transition from gapless to gapped edge states, accompanied by the occurrence of slow light within the Brillouin zone, rather than at its edge. We numerically simulate the propagation and measure the transmittance of the modified edge states through a specially designed valley-conserving defect. The robustness to backscattering gradually decreases, suggesting a disruption of valley-dependent transport. We demonstrate the significance of interface geometry to gapless edge states in a VPC.","","en","journal article","","","","","","","","","","QN/Quantum Nanoscience","","","",""
"uuid:a9aefce1-1037-430c-ae99-13c1d2aedc9d","http://resolver.tudelft.nl/uuid:a9aefce1-1037-430c-ae99-13c1d2aedc9d","Introducing a new method to assess the benefits of resources recovered from wastewater to the natural environment","Bhambhani, A. (TU Delft Sanitary Engineering); Jovanovic, O. (TU Delft Sanitary Engineering); van Nieuwenhuijzen, Arjen (Wageningen University & Research; Witteveen+Bos); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet); Kapelan, Z. (TU Delft Sanitary Engineering)","","2024","Resources recovery can improve the economic efficiency and reduce the negative environmental impacts of municipal wastewater treatment plants (MWWTP). The recovered resources can also actively benefit the natural environment enabling a reciprocal relationship between human society and nature. Focusing on these benefits can reveal new resources recovery opportunities. Moreover, for certain environmental impact categories such as emissions of reactive nitrogen, mere damage reduction is insufficient because these emissions are already beyond planetary limits. However, quantitative methods to assess nature benefits are lacking. A new method is developed to calculate the potential nature benefits in three categories: Freshwater restoration, biomass assimilation of nutrients, and soil organic matter sequestration and it is demonstrated on a real-life MWWTP. Focusing on resources recovery helps to purify the wastewater sufficiently for discharge and to benefit the natural environment. Treated wastewater discharge into a river can support freshwater restoration depending on the effluent quality. High quality is achieved by the sufficient removal of the nutrients and organic matter and discharging into a high-flow stream. The recovery of nutrients helps to close the nutrient cycle through biomass assimilation. To maximize this benefit, the nutrient recovery efficiency from the MWWTP must be maximized. But, increasing the nutrient uptake efficiency in agriculture is also crucial, especially for nitrogen. The wastewater sludge products can be applied to soil to sequester organic matter and the products with low volatile solids should be preferred. The development of the new method is a start to recognizing and assessing the potentially positive role of humans in nature.","Reciprocity; Nature benefits; Resources recovery; Wastewater treatment","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:6e8d4e52-b9d8-4da1-8805-25c2dd14cfee","http://resolver.tudelft.nl/uuid:6e8d4e52-b9d8-4da1-8805-25c2dd14cfee","Introduction of a human- and keyboard-friendly N-glycan nomenclature","Altmann, Friedrich (BOKU-University of Natural Resources and Life Sciences); Helm, Johannes (BOKU-University of Natural Resources and Life Sciences); Pabst, Martin (TU Delft BT/Environmental Biotechnology); Stadlmann, Johannes (BOKU-University of Natural Resources and Life Sciences)","","2024","In the beginning was the word. But there were no words for N-glycans, at least, no simple words. Next to chemical formulas, the IUPAC code can be regarded as the best, most reliable and yet immediately comprehensible annotation of oligosaccharide structures of any type from any source. When it comes to N-glycans, the venerable IUPAC code has, however, been widely supplanted by highly simplified terms for N-glycans that count the number of antennae or certain components such as galactoses, sialic acids and fucoses and give only limited room for exact structure description. The highly illustrative - and fortunately now standardized - cartoon depictions gained much ground during the last years. By their very nature, cartoons can neither be written nor spoken. The underlying machine codes (e.g., GlycoCT, WURCS) are definitely not intended for direct use in human communication. So, one might feel the need for a simple, yet intelligible and precise system for alphanumeric descriptions of the hundreds and thousands of N-glycan structures. Here, we present a system that describes N-glycans by defining their terminal elements. To minimize redundancy and length of terms, the common elements of N-glycans are taken as granted. The preset reading order facilitates definition of positional isomers. The combination with elements of the condensed IUPAC code allows to describe even rather complex structural elements. Thus, this “proglycan” coding could be the missing link between drawn structures and software-oriented representations of N-glycan structures. On top, it may greatly facilitate keyboard-based mining for glycan substructures in glycan repositories.","N-glycans; nomenclature; structural features","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:6bde9094-95fe-431a-9d72-f72b2e111b2c","http://resolver.tudelft.nl/uuid:6bde9094-95fe-431a-9d72-f72b2e111b2c","Large-Scale Channel Response to Erosion-Control Measures","Ylla Arbos, C. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Blom, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); White, S. R. (University of California); Patzwahl, R. (Federal Waterways Engineering and Research Institute (BAW)); Schielen, R.M.J. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Rijkswaterstaat)","","2024","Erosion-control measures in rivers aim to provide sufficient navigation width, reduce local erosion, or to protect neighboring communities from flooding. These measures are typically devised to solve a local problem. However, local channel modifications trigger a large-scale channel response in the form of migrating bed level and sediment sorting waves. Our objective is to investigate the large-scale channel response to such measures. We consider the lower Rhine River from Bonn (Germany) to Gorinchem (the Netherlands), where numerous erosion-control measures have been implemented since the 1980s. We analyze measured bed level data (1999–2020) around four erosion-control measures, comprising scour filling, bendway weirs, and two fixed beds. To get further insight on the physics behind the observed behavior, we set up an idealized one-dimensional numerical model. Finally, we study how the geometry and spacing of the measures affect channel response. We show that erosion-control measures reduce the sediment flux due to (a) lack of erosion over the measure and (b) sediment trapping upstream of the measure, resulting in downstream-migrating incision waves that travel tens of kilometers at decadal timescales. When the measures are in close proximity, their downstream effects may be amplified. We conclude that, despite fulfilling erosion-control goals at the local scale, erosion-control measures may worsen large-scale channel-bed incision.","channel bed incision; channel response; engineered rivers; erosion-control measures; fixed beds","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:666d9f4f-fdf5-4d48-870c-d0b3191b7090","http://resolver.tudelft.nl/uuid:666d9f4f-fdf5-4d48-870c-d0b3191b7090","Parametric investigation of railway fastenings into the formation and mitigation of short pitch corrugation","Zhang, P. (TU Delft Railway Engineering); Li, S. (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2024","Short pitch corrugation has been a problem for railways worldwide over one century. In this paper, a parametric investigation of fastenings is conducted to understand the corrugation formation mechanism and gain insights into corrugation mitigation. A three-dimensional finite element vehicle–track dynamic interaction model is employed, which considers the coupling between the structural dynamics and the contact mechanics, while the damage mechanism is assumed to be differential wear. Various fastening models with different configurations, boundary conditions, and parameters of stiffness and damping are built up and analysed. These models may represent different service stages of fastenings in the field. Besides, the effect of train speeds on corrugation features is studied. The results indicate: (1) Fastening parameters and modelling play an important role in corrugation formation. (2) The fastening longitudinal constraint to the rail is the major factor that determines the corrugation formation. The fastening vertical and lateral constraints influence corrugation features in terms of spatial distribution and wavelength components. (3) The strengthening of fastening constraints in the longitudinal dimension helps to mitigate corrugation. Meanwhile, the inner fastening constraint in the lateral direction is necessary for corrugation alleviation. (4) The increase in fastening longitudinal stiffness and damping can reduce the vibration amplitudes of longitudinal compression modes and thus reduce the track corrugation propensity. The simulation in this work can well explain the field corrugation in terms of the occurrence possibility and major wavelength components. It can also explain the field data with respect to the small variation between the corrugation wavelength and train speed, which is caused by frequency selection and jump between rail longitudinal compression modes.","Corrugation formation and mitigation; Fastening modelling and parameters; Finite element vehicle–track interaction model; Rail longitudinal compression modes; Short pitch corrugation","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:c0e15914-6682-4415-a6b6-068bdfcf954e","http://resolver.tudelft.nl/uuid:c0e15914-6682-4415-a6b6-068bdfcf954e","Advancements and insights in thermal and water management of proton exchange membrane fuel cells: Challenges and prospects","Zhang, Zhenya (Zhengzhou University); Mao, Jia (Zhengzhou University); Liu, Zhengxuan (TU Delft Design & Construction Management)","","2024","In response to the growing global demand for clean and sustainable energy solutions, proton exchange membrane fuel cells (PEMFCs) have emerged as vital components in diverse decarbonization strategies. Despite their increasing importance, a comprehensive synthesis of recent advancements, challenges, and future prospects in thermal and water management within this domain remains notably scarce. This paper aims to bridge this gap by conducting a meticulous literature review focused on thermal and water management in PEMFCs. Primarily, this study encapsulates the underlying mechanisms governing thermal and water generation in PEMFCs, intricately analyzing thermal and water generation analyses. Secondly, a multifaceted exploration of thermal and water transfer mechanisms, alongside their pivotal influencing factors, is presented. Furthermore, the discourse delves into sophisticated strategies for refining water and thermal management in PEMFCs. As well as delving into the complexities of high-power heat dissipation and water balance, especially water management for cold start and high temperature operating conditions. The culmination of this investigation yields valuable insights into the intricate dynamics of thermal and water management within PEMFCs, thereby culminating in forward-looking recommendations for future research trajectories. These findings not only offer scholars a vantage point to discern emerging research frontiers and trends but also extend theoretical precepts and reference points for technology innovators and product developers.","Clean energy; High-power heat dissipation; Proton exchange membrane fuel cells (PEMFCs); Thermal management; Water management","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:7241a1c8-890a-433f-9884-4b96b2f2b3ed","http://resolver.tudelft.nl/uuid:7241a1c8-890a-433f-9884-4b96b2f2b3ed","Spatial optimization of circular timber hubs","Tsui, T.P.Y. (TU Delft Environmental & Climate Design); Venverloo, T. (Massachusetts Institute of Technology); Benson, Tom (Massachusetts Institute of Technology); Duarte, Fábio (Massachusetts Institute of Technology)","","2024","In the European Union, construction is responsible for 36% of CO2 emissions and 40% energy consumption. The reuse of construction materials has been receiving increasing attention, including regulations established by the European Union, and cities establishing goals to reuse construction materials. This is the case for Amsterdam, which established the goal of reusing 50% of construction materials in new construction by 2030. Part of the challenge of reuse of construction materials in urban areas is to optimize the waste-to-resource loops: finding the optimal scale and location for circular construction hubs—facilities that collect, store, and redistribute construction waste as secondary construction materials. In this paper, we use the supply and demand of timber construction materials in Amsterdam as a case study to find the optimal scale and location for construction hubs. We used the spatial simulated annealing algorithm as an optimization method for balancing the trade-off between small and large-scale hubs, using cost-effectiveness to compare potential locations and identify the optimal solution. We found that the optimal number of hubs for our study area is 29, with an average service radius of 3 km. This study has implications for policymakers, urban planners, and companies seeking to implement circular economy principles.","","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:f7731f60-10c2-4f82-b34b-e41ba95ffacb","http://resolver.tudelft.nl/uuid:f7731f60-10c2-4f82-b34b-e41ba95ffacb","Downscaling MODIS NDSI to Sentinel-2 fractional snow cover by random forest regression","Kollert, Andreas (University of Innsbruck); Mayr, Andreas (University of Innsbruck); Dullinger, Stefan (University of Vienna); Hülber, Karl (University of Vienna); Moser, Dietmar (University of Vienna); Lhermitte, S.L.M. (TU Delft Mathematical Geodesy and Positioning; Katholieke Universiteit Leuven); Gascoin, Simon (Université de Toulouse); Rutzinger, Martin (University of Innsbruck)","","2024","Imagery acquired by the Moderate-resolution Imaging Spectroradiometer (MODIS) provides a global archive of dailyNormalized Difference Snow Index (NDSI) at 500 m nominal resolution since the year 2000. While Sentinel-2 (S2) NDSI provides an increased spatial resolution of 20 m since the year 2015, the temporal resolution amounts to only 5 days and thus lacks the high temporal resolution of MODIS. Efforts to combine NDSI datasets for an increased temporal and spatial resolution have so far focused on the deriving binary snow cover maps or combining data from other sensors. In contrast, we produce fine scale (20 m) fractional snow cover (FSC) by downscaling MODIS NDSI to S2 resolution. Random forest regression predicts S2 NDSI based on dynamic features (MODIS NDSI, day-of-year) and static, topographic features for an alpine study site. Subsequently, FSC is derived from S2 NDSI. Cross-validation results in R2 of 0.795 and RMSE of 0.155 for FSC and outperforms common resampling methods. Multi-annual S2 NDSI metrics are able to slightly improve model accuracy. Our results suggest that combining topographical data and low-resolution NDSI allows to produce daily, high-resolution S2 NDSI and FSC and improve fine scale characterization of snow cover dynamics in mountain landscapes.","downscaling; Fractional Snow Cover (FSC); machine learning; MODIS; Normalized Difference Snow Index (NDSI); Sentinel-2 (S2)","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:ddddd8d4-ea74-4c70-9407-1b6c3953208e","http://resolver.tudelft.nl/uuid:ddddd8d4-ea74-4c70-9407-1b6c3953208e","Refining the survey model of the LADM ISO 19152–2: Land registration","Kalogianni, E. (TU Delft Digital Technologies); Dimopoulou, Efi (National Technical University of Athens); Gruler, Hans-Christoph (Leica Geosystems); Stubkjær, Erik (Aalborg University); Morales, Javier (International Institute for Geo-Information Science and Earth Observation (ITC)); Lemmen, Christiaan (International Institute for Geo-Information Science and Earth Observation (ITC)); van Oosterom, P.J.M. (TU Delft Digital Technologies)","","2024","Cadastral surveying involves the delineation of property boundaries and the extent and documentation of easements and restrictions (imposed by private or public law), forming the foundation for Land Administration (LA). Survey models and processes constitute vital parts of Cadastres and Land Administration Systems (LASs). However, these models are often inadequately documented and lack standardization in practice. To address the global diversity and complexity of legal and administrative challenges in LA, standardization efforts have yielded the ISO 19152:2012 (ISO, 2012) Land Administration Domain Model (LADM), the Global Land Tool Network's (GLTN) Social Tenure Domain Model (STDM), and the OGC LandInfra/InfraGML standard. The current edition of the LADM focuses on standardised conceptual modelling of LA-related information, including a dedicated sub-package for Spatial and Surveying representation. As part of the ongoing LADM revision, a refined survey model is being developed to support a broad range of surveying and data acquisition approaches and levels of accuracy. Recognizing that surveying technology is not bound by national practices and regulations, this paper focuses specifically on the surveying aspect of LADM. It illustrates that the proposed refined survey model is applicable not only to conventional real property formation but also to participatory land rights recordation processes. The approach adopted in this research is technology-neutral, accommodating the ongoing evolution of surveying technology. It offers support for a broad range of surveying and data acquisition approaches, with varying levels of accuracy. As the demand for high-precision positioning has been persistent within the land mapping and surveying community, particularly since the initial adoption of GPS, aiming to achieve centimetre-level accuracies (initially confined to local services), the paper addresses the fundamental principles of the High Accuracy Service (HAS) concept within the proposed model. The main results presented in this paper are the conceptual model of the refined survey model of LADM Edition II (ISO19152–2), as well as an abstract, reference, cadastral surveying workflow following the principles of the proposed model.","Cadastral surveying workflow; Interoperability; LADM; Land administration; Standards; Survey model","en","journal article","","","","","","","","","","","Digital Technologies","","",""
"uuid:ce22c247-27e3-46ea-a05d-55c720c35085","http://resolver.tudelft.nl/uuid:ce22c247-27e3-46ea-a05d-55c720c35085","The OPS-SAT case: A data-centric competition for onboard satellite image classification","Meoni, G. (TU Delft Space Systems Egineering; European Space Agency (ESA)); Märtens, Marcus (European Space Agency (ESA)); Derksen, Dawa (European Space Agency (ESA)); See, Kenneth (Inovor Technologies); Lightheart, Toby (Inovor Technologies); Sécher, Anthony (Capgemini Engineering–Hybrid Intelligence); Martin, Arnaud (Capgemini Engineering–Hybrid Intelligence); Rijlaarsdam, David (DCU); Fanizza, Vincenzo (DCU); Izzo, Dario (European Space Agency (ESA))","","2024","While novel artificial intelligence and machine learning techniques are evolving and disrupting established terrestrial technologies at an unprecedented speed, their adaptation onboard satellites is seemingly lagging. A major hindrance in this regard is the need for high-quality annotated data for training such systems, which makes the development process of machine learning solutions costly, time-consuming, and inefficient. This paper presents “the OPS-SAT case”, a novel data-centric competition that seeks to address these challenges. The powerful computational capabilities of the European Space Agency’s OPS-SAT satellite are utilized to showcase the design of machine learning systems for space by using only the small amount of available labeled data, relying on the widely adopted and freely available open-source software. The generation of a suitable dataset, design and evaluation of a public data-centric competition, and results of an onboard experimental campaign by using the competition winners’ machine learning model directly on OPS-SAT are detailed. The results indicate that adoption of open standards and deployment of advanced data augmentation techniques can retrieve meaningful onboard results comparatively quickly, simplifying and expediting an otherwise prolonged development period. (Figure presented.).","artificial intelligence (AI); data-centric competition; onboard classification; onboard machine learning; OPS-SAT","en","journal article","","","","","","","","","","","Space Systems Egineering","","",""
"uuid:f5673e6b-2539-4e65-8d37-f9bc51900f0e","http://resolver.tudelft.nl/uuid:f5673e6b-2539-4e65-8d37-f9bc51900f0e","Enhanced Real-Time Multi-Terminal HVDC Power System Benchmark Models with Performance Evaluation Strategies","Shetgaonkar, A.D. (TU Delft Intelligent Electrical Power Grids); Karmokar, T.R. (TU Delft Intelligent Electrical Power Grids; TenneT TSO B.V.); Popov, M. (TU Delft Intelligent Electrical Power Grids); Lekić, A. (TU Delft Intelligent Electrical Power Grids)","","2024","Real-time simulations have become a crucial tool for life cycle studies of VSC-based HVDC systems. This paper introduces real-time Multi-Terminal HVDC (MTDC) power [1] system network models with real-time wind pro le feedback. It addresses the shortcomings of existing benchmark network models and lls the modeling gaps. ® RSCAD/RTDS environment represents the real-time modeling techniques for studying the life cycle of Bipolar Metallic Return con guration of HVDC systems. This paper evaluates the performance of the proposed network model using unscheduled events, startup, and black start events. Future studies can be conducted using the proposed network models by mimicking the actual performance of cable-based DC grids while considering the computational insights from this paper. The ndings of this paper shall enable the identi cation of various stress points that can be utilized to specify technical requirements for component design and AC/DC protection studies concerning startup and black start sequence.","AC/DC Protection; Metallic Return; Multi-Terminal DC; RTS model; SIL","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-31","","","Intelligent Electrical Power Grids","","",""
"uuid:24e4ed3c-9dc2-4405-9b87-ba9d9588f02b","http://resolver.tudelft.nl/uuid:24e4ed3c-9dc2-4405-9b87-ba9d9588f02b","Generalized Model and Deep Reinforcement Learning-Based Evolutionary Method for Multitype Satellite Observation Scheduling","Song, Yanjie (Xidian University); Ou, Junwei (Xiangtan University, Xiangtan); Pedrycz, Witold (University of Alberta; Polish Academy of Sciences; Istinye University); Suganthan, Ponnuthurai Nagaratnam (Qatar University); Wang, X. (TU Delft Learning & Autonomous Control); Xing, Lining (Xidian University); Zhang, Yue (Beihang University)","","2024","Multitype satellite observation, including optical observation satellites, synthetic aperture radar (SAR) satellites, and electromagnetic satellites, has become an important direction in integrated satellite applications due to its ability to cope with various complex situations. In the multitype satellite observation scheduling problem (MTSOSP), the constraints involved in different types of satellites make the problem challenging. This article proposes a mixed-integer programming model and a generalized profit representation method in the model to effectively cope with the situation of multiple types of satellite observations. To obtain a suitable observation plan, a deep reinforcement learning-based genetic algorithm (DRL-GA) is proposed by combining the learning method and genetic algorithm. The DRL-GA adopts a solution generation method to obtain the initial population and assist with local search. In this method, a set of statistical indicators that consider resource utilization and task arrangement performance are regarded as states. By using deep neural networks to estimate the <inline-formula> <tex-math notation=""LaTeX"">$Q$</tex-math> </inline-formula> value of each action, this method can determine the preferred order of task scheduling. An individual update strategy and an elite strategy are used to enhance the search performance of DRL-GA. Simulation results verify that DRL-GA can effectively solve the MTSOSP and outperforms the state-of-the-art algorithms in several aspects. This work reveals the advantages of the proposed generalized model and scheduling method, which exhibit good scalability for various types of observation satellite scheduling problems.","Combinatorial optimization problem; deep reinforcement learning (DRL); Earth Observing System; evolutionary algorithm (EA); generalized model; Genetic algorithms; multitype; Optimization; satellite observation; Satellites; scheduling; Sociology; Statistics; Task analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-15","","","Learning & Autonomous Control","","",""
"uuid:806232b6-879f-4411-acaf-bff1f9df4569","http://resolver.tudelft.nl/uuid:806232b6-879f-4411-acaf-bff1f9df4569","Correction to: The potential of an adaptive computerized dynamic assessment tutor in diagnosing and assessing learners’ listening comprehension (Education and Information Technologies, (2024), 29, 3, (3637-3661), 10.1007/s10639-023-11871-w)","Izadi, Mehri (University of Sistan and Baluchestan); Izadi, M. (TU Delft Software Engineering); Heidari, Farrokhlagha (University of Sistan and Baluchestan)","","2024","In the PDF of this article, the pages were incorrectly numbered as ‘2303–2327’ when it should have been ‘3637–3661’. The page range was found to be just correct in the HTML version of the article. The original article has been corrected.","","en","journal article","","","","","","","","","","","Software Engineering","","",""
"uuid:978d6ed5-51d4-4919-9659-c7f5fdfd5c3c","http://resolver.tudelft.nl/uuid:978d6ed5-51d4-4919-9659-c7f5fdfd5c3c","Bloom weighted bounds for sparse forms associated to commutators","Lerner, Andrei K. (Bar-Ilan University); Lorist, E. (TU Delft Analysis); Ombrosi, Sheldy (Universidad Complutense de Madrid; UNS-CONICET San Andres 800)","","2024","In this paper we consider bilinear sparse forms intimately related to iterated commutators of a rather general class of operators. We establish Bloom weighted estimates for these forms in the full range of exponents, both in the diagonal and off-diagonal cases. As an application, we obtain new Bloom bounds for commutators of (maximal) rough homogeneous singular integrals and the Bochner–Riesz operator at the critical index. We also raise the question about the sharpness of our estimates. In particular we obtain the surprising fact that even in the case of Calderón–Zygmund operators, the previously known quantitative Bloom bounds are not sharp for the second and higher order commutators.","42B20; 42B25; 47B47; Bilinear sparse forms; Bloom weighted bounds; Iterated commutators","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:dde37837-c197-4f8f-93bb-11775a9e740a","http://resolver.tudelft.nl/uuid:dde37837-c197-4f8f-93bb-11775a9e740a","Thermal Stability of F-Rich Phlogopite and K-Richterite During Partial Melting of Metasomatized Mantle Peridotite With Implications for Deep Earth Volatile Cycles","Steenstra, E.S. (TU Delft Planetary Exploration; University of Münster); Klaver, M. (University of Münster); Berndt, J. (University of Münster); Flemetakis, S. (University of Münster; ETH Zürich); Rohrbach, A. (University of Münster); Klemme, S. (University of Münster)","","2024","Phlogopite and K-richterite constitute important carrier phases for H and F in Earth's lithosphere and mantle. The relative importance depends on their stabilities at high pressure and temperature, which in turn depends on bulk composition. Most previous experimental studies focused on the thermal stability of phlogopite and K-richterite were conducted using simplified chemical compositions. Here, partial melting experiments on metasomatized and carbonated, OH ± F-bearing near-natural peridotite were performed at high pressures (2 and 5 GPa) and temperatures (1,100–1,350°C) to assess the thermal stability of F-free versus F-bearing phlogopite and K-richterite. Experimental results demonstrate that the thermal stability of F-bearing phlogopite is increased by >55°C/wt.% F, relative to F-free phlogopite, whereas K-richterite is absent in all experiments with significant degrees of melting (>2%). The thermal stability of phlogopite containing several wt.% F exceeds continental and oceanic geotherms within the upper 150 km. Fluorine-rich phlogopite would therefore be stable in virtually all of the continental lithosphere, only to be decomposed during large, regional melting events such as continental break-up, thereby acting as a major long-term sink for F and/or H. This could even be the case for the oceanic asthenosphere, depending on the oceanic geotherm of the area of interest.","fluorine; hydrogen; metasomatism; partial melting; peridotite; volatiles","en","journal article","","","","","","","","","","","Planetary Exploration","","",""
"uuid:518836f0-7b9c-4b55-96d6-638de4a1f230","http://resolver.tudelft.nl/uuid:518836f0-7b9c-4b55-96d6-638de4a1f230","Consolidating backcasting: A design framework towards a users’ guide","Kishita, Yusuke (University of Tokyo); Höjer, Mattias (KTH Royal Institute of Technology); Quist, J.N. (TU Delft Energie and Industrie)","","2024","Backcasting has become a widely applied approach to address sustainability challenges when transformative changes are required. However, dispersed and contextualized knowledge of backcasting methodologies and practices needs to be systematized, codified, and synthesized to support researchers, commissioners, practitioners, and stakeholders in backcasting projects. In this paper, we address these issues by (i) concisely reviewing the evolution and current body of literature on backcasting and how this relates to other major types of futures and scenario studies and (ii) developing a design framework for researchers and practitioners that systematically covers all methodological choices with regard to key guiding questions to develop a backcasting methodology for a particular backcasting project. The developed design framework is based on four parts, characterized by the interrogatives when, which, how, and what, creating a comprehensive framework for describing a backcasting study.","Backcasting; Design framework; Foresight; Futures studies; Sustainability transitions","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:f3095acb-a2f4-4b87-bc88-328c359b34c3","http://resolver.tudelft.nl/uuid:f3095acb-a2f4-4b87-bc88-328c359b34c3","Better decisions with less cognitive load: The Parsimonious BWM","Corrente, Salvatore (University of Catania); Greco, Salvatore (University of Catania); Rezaei, J. (TU Delft Transport and Logistics)","","2024","Despite its recent introduction in literature, the Best–Worst Method (BWM) is among the most well-known and applied methods in Multicriteria Decision-Making. The method can be used to elicit the relative importance (weight) of the criteria as well as to get the priorities of the alternatives on the criteria at hand. In this paper, we will present an extension of the method, namely, the parsimonious Best–Worst-Method (P-BWM) permitting to apply the BWM to get the priorities of the alternatives in case they are in a large number. At first, the Decision-Maker (DM) is asked to give a rating to the alternatives under consideration; after, the classical BWM is applied to a set of reference alternatives to get their priorities used to compute, then, the priorities of all the alternatives under consideration. We propose also a procedure to select reference alternatives, possibly in cooperation with the DM, providing a well-distributed coverage of the rating range. The new proposal requires the DM a fewer number of pairwise comparisons than the original BWM. Another contribution of the paper is related to the comparison between BWM, P-BWM, the Analytic Hierarchy Process (AHP), and the parsimonious AHP in terms of the amount of preference information provided by the DM in each method to apply it. In addition to the standard approach, we propose one alternative way of inferring the priority vectors in BWM and P-BWM based on the barycenter of the space of alternatives priorities compatible with the preferences given by the DM. Finally, an experiment with university students has been conducted to test the new proposal. Results of the experiments show that P-BWM performs better than BWM in terms of capability to represent the DM's preferences and the difference between the results of the two methods is significant from the statistical point of view. The new proposal will permit to use the potentialities of the BWM to get the alternatives’ priorities in real-world decision-making problems where a large number of alternatives must be taken into account.","Alternatives’ priorities; Best–Worst Method; Parsimonious elicitation","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:6b4ecee4-1ff9-4b46-8f7c-e45a162841b1","http://resolver.tudelft.nl/uuid:6b4ecee4-1ff9-4b46-8f7c-e45a162841b1","Pentamodes: Effect of unit cell topology on mechanical properties","Mohammadi, Kaivan (Sharif University of Technology); Shafia, Moein (Sharif University of Technology); Akbari, Javad (Sharif University of Technology); Hedayati, R. (TU Delft Novel Aerospace Materials)","","2024","Pentamodes (first conceived theoretically by Milton and Cherkaev) are a very interesting class of mechanical metamaterials that can be used as building blocks of structures withdecoupled bulk and shear moduli. The pentamodes usually are composed of double cone-shaped struts with the middle diameter being large and the end diameters being tiny (ideally approaching zero). The cubic diamond geometry was proposed by Milton and Cherkaev as a suitable geometry for the unit cell and has since been used in the majority of the works on pentamodes. In this work, we aim to evaluate the degree to which the base unit cell design contributes to high bulk to shear modulus ratio, also known as Figure Of Merit(FOM). In addition to the diamond unit cell, three other well-known unit cell types are considered, and the effect of small diameter size and the ratio of large-to-small diameter, α, on the FOM is evaluated. The results showed that regardless of the base unit cell shape, the FOM value is highly dependent on the d (the smaller diameter size of double-cone) value, while its dependence on the D (the greater diameter of double-cone) value is very weak. For d/h∝0.05 (h representing the linkage length), figures of merit in the range of 103 could be reached for all the studied topologies.","3D printing; Meta-fluids; Metamaterials; Pentamodes","en","journal article","","","","","","","","","","","Novel Aerospace Materials","","",""
"uuid:ef4d2052-bb63-406e-a9b5-dff3a281567d","http://resolver.tudelft.nl/uuid:ef4d2052-bb63-406e-a9b5-dff3a281567d","Development of a hazard risk map for assessing pedestrian risk in urban flash floods: A case study in Cúcuta, Colombia","Corzo Perez, Gerald Augusto (IHE Delft Institute for Water Education); Sanchez Tapiero, Diego Ivan (University of Pamplona); Contreras Martínez, Manuel Antonio (University of Pamplona); Zevenbergen, C. (TU Delft Urban Design)","","2024","The rapid growth of impervious areas in urban basins worldwide has increased the number of impermeable surfaces in cities, leading to severe flooding and significant economic losses for civilians. This trend highlights the urgent need for methodologies that assess flood hazards and specifically address the direct impact on pedestrians, which is often overlooked in traditional flood hazard analyses. This study aims to evaluate a methodology for assessing the risk to pedestrians from hydrodynamic forces during urban floods, with a specific focus on Cúcuta, Colombia. The methodology couples research outcomes from other studies on the impact of floodwaters on individuals of different ages and sizes with 1D/2D hydrological modeling. Advanced computational algorithms for image recognition were used to measure water levels at 5-s intervals on November 6, 2020, using drones for digital elevation model data collection. In Cúcuta, where flood risk is high and drainage infrastructure is limited, the PCSWMM (Computer-based Urban Stormwater Management Model) was calibrated and validated to simulate extreme flood events. The model incorporated urban infrastructure details and geomorphological parameters of Cúcuta's urban basin. Four return periods (5, 10, 50, 100), with extreme rainfall of 3 h, were used to estimate the variability of the risk map. The output of the model was analyzed, and an integrated and time-varying comparison of the results was done. Results show that the regions of high-water depth and high velocity could vary significantly along the duration of the different extreme events. Also, from 5 to 100 years return period, the percentage of area at risk increased from 9.6% to 16.6%. The pedestrian sensitivity appears much higher than the increase in velocities or water depth individually. This study identified medium to high-risk locations, which are dynamic in time. We can conclude dynamics are spatiotemporal, and the added information layer of pedestrians brings vulnerability information that is also dynamic. Areas of immediate concern in Cúcuta can enhance pedestrian safety during flash flood events. The spatiotemporal variation of patterns requires further studies to map trajectories and sequences that machine learning models could capture.","hazard and risk mapping; pedestrian risk; urban flood","en","journal article","","","","","","","","","","","Urban Design","","",""
"uuid:5e556b73-032c-42a1-b0e3-02f7f8feaaf5","http://resolver.tudelft.nl/uuid:5e556b73-032c-42a1-b0e3-02f7f8feaaf5","The Spatial and Governance Dilemma of Small and Medium-Sized Italian Ports (SMPs): Maritime Spatial Planning (MSP) as a Potential Response","Carella, Fabio (University IUAV of Venice); De Martino, P. (TU Delft History, Form & Aesthetics; University IUAV of Venice); Soffietti, Folco (University IUAV of Venice); Negretto, Vittore (University IUAV of Venice); Musco, Francesco (University IUAV of Venice)","","2024","The Italian coast has about 700 ports, which are different in typology, dimension, role, and ownership. Historically, this has led to the significant fragmentation of governance and space and a lack of cooperation that ports and cities still experience today. Among all ports, small and medium-sized ports (SMPs), such as marinas, small touristic harbors, and moorings, are the most affected. Unlike the main ports, where spatial and strategic regulation planning fall under the port authority’s responsibilities, SMPs are a combination of public and private management and are, therefore, excluded from national and regional planning and larger strategies. Improving SMPs’ cooperation at the regional level can drive more effective sustainable management among related activities (tourism and the fishing sector) and reduce pressures on the land–sea interaction (LSI). In filling the gaps, this article challenges the existing legal framework, planning tools, approaches, and initiatives and may pave the way to establishing a better-integrated national governance for SMPs. In conclusion, this paper identifies two main opportunities that can support the steady establishment of governance and the systematic harmonized development of these SMPs. The first one is offered by maritime spatial planning (MSP) as a strategic and legal tool whereby SMPs are recognized and, if financially supported, could find incentives and measures for their development. The second one is through European projects, programs, and initiatives such as Framesport as drivers in establishing a common ground among public and private interests and as a cooperation engine at a local scale.","common-ground strategy; governance; land–sea interaction; maritime spatial planning; small and medium-sized ports","en","journal article","","","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:273e0093-9c61-4977-99ad-6f3233d17175","http://resolver.tudelft.nl/uuid:273e0093-9c61-4977-99ad-6f3233d17175","Naples: A city away from water: The role of path dependence in the history of Naples","De Martino, P. (TU Delft History, Form & Aesthetics; University IUAV of Venice)","","2024","Over time, a large number of stakeholders have affected the Italian port city of Naples. The millenary history of Naples reveals a port that has been strongly intertwined with the city. Yet, recent history shows a different story. The historical investigation analysed in this article points out a conflict between several different authorities that led the port. As these developed into separate entities they detached people from the water. This article offers an institutional history. Using the concept of path dependence it argues that a past system of decision-making concerning the development of the port city reinforced the separation of land from water in Naples. Path dependence is understood as a resistance by institutions (rules) and actors (decision makers) to changes in patterns of behaviour and a tendency to repeat previous decisions and practices. This article analyses a series of critical junctures so as to analyse the constellation of actors and decisions which have prevented the city from living with water. The article concludes by arguing that understanding the articulated system of past decision-making is a key to (re)conceptualizing the current state of the city and (re)imagining ways by which the city might be reunited with its waters.","historical institutionalism; Naples; path dependence; Port city; water","en","journal article","","","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:e5732642-1040-42c1-adc2-2dd3c96c4353","http://resolver.tudelft.nl/uuid:e5732642-1040-42c1-adc2-2dd3c96c4353","Reconstitution of cytolinker-mediated crosstalk between actin and vimentin","Istúriz Petitjean, I. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft); Tran, Quang D. (Université Paris Cité); Goutou, A. (TU Delft BN/Gijsje Koenderink Lab; TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Kabir, Z. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft); Wiche, Gerhard (University of Vienna); Leduc, Cécile (Université Paris Cité); Koenderink, G.H. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft)","","2024","Cell shape and motility are determined by the cytoskeleton, an interpenetrating network of actin filaments, microtubules, and intermediate filaments. The biophysical properties of each filament type individually have been studied extensively by cell-free reconstitution. By contrast, the interactions between the three cytoskeletal networks are relatively unexplored. They are coupled via crosslinkers of the plakin family such as plectin. These are challenging proteins for reconstitution because of their giant size and multidomain structure. Here we engineer a recombinant actin-vimentin crosslinker protein called ‘ACTIF’ that provides a minimal model system for plectin, recapitulating its modular design with actin-binding and intermediate filament-binding domains separated by a coiled-coil linker for dimerisation. We show by fluorescence and electron microscopy that ACTIF has a high binding affinity for vimentin and actin and creates mixed actin-vimentin bundles. Rheology measurements show that ACTIF-mediated crosslinking strongly stiffens actin-vimentin composites. Finally, we demonstrate the modularity of this approach by creating an ACTIF variant with the intermediate filament binding domain of Adenomatous Polyposis Coli. Our protein engineering approach provides a new cell-free system for the biophysical characterization of intermediate filament-binding crosslinkers and for understanding the mechanical synergy between actin and vimentin in mesenchymal cells.","Binding kinetics; Cytoskeleton; Plakins; Plectin; Rheology","en","journal article","","","","","","","","","","","BN/Gijsje Koenderink Lab","","",""
"uuid:1bee8b2a-4c88-42ce-bda5-8e745bd5a895","http://resolver.tudelft.nl/uuid:1bee8b2a-4c88-42ce-bda5-8e745bd5a895","Developing the Healthy Actions and Lifestyles to Avoid Dementia or Hispanos y el ALTo a la Demencia program","Moukarzel, Sara (University of California); Zlatar, Zvinka Z. (University of California); Hartman, Sheri J. (University of California); Lomas, J.D. (TU Delft Design Aesthetics); Feldman, Howard H. (University of California); Banks, Sarah J. (University of California)","","2024","INTRODUCTION: With Alzheimer's disease and related dementias (ADRD) representing an enormous public health challenge, there is a need to support individuals in learning about and addressing their modifiable risk factors (e.g., diet, sleep, and physical activity) to prevent or delay dementia onset. However, there is limited availability for evidence-informed tools that deliver both quality education and support for positive behavior change such as by increasing self-efficacy and personalizing goal setting. Tools that address the needs of Latino/a, at higher risk for ADRD, are even more scarce. METHODS: We established a multidisciplinary team to develop the Healthy Actions and Lifestyles to Avoid Dementia or Hispanos y el ALTo a la Demencia (HALT-AD) program, a bilingual online personalized platform to educate and motivate participants to modify their risk factors for dementia. Grounded in social cognitive theory and following a cultural adaptation framework with guidance from a community advisory board, we developed HALT-AD iteratively through several cycles of rapid prototype development, user-centered evaluation through pilot testing and community feedback, and refinement. RESULTS: Using this iterative approach allowed for more than 100 improvements in the content, features, and design of HALT-AD to improve the program's usability and alignment with the interests and educational/behavior change support needs of its target audience. Illustrative examples of how pilot data and community feedback informed improvements are provided. DISCUSSION: Developing HALT-AD iteratively required learning through trial and error and flexibility in workflows, contrary to traditional program development methods that rely on rigid, pre-set requirements. In addition to efficacy trials, studies are needed to identify mechanisms for effective behavior change, which might be culturally specific. Flexible and personalized educational offerings are likely to be important in modifying risk trajectories in ADRD.","behavior change; dementia; digital learning; education; health equity; LatinX; modifiable risk factors; online program; risk reduction; social cognitive theory","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:942fe88e-c461-4c91-887b-429736e0916f","http://resolver.tudelft.nl/uuid:942fe88e-c461-4c91-887b-429736e0916f","Communicating the results of risk-based breast cancer screening through visualizations of risk: a participatory design approach","van Strien-Knippenberg, Inge S. (Amsterdam UMC); Arjangi-Babetti, Hannah (Amsterdam UMC); Timmermans, Danielle R.M. (Amsterdam UMC); Schrauwen, Laura (Amsterdam UMC); Fransen, M.P. (Amsterdam UMC); Melles, M. (TU Delft Applied Ergonomics and Design); Damman, Olga C. (Amsterdam UMC)","","2024","Background: Risk-based breast cancer (BC) screening raises new questions regarding information provision and risk communication. This study aimed to: 1) investigate women’s beliefs and knowledge (i.e., mental models) regarding BC risk and (risk-based) BC screening in view of implications for information development; 2) develop novel informational materials to communicate the screening result in risk-based BC screening, including risk visualizations of both quantitative and qualitative information, from a Human-Centered Design perspective. Methods: Phase 1: Interviews were conducted (n = 15, 40–50 years, 5 lower health literate) on women’s beliefs about BC risk and (risk-based) BC screening. Phase 2: In three participatory design sessions, women (n = 4–6 across sessions, 40–50 years, 2–3 lower health literate) made assignments and created and evaluated visualizations of risk information central to the screening result. Prototypes were evaluated in two additional sessions (n = 2, 54–62 years, 0–1 lower health literate). Phase 3: Experts (n = 5) and women (n = 9, 40–74 years) evaluated the resulting materials. Two other experts were consulted throughout the development process to ensure that the content of the information materials was accurate. Interviews were transcribed literally and analysed using qualitative thematic analysis, focusing on implications for information development. Notes, assignments and materials from the participatory design sessions were summarized and main themes were identified. Results: Women in both interviews and design sessions were positive about risk-based BC screening, especially because personal risk factors would be taken into account. However, they emphasized that the rationale of risk-based screening and classification into a risk category should be clearly stated and visualized, especially for higher- and lower-risk categories (which may cause anxiety or feelings of unfairness due to a lower screening frequency). Women wanted to know their personal risk, preferably visualized in an icon array, and wanted advice on risk reduction and breast self-examination. However, most risk factors were considered modifiable by women, and the risk factor breast density was not known, implying that information should emphasize that BC risk depends on multiple factors, including breast density. Conclusions: The information materials, including risk visualizations of both quantitative and qualitative information, developed from a Human-Centered Design perspective and a mental model approach, were positively evaluated by the target group.","Breast cancer; Cancer screening; Educational material; Informed decision making; Participatory design; Risk communication; Risk visualizations; Risk-based screening","en","journal article","","","","","","","","","","","Applied Ergonomics and Design","","",""
"uuid:8fab9c16-56f1-4068-9631-a2812c9eadd7","http://resolver.tudelft.nl/uuid:8fab9c16-56f1-4068-9631-a2812c9eadd7","Land-free bioenergy from circular agroecology: a diverse option space and trade-offs","Wu, F. (TU Delft Energie and Industrie; ETH Zürich); Pfenninger, Stefan (TU Delft Energie and Industrie); Muller, Adrian (Research Institute of Organic Agriculture FiBL)","","2024","Bioenergy from energy crops is a source of negative emissions and carbon-neutral fuels in many 1.5/2 ∘C IPCC pathways. This may compete with other land uses. In contrast, ancillary biomass like by-products and waste is not primarily grown for energy and thus without land/food/feed competition. Here, we examine the availability and environmental impacts of ancillary bioenergy from agricultural sources under 190 circular agroecological strategies using the global food-system model SOLm for the year 2050. We find that there is a diverse option space for the future food and energy system to meet both global warming targets (1.5 ∘C) and food system sustainability (medium to highly organic) – a similar range of ancillary bioenergy global potential (55–65 EJ)from very different food systems (50%–75% organic agriculture and various levels of waste and concentrate feeding reduction). We find three trade-offs between food system sustainability and ancillary bioenergy provision. First, there is a clear trade-off between nutrient recycling and negative emissions potential. 1.4–2.6 GTCO2eq of negative emissions supplied through ancillary bioenergy with carbon capture and storage comes at the cost of nutrient deficits and resulting incompatibility with even a medium degree of organic farming. Second, reducing feed from croplands increases the ancillary bioenergy production with low shares of organic agriculture and reduces it for high shares. Third, food waste reduction reduces ancillary bioenergy provision. Hence, the sustainable transformation of the food system towards a less animal-based diet and waste reduction may conflict with a higher ancillary bioenergy provision, especially when the organic share is high as well. The policy implication of our results is that ancillary bioenergy can provide a similar range of future bioenergy as foreseen in IPCC AR6 illustrative pathways (±10% ) without additional land use or compromising food availability. However, higher ancillary bioenergy provision or additional negative emissions compete with food system sustainability; hence, we recommend policymakers consider aligning energy system planning with the compatibility of sustainable food systems simultaneously.","","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:9420af93-fb9b-4af3-bd0b-61abc74586ec","http://resolver.tudelft.nl/uuid:9420af93-fb9b-4af3-bd0b-61abc74586ec","Size effect on compressive strength of foamed concrete: Experimental and numerical studies","Jiang, Nengdong (Shandong University); Ge, Zhi (Shandong University); Wang, Zhiyuan (Shandong Hi-speed Group); Gao, Tianming (Shandong University); Zhang, Hongzhi (Shandong University); Ling, Yifeng (Shandong University); Šavija, B. (TU Delft Materials and Environment)","","2024","This study investigates the size effect on the compressive strength of foamed concrete at the mesoscale level combining X-ray computed tomography (X-CT) and a discrete lattice model. Image segmentation techniques and X-CT were employed to obtain virtual specimens comprising hydrated cement paste and air voids. The lineal-path function and pore size distribution was used to characterise the air void structure. A two-dimensional lattice fracture model of foamed concrete considering different wet densities was established. The model was verified experimentally at a wet density of 700 kg/m3 and then used to predict the strengths of specimens with wet densities of 600 and 800 kg/m3. Square and rectangular specimens (slenderness ratio = 2) with widths of 10, 20, 40, 70.7, and 100 mm were investigated. Results show that the air void structure significantly influences the observed size effect on the compressive strength in the investigated size range. A random forest regressor was used to predict the compressive strength of the foamed concrete; the regressor yielded satisfactory results. Finally, existing analytical size effect models were used to fit the simulated strength. Although good fitting was achieved, special attention should be given to the applicable range and physical meaning of fitted empirical parameters.","Compressive strength; Foamed concrete; Lattice model; Lineal-path function; Size effect","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:e383350a-2946-4d44-9383-e59253326e31","http://resolver.tudelft.nl/uuid:e383350a-2946-4d44-9383-e59253326e31","Quantum Technologies: a Hermeneutic Technology Assessment Approach","Possati, L.M. (TU Delft Ethics & Philosophy of Technology)","","2024","This paper develops a hermeneutic technology assessment of quantum technologies. It offers a “vision assessment” of quantum technologies that can eventually lead to socio-ethical analysis. Section 2 describes this methodological approach and in particular the concept of the hermeneutic circle applied to technology. Section 3 gives a generic overview of quantum technologies and their impacts. Sections 4 and 5 apply the hermeneutic technology assessment approach to the study of quantum technologies. Section 5 proposes distinguishing three levels in the analysis of the creation and communication of social meanings to quantum technologies: (a) fictions, (b) popularization, and (c) scientific journalism. Section 6 analyzes the results and defines some lines of action to increase social acceptance and trust in quantum technologies. The aim of this paper is to contribute to the debate on quantum technologies by enhancing the reflection on them and their potential, as well as illustrating the complexity of technological innovation and the need to shape it.","Hermeneutics; Innovation; Quantum technology; Technology assessment","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:857c7f7e-c815-43e8-9bed-44b941daf8f6","http://resolver.tudelft.nl/uuid:857c7f7e-c815-43e8-9bed-44b941daf8f6","The Relationship Between Barriers to Physical Activity and Depressive Symptoms in Community-Dwelling Women","Figueroa, C.A. (TU Delft Information and Communication Technology; University of California); Aguilera, Adrian (University of California); Hoffmann, Thomas J. (University of California); Fukuoka, Yoshimi (University of California)","","2024","Background: Women are less physically active, report greater perceived barriers for exercise, and show higher levels of depressive symptoms. This contributes to high global disability. The relationship between perceived barriers for physical activity and depressive symptoms in women remains largely unexplored. The aims of this cross-sectional analysis were to examine the association between physical activity barriers and depressive symptoms, and identify types of barriers in physically inactive community-dwelling women. Methods: Three hundred eighteen physically inactive women aged 25–65 years completed the Barriers to Being Active Quiz (BBAQ) developed by the Centers for Disease Control and Prevention, and the Center for Epidemiological Studies Depression Scale at the baseline visit of the mobile phone-based physical activity education trial. The BBAQ consists of six subscales (lack of time, social influence, lack of energy, lack of willpower, fear of injury, lack of skill, and lack of resources). We used multivariate regression analyses, correcting for sociodemographics. Results: Higher physical activity barriers were associated with greater depressive symptoms scores (linear effect, estimate = 0.75, 95% confidence interval [CI]: 0.39–1.12, p < 0.001). This effect appeared to taper off for the higher barrier scores (quadratic effect, estimate: -0.02, 95% CI: -0.03 to -0.01, p = 0.002). Exploratory analyses indicated that these associations were most driven by the social influence (p = 0.027) and lack of energy subscales (p = 0.017). Conclusions: Higher depression scores were associated with higher physical activity barriers. Social influence and lack of energy were particularly important barriers. Addressing these barriers may improve the efficacy of physical activity interventions in women with higher depressive symptoms. Future research should assess this in a randomized controlled trial.","depressive symptoms; exercise; physical activity; preventive medicine; women’s health","en","journal article","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:063ae36f-f9d1-442b-abbc-2a8f60452c34","http://resolver.tudelft.nl/uuid:063ae36f-f9d1-442b-abbc-2a8f60452c34","Wriggling in the crowd: An inquiry into the interactions between electric bikes and pedestrians in a shared space","Kazemzadeh, Khashayar (University of Cambridge); Afghari, A.P. (TU Delft Safety and Security Science)","","2024","Shared spaces for active mobility aim to offer safe and comfortable mobility for vulnerable road users by separating them from motorised vehicles. However, the distinct navigation characteristics of these users may increase the complexity of their interactions. The emergence of e-bikes which are faster and heavier than regular bikes has further increased this complexity. This study aims to shed light on the interdependency of e-bikes and pedestrians behaviours in shared spaces, and investigate how they influence each other's navigation. Through a controlled experiment in Lund, Sweden, data were collected on a total of 1520 trajectories of e-bike and pedestrians, their demographics and cycling experience. A simultaneous equation model was used to quantify the interactions between the participants. Results demonstrate significant correlations among variables, highlighting the model's capacity to effectively capturing the hypothesized interdependencies. The findings can inform the development of level-of-service indices and surrogate safety measures for shared spaces.","Cyclists; Electric bikes; Interaction; Level of service; Pedestrians; Shared spaces; Vulnerable road users","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:08100443-b972-48cc-9582-ec2478cc247f","http://resolver.tudelft.nl/uuid:08100443-b972-48cc-9582-ec2478cc247f","The impact of modulational instability on coastal wave forecasting using quadratic models","Akrish, G. (TU Delft Environmental Fluid Mechanics); Reniers, A.J.H.M. (TU Delft Environmental Fluid Mechanics); Zijlema, Marcel (TU Delft Environmental Fluid Mechanics); Smit, P.B. (Sofar Ocean Technologies)","","2024","Coastal wave forecasting over large spatial scales is essential for many applications (e.g., coastal safety assessments, coastal management and developments, etc.). This demand explains the necessity for accurate yet effective models. A well-known efficient modelling approach is the quadratic approach (often referred to as frequency-domain models, nonlinear mild-slope models, amplitude models, etc.). The efficiency of this approach stems from a significant modelling reduction of the original governing equations (e.g., Euler equations). Most significantly, the description of wave nonlinearity essentially collapses into a single mode coupling term determined by the quadratic interaction coefficients. As a result, it is expected that the efficiency achieved by the quadratic approach is accompanied by a decrease in prediction accuracy. In order to gain further insight into the predictive capabilities of this modelling approach, this study examines six different quadratic formulations, three of which are of the Boussinesq type and the other three are referred to as fully dispersive. It is found that while the Boussinesq formulations reliably predict the evolution of coastal waves, the predictions by the fully dispersive formulations tend to be affected by false developments of modulational instability. Consequently, the predicted wave fields by the fully dispersive formulations are characterized by unexpectedly strong modulations of the sea-swell part and associated unexpected infragravity response. The impact of the modulational instability on wave prediction based on the quadratic approach is further demonstrated using existing laboratory results of bichromatic and irregular wave conditions.","Modulation instability; Spectral modelling; Quadratic modelling; Coastal waves; Wave nonlinearity; Infragravity waves","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:98f81e01-8824-40b0-bc23-aaa1b497394c","http://resolver.tudelft.nl/uuid:98f81e01-8824-40b0-bc23-aaa1b497394c","The role of health, safety and environmental perceptions on forming bus passengers’ loyalty – A case study of Vietnam","Tran-Thi, Anh Phuong (The University of Da Nang); Nguyen-Phuoc, Duy Quy (The University of Da Nang); Phan, Tho Cao (The University of Da Nang); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science)","","2024","Introduction: Responsible businesses actively engage in climate action, health, and safety initiatives as part of their corporate strategy. The outcomes of such initiatives could play an essential role in forming customers' loyalty. However, very few studies have aimed at understanding the relationship among such factors in the public transport (PT) context. As such, this investigation explores the direct impact of perception of health benefits, environmental benefits and safety on passengers’ loyalty intentions towards a PT service. The mediating roles of image and perceived value on these links are also considered. Methods: Partial Least Square - Structural Equation Modeling (PLS-SEM) was utilised to assess the measurement and develop structural models. A multi-group analysis (MGA) was also deployed to test the significant group differences. For this investigation, a sample of 866 individuals was collected from two cities in Vietnam. Results: The results indicate that there were significant relationships between these constructs and the loyalty intention of passengers. The perception of health benefits and image were the main factors affecting passengers’ loyalty in both cities. In contrast, the perception of environmental benefits had the weakest effect on the loyalty intention towards bus services. Conclusions: The results from this study could support the development of interventions to increase PT ridership in low-income countries. Promotional efforts to boost PT ridership should focus on highlighting the health benefits of using PT for passengers and the community. PT operators were also recommended to convey societal and environmental contributions through logos, graphic design, mission statements, slogans, and media relations to improve the image of the bus services.","Environmental benefits; Health benefits; Image; Loyalty; Perceived value","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-01","","","Safety and Security Science","","",""
"uuid:dff4191e-34f3-481e-a0b0-e7188975dc6d","http://resolver.tudelft.nl/uuid:dff4191e-34f3-481e-a0b0-e7188975dc6d","Crashworthiness analysis of empty and foam-filled circular tubes with functionally graded thickness","Barzigar, Seyed Sajad (Tarbiat Modares University); Ahmadi, Hamed (Tarbiat Modares University); Liaghat, Gholamhossein (Tarbiat Modares University; Oxford Brookes University); Seidi, Morteza (University of Texas at San Antonio); Mirzaali, Mohammad J. (TU Delft Biomaterials & Tissue Biomechanics)","","2024","Thin-wall structures, particularly thin-walled tubes, play a critical role in load-bearing structures. Enhancing their ability to withstand crushing loads can significantly improve the overall damping efficiency of the system. Functionally graded thickness (FGT) is a promising approach for enhancing the load-bearing properties of thin-walled tubes by enabling control over material usage and localized deformation patterns within the structure. In this study, we proposed a novel theoretical model that analyzes the crushing behavior of hollow and foam-filled FGT thin-walled circular tubes by considering four primary failure mechanisms that contribute to energy dissipation: (1) bending of plastic hinges, (2) membrane stretching, (3) axial foam crushing, and (4) the interaction between foam and the tube's wall. We validated our model against experimental results from previous researchers and observed a good agreement. Additionally, we conduct a comprehensive study to examine the effects of various geometrical parameters, such as power-law functions and normalized wall thickness ratio, on the crushing behavior of FGT structures. Our results demonstrate the accuracy and reliability of our theoretical model and highlight the potential of FGT structures to enhance the performance of thin-walled tubes in a range of load-bearing applications.","Circular tube; Foam-filled tube; Functionally graded thickness; Theoretical model; Thin-wall structures","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-12","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:48946273-07a9-4269-9e49-1026dd1f5ea2","http://resolver.tudelft.nl/uuid:48946273-07a9-4269-9e49-1026dd1f5ea2","Sustainable urban logistics: A case study of waterway integration in Amsterdam","Pourmohammadzia, N. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); van Koningsveld, M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Van Oord)","","2024","This paper tackles the growing challenges in urban logistics by presenting an optimal distribution network that integrates urban waterways and last-mile delivery, tailored for cities boasting extensive waterway networks. We examine Amsterdam's city center as a case study, prompted by the strain on quay walls, congestion, and emissions, urging a reevaluation of its urban logistics design. We formulate the problem as a two-echelon location routing problem with time windows and introduce a hybrid solution approach for effective resolution. Our algorithm consistently outperforms existing methods, with a superior solution quality, demonstrating its effectiveness across established and newly developed benchmark instances. In our case study, we evaluate the benefits of transitioning from a roadway-centric to a waterway-based system, showcasing significant cost savings (approximately 28 %), reductions in vehicle weight (approximately 43 %), and minimized travel distances (approximately 80 %) within the city center. The integration of electric vehicles enhances environmental sustainability, resulting in a total daily emission reduction of 43.46 kg. Our study underscores the untapped potential of inland waterways in easing urban logistics challenges. Inspired by Amsterdam's experience, global cities can adopt innovative approaches for sustainable logistics, providing valuable insights for managers striving to enhance efficiency, cut costs, and promote sustainable transportation practices.","Combinatorial optimization; Modal shift; Sustainability; Two-echelon location routing; Urban logistics; Waterways","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:221111fe-f9ea-48d6-bd58-d4a15b409079","http://resolver.tudelft.nl/uuid:221111fe-f9ea-48d6-bd58-d4a15b409079","Data-driven model to predict burst pressure in the presence of interacting corrosion pits","Yarveisy, R. (TU Delft Safety and Security Science; Memorial University of Newfoundland); Khan, Faisal (Texas A&M University); Abbassi, Rouzbeh (Macquarie University)","","2024","This paper presents a data-driven approach to predict the pipelines’ corrosion-induced Burst failure. In this approach, different aspects of pit growth progression and spatial distribution of pits are simulated. The proposed approach takes advantage of population characteristics to model these aspects of the degradation paths for each pipe section down to the size of single joints. The insights obtained from simulations are used to project the degradation of each pipe section. Understanding corrosion behavior and field data are used to model the corrosion-related parameters such as corrosion pit dimensions, probability and time of initiation, and location. The failure is modeled using the probabilistic simulation considering degradation rate, interactions among pits, and material properties as stochastic variables. The proposed approach and included models are tested using multiple real-life inline inspection datasets. Validation of predicted properties shows prediction errors ranging from 3%–10% depending on the three remaining strength calculation approaches. This work aimed to serve as an important tool for risk-based maintenance prioritization, inspection interval assessment, and the fitness of service assessment of pipelines.","Extreme value analysis; Failure analysis; Inline inspection; Monte Carlo simulation; Pipeline failure analysis; Pitting corrosion","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:fb35fd23-7284-426a-bab9-40729f810962","http://resolver.tudelft.nl/uuid:fb35fd23-7284-426a-bab9-40729f810962","Notable shifts beyond pre-industrial streamflow and soil moisture conditions transgress the planetary boundary for freshwater change","Porkka, Miina (Royal Swedish Academy of Sciences; University of Eastern Finland; Aalto University); Virkki, Vili (Aalto University); Wang-Erlandsson, Lan (Stockholm University; Potsdam Institute for Climate Impact Research); Gerten, Dieter (Humboldt-Universitat zu Berlin; Potsdam Institute for Climate Impact Research); Gleeson, Tom (University of Victoria); Mohan, Chinchu (University of Victoria; University of Saskatchewan); Fetzer, Ingo (Stockholm University); Jaramillo, Fernando (Stockholm University); Staal, Arie (Universiteit Utrecht); van der Ent, R.J. (TU Delft Water Resources)","","2024","Human actions compromise the many life-supporting functions provided by the freshwater cycle. Yet, scientific understanding of anthropogenic freshwater change and its long-term evolution is limited. Here, using a multi-model ensemble of global hydrological models, we estimate how, over a 145-year industrial period (1861–2005), streamflow and soil moisture have deviated from pre-industrial baseline conditions (defined by 5th–95th percentiles, at 0.5° grid level and monthly timestep over 1661–1860). Comparing the two periods, we find an increased frequency of local deviations on ~45% of land area, mainly in regions under heavy direct or indirect human pressures. To estimate humanity’s aggregate impact on these two important elements of the freshwater cycle, we present the evolution of deviation occurrence at regional to global scales. Annually, local streamflow and soil moisture deviations now occur on 18.2% and 15.8% of global land area, respectively, which is 8.0 and 4.7 percentage points beyond the ~3 percentage point wide pre-industrial variability envelope. Our results signify a substantial shift from pre-industrial streamflow and soil moisture reference conditions to persistently increasing change. This indicates a transgression of the new planetary boundary for freshwater change, which is defined and quantified using our approach, calling for urgent actions to reduce human disturbance of the freshwater cycle.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:5cc07754-dab1-4dd4-8446-d489ebd08c4c","http://resolver.tudelft.nl/uuid:5cc07754-dab1-4dd4-8446-d489ebd08c4c","The effective velocity model: An improved approach to velocity sampling in actuator line models","Muscari, C. (TU Delft Team Jan-Willem van Wingerden; Politecnico di Milano); Schito, Paolo (Politecnico di Milano); Viré, A.C. (TU Delft Wind Energy); Zasso, Alberto (Politecnico di Milano); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2024","Actuator line modeling of wind turbines requires the definition of a free-stream velocity in a computational mesh and a regularization kernel to project the computed body forces onto the domain. Both choices strongly influence the results. In this work, a novel velocity sampling method—the so-called effective velocity model (EVM)—is implemented in the CFD software SOWFA, validated, and compared to pre-existing approaches. Results show superior method robustness with respect to the regularization kernel width ((Formula presented.)) choice while preserving acceptable accuracy. In particular, the power predicted by the EVM is nearly independent of the (Formula presented.) value.","actuator line model; CFD; LES; regularization kernel; velocity sampling; wind turbine modeling","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:e6d42c1e-6ee2-4970-be64-25da3c88a64f","http://resolver.tudelft.nl/uuid:e6d42c1e-6ee2-4970-be64-25da3c88a64f","Ride experience in automated minibuses: measuring users' transport mode preferences before and after a test ride","Ozturker, M. (TU Delft Transport and Planning); Correia, Gonçalo (TU Delft Transport and Planning); van Arem, B. (TU Delft Transport and Planning)","","2024","In the present study, we explored the influence of ride experience in automated minibuses (AmBs) on transport mode choice that includes the automated shuttles as well as conventional transport options (car, bus and bicycle) on the first-/ last-mile stage of rail trips. We used the case study of the connection between Brandevoort train station and the newly developing working and living area in Helmond (the Netherlands) where an AmB was tested in the February-March period of 2021. We conducted a two-wave stated preference experiment wherein data was gathered both before and after the participants had a test ride in the AmB. The results of the joint hybrid mixed logit model indicate a clear preference towards flexible-service AmBs, particularly in relation to travel time and costs. While preferences for less favoured regular-service AmBs experienced a noteworthy shift in travel time and costs, waiting and walking time parameters influenced by participants' ride experience in this pilot and by prior ride experience from other pilots. This reinforces the idea that the ride experience in AmBs even in a short pilot trial like the one conducted in Helmond has a significant impact on preferences for AmBs in comparison with car, bus and bicycle alternatives. Hence, panel studies can provide a more comprehensive understanding of how attitudes and preferences of potential users evolve over time.","automated minibus; ride experience; stated choice experiment","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:0b452612-dd39-4850-affe-00f25bec66cd","http://resolver.tudelft.nl/uuid:0b452612-dd39-4850-affe-00f25bec66cd","Naturally effective inhibition of microbial corrosion on carbon steel by beneficial biofilm in the South China Sea","Gao, Yu (Northeastern University China); Zhang, Jingru (Northeastern University China); Wang, Donglei (Northeastern University China); Fan, Jiaxin (Northeastern University China); Mol, J.M.C. (TU Delft Team Arjan Mol); Wang, Fuhui (Northeastern University China); Zhang, Danni (Northeastern University China); Xu, Dake (Northeastern University China)","","2024","Microbially influenced corrosion (MIC) of metals exerts a negative effect on the marine environment and causes a great loss of marine facilities. Corrosion prevention in an eco-friendly and sustainable way is a difficult problem to address, especially in the marine environment. In this work, Nocardiopsis dassonville, a corrosive bacteria isolated from the South China Sea was studied by using carbon steel. The results indicate that N. dassonville caused a corrosion loss of 7.68 mg cm−2 and a corrosion pit of 13.0 μm on the carbon steel surface, but the corrosion is inhibited in the presence of Vibrio sp. EF187016 in the medium. Vibrio sp. EF187016 preferentially occupied the carbon steel surface, forming a protective biofilm that hindered the attachment of N. dassonville. In addition, extracellular polymeric substances extracted from Vibrio sp. EF187016 was added to N. dassonvillei inoculated medium and showed a significant inhibition of MIC on carbon steel.","Biofilms; Carbon steel; Corrosion inhibition; Extracellular polymeric substances; Marine environment","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:6b6f382c-8d3d-4147-b961-bfbb752ab29c","http://resolver.tudelft.nl/uuid:6b6f382c-8d3d-4147-b961-bfbb752ab29c","Influence of mixing time on a reversal tolerant anode measured ex situ for a PEMFC","Homan, S.J.T. (TU Delft ChemE/Catalysis Engineering; cellcentric GmbH & Co. KG); Aylar, K. (cellcentric GmbH & Co. KG); Jurjevic, A. (cellcentric GmbH & Co. KG); Scolari, M. (cellcentric GmbH & Co. KG); Urakawa, A. (TU Delft ChemE/Catalysis Engineering); Taheri, P. (TU Delft Team Peyman Taheri)","","2024","When no hydrogen can reach the Pt catalyst in the anode for the hydrogen oxidation reaction (HOR) of an operating proton exchange membrane fuel cell (PEMFC), the anode potential increases and causes the cell potential to be reversed compared to normal operation conditions. During this reversal, the oxygen evolution reaction (OER) and carbon oxidation reaction (COR) will occur at the anode, where the COR has devastating consequences for the electrode. Introducing an OER catalyst limits the COR to occur, which makes a reversal tolerant anode (RTA). In this research, RTAs are differentiated by applying different ball milling times during catalyst layer processing, forming big and small OER (IrOx/TiOx) and HOR (Pt/C) catalyst particles. The two different particle sizes were electrochemically tested using a rotating disc electrode (RDE). Both catalyst sizes show a decrease in OER activity (mA cm−2) accompanied by loss of the ionomer in a self-developed accelerated stress test (AST). The small particle RTAs show higher OER activity as a result of increased surface area. However, during a chronopotentiometry measurement, which mimics a fuel cell reversal, the small particle coatings show a worse reversal tolerance. This phenomenon can be attributed to the increased difficulty in removing oxygen bubbles.","Catalyst layer processing; OER catalyst; PEMFC; Reversal tolerant anode (RTA); Rotating disc electrode (RDE)","en","journal article","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:3017d17f-e7d1-4d5e-9bd9-c5ca7a3fd234","http://resolver.tudelft.nl/uuid:3017d17f-e7d1-4d5e-9bd9-c5ca7a3fd234","Electronic Properties of Ultrathin InGaN/GaN Heterostructures under the Influences of Laser and Electric Fields: Investigation of the Harmonic and Inharmonic Potentials","En-nadir, Redouane (University of Sidi Mohamed Ben Abdullah); El Ghazi, Haddou (University of Sidi Mohamed Ben Abdullah; Hassan II University of Casablanca); Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; Selçuk University); Tihtih, Mohammed (University of Miskolc); Belaid, Walid; Abboudi, Hassan (University of Sidi Mohamed Ben Abdullah); Maouhoubi, Ibrahim (University of Sidi Mohamed Ben Abdullah); Rabah, Mohamed (University of Sidi Mohamed Ben Abdullah; Hassan II University of Casablanca); Zorkani, Izeddine (University of Sidi Mohamed Ben Abdullah)","","2024","Defects and impurities within semiconductor materials pose significant challenges. This investigation scrutinizes the response of a single dopant donor impurity located in nanostructured semiconductors, specifically quantum wells subjected to both harmonic and inharmonic confinement potentials. The primary focus of this inquiry centers on the analysis of binding energy, electron probability distribution, and diamagnetic susceptibility in connection with both the ground (1s) and excited (2p) electron states. Utilizing advanced computational techniques, specifically the Finite Elements Method (FEM) implemented through Python code, this study unveils a marked alteration in the interaction between electrons and impurities when exposed to external fields. Significantly, the characteristics of the confinement potential exert a substantial influence on the explored physical parameters. This research significantly advances our understanding of the interaction between impurities and intense fields, offering valuable insights into solid-state phenomena within low-dimensional systems. Consequently, it contributes to the design and fabrication of next-generation applications in the field of quantum well systems, encompassing areas such as lighting, detection, information processing, sensing, and energy conversion.","Binding-energy; Diamagnetic-susceptibility; Harmonicity; Intense external fields; Nanostructures; Probability-distribution","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-19","","","Dynamics of Micro and Nano Systems","","",""
"uuid:64ae1a0e-9e13-4ba9-a2ed-b61eafce12c6","http://resolver.tudelft.nl/uuid:64ae1a0e-9e13-4ba9-a2ed-b61eafce12c6","Identification of Atrial Transmural Conduction Inhomogeneity Using Unipolar Electrogram Morphology","Zhang, Lu (Erasmus MC); van Schie, M.S. (Erasmus MC); Xiang, Hongxian (Erasmus MC); Liao, Rongheng (Erasmus MC); Zheng, Jiahao (Erasmus MC); Knops, Paul (Erasmus MC); Taverne, Yannick J.H.J. (Erasmus MC); de Groot, N.M.S. (TU Delft Signal Processing Systems; Erasmus MC)","","2024","(1) Background: Structural remodeling plays an important role in the pathophysiology of atrial fibrillation (AF). It is likely that structural remodeling occurs transmurally, giving rise to electrical endo-epicardial asynchrony (EEA). Recent studies have suggested that areas of EEA may be suitable targets for ablation therapy of AF. We hypothesized that the degree of EEA is more pronounced in areas of transmural conduction block (T-CB) than single-sided CB (SS-CB). This study examined the degree to which SS-CB and T-CB enhance EEA and which specific unipolar potential morphology parameters are predictive for SS-CB or T-CB. (2) Methods: Simultaneous endo-epicardial mapping in the human right atrium was performed in 86 patients. Potential morphology parameters included unipolar potential voltages, low-voltage areas, potential complexity (long double and fractionated potentials: LDPs and FPs), and the duration of fractionation. (3) Results: EEA was mostly affected by the presence of T-CB areas. Lower potential voltages and more LDPs and FPs were observed in T-CB areas compared to SS-CB areas. (4) Conclusion: Areas of T-CB could be most accurately predicted by combining epicardial unipolar potential morphology parameters, including voltages, fractionation, and fractionation duration (AUC = 0.91). If transmural areas of CB indeed play a pivotal role in the pathophysiology of AF, they could theoretically be used as target sites for ablation.","electrograms; endo-epicardial delay; simultaneous endo-epicardial mapping; sinus rhythm; transmural conduction block","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:c7d4800d-b7e3-41ce-97c4-f0316e92a48f","http://resolver.tudelft.nl/uuid:c7d4800d-b7e3-41ce-97c4-f0316e92a48f","Effects of thermal shocks on integrity of existing and newly-designed sealants for CCS applications","Li, K. (TU Delft Applied Geophysics and Petrophysics); Pluymakers, Anne (TU Delft Applied Geophysics and Petrophysics)","","2024","Sealants that can guarantee long-term wellbore sealing integrity are of great significance to the safe and sustainable storage of CO2 in carbon capture and storage (CCS). In this study, we investigate how abrupt cyclic thermal shocks affect the integrity of four sealants of different compositions. These sealants include two reference OPC-based blends (S1 and S2), one newly-designed OPC-based blend that contains CO2-sequestering additives (S3), and one calcium aluminate cement (CAC)-based blend designed for CCS applications (S4). We have measured the thermal properties of these samples, followed by quenching and flow-through experiments to apply strong cyclic thermal shocks on samples of the four sealants, where we heated the samples to 120 °C, and quenched them in, or flowed through water of 20 °C. Using X-ray tomography (32 µm/voxel) before and after the experiment showed that both S1, S2 (reference OPC-based) and S4 (CAC-based) broke after thermal-shocking experiments. Cracks and new voids developed in the samples. Post-treatment strength testing shows that thermal shocks reduce the unconfined compressive strength of these three sealants. This implies that these compositions may not be optimal materials for long-term wellbore sealing during CO2 injection and storage afterward. For all these three sealant compositions, quenching resulted in a greater reduction in strength (by 53 % on average) than flow-through experiments (by 29 % on average). On the contrary, we have not observed any cracks after either quenching or flow-through experiments in S3 sealant (OPC with CO2-sequestering additives). We attribute the intactness of this sealant after thermal shocks to its higher thermal diffusivity than the other three sealants. Heat transfers more rapidly in this sealant and the associated thermal stresses are mild and insufficient to cause any damage to its integrity, which makes this sealant a good candidate for wellbore sealing material that can effectively withstand strong thermal shocks encountered during CCS, though further studies are required.","CCS; Sealants; Thermal shocks; Thermally-induced cracking; Well integrity","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:ed7b6aaf-1085-47ac-8e8f-c15315c5889a","http://resolver.tudelft.nl/uuid:ed7b6aaf-1085-47ac-8e8f-c15315c5889a","The flexible airport bus and last-mile ride-sharing problem: Math-heuristic and metaheuristic approaches","He, P. (Shanghai Jiao Tong University); Jin, Jian Gang (Shanghai Jiao Tong University); Schulte, F. (TU Delft Transport Engineering and Logistics)","","2024","Airport buses play a crucial role in addressing the last-mile problem of air travel, especially in cities and countries lacking inner-city rail transit systems. Nevertheless, airport buses are currently witnessing a decline in ridership due to drawbacks such as long departure intervals, inflexible stops, and considerable distances between stops. Consequently, delivering high-quality airport bus services has become a pressing concern for public transport operators. Motivated by new flexible buses and ride-sharing services, this paper explores a flexible airport bus service that integrates ride-sharing services for passengers traveling from bus stops to their destinations. This problem entails integrated decisions involving bus stop selection, passenger assignment to drop-off bus stops, as well as bus and ride-sharing routing. Accordingly, this problem presents more challenges in decision-making than traditional flexible bus or ride-sharing routing problems. We first develop an arc-based mixed-integer linear programming model. Subsequently, we design a double decomposition math-heuristic algorithm that builds upon logic-based Benders decomposition and column generation algorithms to obtain a near-optimal solution within practical computation time limits for practical-scale instances. Additionally, we implement an adaptive large neighborhood search algorithm to evaluate the solution quality of this math-heuristic algorithm and to solve large-scale instances. To validate the effectiveness of both the model and the algorithms, we conduct numerical experiments using instances derived from Shenzhen airport bus lines. The experimental results demonstrate that the flexible service mode offers significant advantages in reducing both passenger ride time and vehicle mileage over traditional airport bus or taxi modes.","Column generation; Double decomposition math-heuristic; Flexible airport bus; Last-mile ride-sharing; Logic-based Benders decomposition; Routing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-19","","","Transport Engineering and Logistics","","",""
"uuid:93b6b653-65d5-42d8-83e2-bd58dd77ae67","http://resolver.tudelft.nl/uuid:93b6b653-65d5-42d8-83e2-bd58dd77ae67","Crashworthiness in preliminary design: Mean crushing force prediction for closed-section thin-walled metallic structures","Anand, S. (TU Delft Group Giovani Pereira Castro); Alderliesten, R.C. (TU Delft Group Alderliesten); Castro, Saullo G.P. (TU Delft Group Giovani Pereira Castro)","","2024","To design crash structures for disruptive aircraft designs, it is required to have fast and accurate methods that can predict crashworthiness of aircraft structures early in the design phase. Axial crushing is one of the key energy absorbing mechanisms during a crash event. In this study, various analytical models proposed for calculation of mean crushing force for thin-walled tubular structures are compared with a database of numerical and experimental values to ascertain their accuracy. Improvements to some of the models have also been proposed. Finally a generalized model based on the studied and improved analytical models for prediction of mean crushing force for closed section thin-walled tubular structures is introduced. The generalized model demonstrates high accuracy when compared against experimental/numerical dataset as evidenced by a high coefficient of determination (R2) value of 0.97 and can therefore be used to estimate the mean crushing force for closed-section thin-walled metallic tubular structures with various cross-sectional shapes and crushing modes early in the design phase.","Analytical models; Axial crushing; Crashworthiness; Metallic tubular structures; Preliminary design; Thin walled structures","en","journal article","","","","","","","","","","","Group Giovani Pereira Castro","","",""
"uuid:2b81c1fa-e121-419b-94be-4d0c24767589","http://resolver.tudelft.nl/uuid:2b81c1fa-e121-419b-94be-4d0c24767589","Uncovering the spread of drug-resistant bacteria through next-generation sequencing based surveillance: transmission of extended-spectrum β-lactamase-producing Enterobacterales by a contaminated duodenoscope","Cimen, Cansu (University Medical Center Groningen; University of Oldenburg); Bathoorn, Erik (University Medical Center Groningen); Loeve, A.J. (TU Delft Medical Instruments & Bio-Inspired Technology); Fliss, Monika (University Medical Center Groningen); Berends, Matthijs S. (University Medical Center Groningen); Nagengast, Wouter B. (University Medical Center Groningen); Hamprecht, Axel (University of Oldenburg); Voss, Andreas (University Medical Center Groningen); Lokate, Mariëtte (University Medical Center Groningen)","","2024","Contamination of duodenoscopes is a significant concern due to the transmission of multidrug-resistant organisms (MDROs) among patients who undergo endoscopic retrograde cholangiopancreatography (ERCP), resulting in outbreaks worldwide. In July 2020, it was determined that three different patients, all had undergone ERCP with the same duodenoscope, were infected. Two patients were infected with blaCTX-M-15 encoding Citrobacter freundii, one experiencing a bloodstream infection and the other a urinary tract infection, while another patient had a bloodstream infection caused by blaSHV-12 encoding Klebsiella pneumoniae. Molecular characterization of isolates was available as every ESBL-producing isolate undergoes Next-Generation Sequencing (NGS) for comprehensive genomic analysis in our center. After withdrawing the suspected duodenoscope, we initiated comprehensive epidemiological research, encompassing case investigations, along with a thorough duodenoscope investigation. Screening of patients who had undergone ERCP with the implicated duodenoscope, as well as a selection of hospitalized patients who had ERCP with a different duodenoscope during the outbreak period, led to the discovery of three additional cases of colonization in addition to the three infections initially detected. No microorganisms were detected in eight routine culture samples retrieved from the suspected duodenoscope. Only after destructive dismantling of the duodenoscope, the forceps elevator was found to be positive for blaSHV-12 encoding K. pneumoniae which was identical to the isolates detected in three patients. This study highlights the importance of using NGS to monitor the transmission of MDROs and demonstrates that standard cultures may fail to detect contaminated medical equipment such as duodenoscopes.","Citrobacter freundii; Contamination; CTXM-15; Duodenoscope; Endoscopic retrograde cholangiopancreatography (ERCP); Extended-spectrum β-lactamase (ESBL); Klebsiella pneumoniae; Multi locus sequence typing (MLST); Next-generation sequencing (NGS); Nosocomial transmission; SHV-12","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:f7d604b6-cb03-43be-8091-743dd2ccbe3f","http://resolver.tudelft.nl/uuid:f7d604b6-cb03-43be-8091-743dd2ccbe3f","Thermodynamic analysis and heat exchanger calculations of transcritical high-temperature heat pumps","Zhao, A. (TU Delft Energy Technology); Pecnik, Rene (TU Delft Energy Technology); Peeters, J.W.R. (TU Delft Energy Technology)","","2024","Heating in industrial processes is responsible for approximately 13% of greenhouse gas emissions in Europe. Switching from fossil-fuel based boilers to heat pumps can help mitigate the effect of global warming. The present work proposes novel high-temperature transcritical heat pump cycles targeted at heating air with a mass flow rate of 10 kg/s up to 200 °C for spray drying processes. Four low-GWP refrigerants, R1233zd(E), R1336mzz(Z), n-Butane, and Ammonia are considered as the candidate working fluids. The pressure ratio of the compressor is optimized to achieve a maximum coefficient of performance (COP) for the four working fluids. A shell & tube heat exchanger is considered as the gas cooler. Using a generalized version of the ϵ-NTU method, the gas cooler is sized and a second law analysis is conducted. Striking a balance between the first- and second-law performance and size of the gas cooler, the R1233zd(E) transcritical heat pump cycle with a COP of 3.6 is judged to be the most promising option.","Heat pump; Low-GWP refrigerants; Second law analysis; Transcritical","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:77766c62-fd3a-4cdb-845e-269194bf19ec","http://resolver.tudelft.nl/uuid:77766c62-fd3a-4cdb-845e-269194bf19ec","Characterization, pre-treatment, and potential applications of fine MSWI bottom ash as a supplementary cementitious material","Sun, Y. (TU Delft Civil Engineering & Geosciences; Universiteit Gent); Chen, B. (TU Delft Materials and Environment); Zhang, Shizhe (TU Delft Materials and Environment); Blom, C.B.M. (TU Delft Concrete Structures; Gemeente Rotterdam); Lukovic, M. (TU Delft Concrete Structures); Ye, G. (TU Delft Materials and Environment; Universiteit Gent)","","2024","With the development of waste recovery techniques, previous research has revealed that coarse fractions of municipal solid waste incineration (MSWI) bottom ash (BA) after proper treatment could be applied in the construction sector, while the fines are seldom recovered in practice and normally landfilled. This study explores the potential application of fine MSWI BA (0–2 mm) as a supplementary cementitious material (SCM) in Portland cement (PC) mixtures. Mechanical and chemical pre-treatment approaches have been designed with various conditions to optimize the treating process. The chemical and mineralogical compositions, as well as the metallic Al content in BA were characterized before and after the pre-treatment. It was found that both methods are effective in removing the metallic Al content in BA, Moreover, BA derived from mechanical treatment exhibited more contribution to the hydration reaction in PC mixtures, as revealed by the amount of reaction products and mineral phases formed in hardened trial mixtures. BA obtained was further partially blended in PC mortars to evaluate the performance as compared to SCMs and inert fillers. It was found that treated BA resulted in a slight retarding effect on the reaction kinetics. Treated BA behaved better than the coal fly ash to contribute to the strength development, while the inclusion of BA did not lead to significant influences on the workability.","characterization; metallic Al; MSWI BA; pre-treatment; supplementary cementitious material","en","journal article","","","","","","","","","Civil Engineering & Geosciences","","Materials and Environment","","",""
"uuid:52cd2325-280b-4c22-9398-24588bccbbb3","http://resolver.tudelft.nl/uuid:52cd2325-280b-4c22-9398-24588bccbbb3","Employee Perspectives on Risk Management in a Construction Company","Ökmen, Ö. (TU Delft Integral Design & Management); Leijten, M. (TU Delft Organisation & Governance); Strattona, Theodora (Student TU Delft); Bosch-Rekveldt, M.G.C. (TU Delft Integral Design & Management); Bakker, H.L.M. (TU Delft Integral Design & Management)","","2024","In addition to the tools and techniques available, project risk management also depends on the attitudes of people in an organisation, how the available tools are used, and how the procedures are followed. Therefore, efforts to improve the project risk management capacity of organisations may fail if the diversity of people (characters, traits etc.) involved is neglected. Based on this argument, the aim of this study is to demonstrate that companies can improve project risk management using the perspectives of their key experts. In this context, an approach was proposed based on Q-Methodology and a case study has been conducted in a construction company in the Netherlands. The quantitative output obtained through Q-Methodology application was evaluated in conjunction with the qualitative data gathered from interviews conducted with the managers of different units within the company. As a result of this evaluation, three common perspectives were identified among the respondents under the names of ‘Experience and Belief’, ‘Procedures and Management’ and ‘Culture and Communication’, respectively. Then, a number of recommendations were made to the company. First, customized management approaches that integrate and balance the identified perspectives should be adopted. Second, a mature organisational risk management culture should be promoted. Project charters that specifically target risk management culture in projects can be used for this purpose. Third, risk management should be integrated into other activities, making it a normal part of employees’ daily work. Finally, but not exclusively, the employees should be instructed on the use of risk registers and guidance should be put in place on how often they are expected to update the risk registers. This study demonstrates the benefit of considering employee diversity and leveraging perspectives in unlocking the potential of construction companies in terms of project risk management.","construction companies; critical success factors; Netherlands; Project risk management; Q-Methodology","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:c6ce1b5f-3341-4a09-af78-4dc2191b3d40","http://resolver.tudelft.nl/uuid:c6ce1b5f-3341-4a09-af78-4dc2191b3d40","Recovery of microbial biomass and purification performance after scraping of full-scale slow sand filters","Trikannad, S.A. (TU Delft Sanitary Engineering); Attiani, Valentina (Wageningen University & Research); van der Wielen, Paul W.J.J. (KWR Water Research Institute; Wageningen University & Research); Smidt, Hauke (Wageningen University & Research); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet); van Halem, D. (TU Delft Sanitary Engineering)","","2024","Slow sand filters (SSFs) are widely used in drinking water production to improve microbial safety and biological stability of water. Full-scale SSFs are maintained by scraping the biomass-rich top layers of sand. The period of downtime required for filter recovery after scraping is a major challenge due to limited knowledge of the re-stabilisation of purification processes. This study examined the recovery of microbial biomass, and removal of dissolved organic carbon (DOC) and ammonium (NH4+) in water phase and/or on sand along the depth of a scraped full-scale SSF. Scraping reduced microbial biomass on sand in the top layers, while the main prokaryotic taxa remained unaltered. Cellular ATP (cATP) and intact cell counts (ICC) in water sampled from the top layers increased, indicating a temporary disruption in functionality for 37 days. However, stable concentrations of cATP and ICC and similar microbial community composition in the effluent after scraping revealed that deeper layer biofilms offset any scraping effect. Consistent DOC and NH4+ removal after scraping showed that deeper layers effectively performed the role of the top layer. These findings highlight the resilience and robustness of microbial communities in mature full-scale SSFs and their contribution to water treatment efficiency after disturbances caused by scraping.","Slow sand filters; Schmutzdecke; Scraping; Ripening period; Dissolved organic carbon; Ammonium","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:10b92009-fa98-447a-bec1-5fa706f4d643","http://resolver.tudelft.nl/uuid:10b92009-fa98-447a-bec1-5fa706f4d643","Simulating decadal cross-shore dynamics at nourished coasts with Crocodile","Kettler, T.T. (TU Delft Coastal Engineering); de Schipper, M.A. (TU Delft Coastal Engineering); Luijendijk, Arjen (TU Delft Coastal Engineering; Deltares)","","2024","Projections of high rates of sea level rise have stimulated proposals for adaptation strategies with increasingly high nourishment volumes along sandy beaches. An underlying assumption is that coastal profiles respond rapidly to nourishments by redistributing sediments towards a (new) equilibrium shape. However, this perception may not be valid when high volumes of nourishment are applied, as the profile shape may then undergo significant deformation. Current state-of-the-art modelling techniques often concentrate on a single spatio-temporal scale, either lacking the necessary temporal horizon or failing to provide the required level of cross-shore detail. This article introduces Crocodile, a diffusion based cross-shore model designed to bridge the gap between short- and long-term nourishment modelling. The model simulates the effects of nourishment strategies on coastal volume, coastline position and beach width over a decadal timeframe. It incorporates different elements which compute cross-shore diffusion, sediment exchange with the dune and longshore sediment losses. To test the model performance, a series of idealized nourishment scenarios are examined, along with three case studies along the Dutch coast with different nourishment strategies over the past few decades. The modelled coastal volume, shoreline position and beach width strongly resemble the observations with only a 12% overestimation in profile volume and 13% underestimation in beach width. Averaged over selected periods of nourishment, trends and trend reversals between different strategies are well replicated with slight overestimation for coastal volume trends by 1.5m3/m/yr(10%), while beach width trends are underestimated by 0.2m/yr (15%). Given that the added nourishment volumes are typically in the order of 100m3/m, these model errors are considered sufficiently low to conclude that Crocodile effectively simulates variations in coastal volume, coastline position and beach width over a decadal timeframe in response to different nourishment strategies. Therefore, Crocodile can facilitate the evaluation of future nourishment strategies.","Cross-shore profile; Diffusion model; Nourishment strategies; Numerical modelling; Sand dispersion","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:66739aaf-879a-4044-af8d-6d9449e9455f","http://resolver.tudelft.nl/uuid:66739aaf-879a-4044-af8d-6d9449e9455f","Nanopore Characteristics of Barakar Formation Shales and Their Impact on the Gas Storage Potential of Korba and Raniganj Basins in India","Kumar, Shubham (Indian Institute of Technology Bombay; Monash University); Chandra, D. (TU Delft Applied Geophysics and Petrophysics); Hazra, Bodhisatwa (Christian-Albrechts-Universität zu Kiel; Central Institute of Mining and Fuel Research); Vishal, Vikram (Indian Institute of Technology Bombay); Pathegama Gamage, Ranjith (Monash University)","","2024","Lithologically diverse shales were collected from two different proliferous basins, namely, the Korba (SM) and Raniganj Basin (BK) in India, and were experimented with at an isothermal condition using CO2 and N2 as probe gases in the low-pressure gas adsorption method, demonstrating the disparity between shale pore attributes and surface roughness. The Korba Basin is one of the potential sites for gas storage and production in India and needs to be explored in terms of pore statistics. Literature reviews demonstrate that pore characteristics in shale changes with depth, organic matter, and mineral composition, which can elucidate the gas storage potential for anthropogenic CO2 storage. Gas adsorption capacity and surface roughness are directly associated with the difference in organic and mineral compositions, which certainly affects the phase distribution of flow regimes in shale reservoirs. The result determines that micropore and mesopore attributes are in good correlation with the TOC and clay minerals, respectively. SM shale shows 30-37% higher micropore attributes and 17-19% lower mesopore attributes than those of BK shales. Furthermore, the siderite content shows a variance in the pore size distribution in BK shales. The fractal dimension (Ds) is evaluated based on the N2 adsorption isotherm curve using the Frenkel-Halsey-Hill model. SM shales show a strong correlation with both micropores and mesopores at low relative pressure regimes, while BK shales depict their dominance with mesopores at the high relative pressure regime. Therefore, this research provides a preliminary attempt to determine the influence of changes in the depth, surface roughness, and organic and mineral compositions on shales. However, a complete extrapolation of other reservoir factors, viz., seam thickness, shale-water interaction, and permeability variation at reservoir conditions, is vital to unlocking the technical and environmental feasibility of CO2 storage and gas production in these basins.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-08-22","","","Applied Geophysics and Petrophysics","","",""
"uuid:72bd25b4-77ac-4185-abb7-0e5bcb5236a8","http://resolver.tudelft.nl/uuid:72bd25b4-77ac-4185-abb7-0e5bcb5236a8","A prospective life cycle assessment of global ammonia decarbonisation scenarios","Boyce, Johanna (Universiteit Leiden); Sacchi, Romain (Paul Scherrer Institut); Goetheer, Earl (TU Delft Energy Technology); Steubing, Bernhard (Universiteit Leiden)","","2024","A prospective life cycle assessment was performed for global ammonia production across 26 regions from 2020 to 2050. The analysis was based on the IEA Ammonia Roadmap and IMAGE electricity scenarios model for three climate scenarios related to a mean surface temperature increase of 3.5 °C, 2.0 °C, and 1.5 °C by 2100. Combining these models with a global perspective and new life cycle inventories improves ammonia's robustness, quality, and applicability in prospective life cycle assessments. It reveals that complete decarbonisation of the ammonia industry by 2050 is unlikely from a life cycle perspective because of residual emissions in the supply chain, even in the most ambitious scenario. However, strong policies in the 1.5 °C scenario could significantly reduce climate impacts by up to 70% per kilogram of ammonia. The cumulative greenhouse gas emissions from the ammonia supply chain between 2020 and 2050 are estimated at 24, 21, and 15 gigatonnes CO2-equivalent for the 3.5 °C, 2.0 °C, and 1.5 °C scenarios, respectively. The paper examines challenges in achieving these scenarios, noting that electrolysis-based (yellow) ammonia, contingent on electricity decarbonisation, offers a cleaner production pathway. However, achieving significant GHG reductions is complex, requiring advancements in technologies with lower readiness, like carbon capture and storage and methane pyrolysis. The study also discusses limitations such as the need to reduce urea demand, potential growth in ammonia as a fuel, reliance on CO2 transport and storage, expansion of renewable energy, raw material scarcity, and the longevity of existing plants. It highlights potential shifts in environmental impacts, such as increased land, metal, and mineral use in scenarios with growing renewable electricity and bioenergy with carbon capture and storage.","Ammonia; Fertilizer; IEA roadmap; IMAGE; Prospective LCA; Scenarios","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:910e5bb9-6bb1-4306-9d9b-bca5eb95f564","http://resolver.tudelft.nl/uuid:910e5bb9-6bb1-4306-9d9b-bca5eb95f564","Single-crystal vs polycrystalline boron-doped diamond anodes: Comparing degradation efficiencies of carbamazepine in electrochemical water treatment","Feijoo, Sara (Katholieke Universiteit Leuven); Baluchová, S. (TU Delft Micro and Nano Engineering; Charles University); Kamali, Mohammadreza (Katholieke Universiteit Leuven); Buijnsters, J.G. (TU Delft Micro and Nano Engineering); Dewil, Raf (Katholieke Universiteit Leuven; University of Oxford)","","2024","The ongoing challenge of water pollution by contaminants of emerging concern calls for more effective wastewater treatment to prevent harmful side effects to the environment and human health. To this end, this study explored for the first time the implementation of single-crystal boron-doped diamond (BDD) anodes in electrochemical wastewater treatment, which stand out from the conventional polycrystalline BDD morphologies widely reported in the literature. The single-crystal BDD presented a pure diamond (sp3) content, whereas the three other investigated polycrystalline BDD electrodes displayed various properties in terms of boron doping, sp3/sp2 content, microstructure, and roughness. The effects of other process conditions, such as applied current density and anolyte concentration, were simultaneously investigated using carbamazepine (CBZ) as a representative target pollutant. The Taguchi method was applied to elucidate the optimal operating conditions that maximised either (i) the CBZ degradation rate constant (enhanced through hydroxyl radicals (•OH)) or (ii) the proportion of sulfate radicals (SO4•−) with respect to •OH. The results showed that the single-crystal BDD significantly promoted •OH formation but also that the interactions between boron doping, current density and anolyte concentration determined the underlying degradation mechanisms. Therefore, this study demonstrated that characterising the BDD material and understanding its interactions with other process operating conditions prior to degradation experiments is a crucial step to attain the optimisation of any wastewater treatment application.","boron-doped diamond (BDD); Electrochemical advanced oxidation processes (eAOPs); polycrystalline; single-crystal; wastewater treatment","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:f5b485d0-7b70-494a-82ac-699a13128b48","http://resolver.tudelft.nl/uuid:f5b485d0-7b70-494a-82ac-699a13128b48","A single-input state-switching building block harnessing internal instabilities","ten Wolde, M.A. (TU Delft Mechatronic Systems Design); Farhadi Machekposhti, D. (TU Delft Mechatronic Systems Design)","","2024","Bistable mechanisms are prevalent across a broad spectrum of applications due to their ability to maintain two distinct stable states. Their energy consumption is predominantly confined to the process of state transitions, thereby enhancing their efficiency. However, the transition often requires two distinct digital inputs, implicating the requirement of multiple actuators. Here, we propose an elastic and contactless design strategy for inducing state transitions in bistable mechanisms, requiring only a single digital input. The strategy leverages internal information, interpreted as system state, as an extra input to make a weighted decision for transitioning to the subsequent state. We characterize the behavior using a spring-based rigid-body model, consisting of a column near bifurcation, combined with a non-linear spring connected to a bistable element that represents the information state. The results show that a nonlinear spring with a quadratic stiffness function, i.e., representing internal instability, is crucial for regulating state-switching behavior. We then demonstrate this design strategy by developing a monolithic and compliant design embodiment and experimentally evaluate its behavior.","Bistable mechanism; Compliant mechanism; Elastic instability; Mechanical computing; State switching","en","journal article","","","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:a11a1f00-5d56-432a-be7d-28cec545e835","http://resolver.tudelft.nl/uuid:a11a1f00-5d56-432a-be7d-28cec545e835","Compliant variable negative to zero to positive stiffness twisting elements","Amoozandeh, A. (TU Delft Mechatronic Systems Design); Herder, J.L. (TU Delft Precision and Microsystems Engineering); Radaelli, G. (TU Delft Mechatronic Systems Design)","","2024","Compliant mechanisms have the potential to be utilized in numerous applications where the use of conventional mechanisms is unfeasible. These mechanisms have inherent stiffness in their range of motion as they gain their mobility from elastic deformations of elements. In most systems, however, complete control over the elasticity is desired. Therefore, compliant mechanisms with variable, including zero, stiffness can have a great advantage. We present a novel concept based on the prestressing of open thin-walled multi-symmetric beams. It is demonstrated that by changing the prestress on the center-axis of these beams, a range of variable torsional stiffness can be achieved. For beams with a large warping constant, the stiffness changes from positive to zero and negative as the prestress increases, while for beams with a near-zero warping constant, the range of neutrally stable twisting motion increases. A planar equivalent is shown in this work to elucidate the notion, and numerical and experimental analyses are performed to validate the prestress-related behavior.","Bistable mechanisms; Compliant mechanisms; Negative stiffness; Twisting beams; Variable stiffness; Zero stiffness","en","journal article","","","","","","","","","","Precision and Microsystems Engineering","Mechatronic Systems Design","","",""
"uuid:ab0d528e-a03c-4e14-8df1-538a959afb96","http://resolver.tudelft.nl/uuid:ab0d528e-a03c-4e14-8df1-538a959afb96","Experimental characterization of damage during geothermal production of hot dry rocks: Comprehensive effects of the damage-elastic deformation on conductivity evolution","Xu, Fuqiang (China University of Petroleum - Beijing); Shi, Yu (Southwest Jiaotong University); Song, Xianzhi (China University of Petroleum - Beijing); Wu, Wei (Nanyang Technological University); Song, G. (TU Delft Applied Geology); Li, Shuang (China University of Petroleum - Beijing)","","2024","The development of hot dry rocks (HDRs) is of great significance to adjusting energy structure, alleviating energy shortage, reducing pollution, etc. Low-permeability granite is the predominant rock type in deep HDRs, making fractures the primary pathways for fluid circulation and heat extraction. The production of HDRs is significantly influenced by variable fracture conductivity, but current conductivity characterization primarily relies on the elastic deformation of the matrix, neglecting the impact of damage. Accordingly, we propose an experimental method and a supporting apparatus, which is used to unveil the conductivity evolution characteristics resulting from the comprehensive effects of damage and elastic deformation. The experimental results demonstrate that when subjected to confining force squeezing inward, the fracture conductivity experiences varying degrees of decrease compared to its initial state before the experiment. By utilizing the conductivity evolution rate as the evaluation criterion and conducting grey correlation analysis, it has been determined that temperature exerts the most significant influence on the conductivity evolution, followed by injection flow, and lastly, confining pressure. Moreover, rock particle types and production cycles also have different degrees of effect. After considering the comprehensive effects of damage-elastic deformation at the field-scale, the damage has a positive effect on conductivity enhancement. Our study provides a new approach for the characterization of fracture conductivity evolution for deep geothermal projects.","Comprehensive effects; Conductivity evolution; Elastic deformation; Fracture damage; Hot dry rocks; Injection experiment","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-07","","","Applied Geology","","",""
"uuid:e34c80e7-1942-41b0-bad8-0b77144c63d7","http://resolver.tudelft.nl/uuid:e34c80e7-1942-41b0-bad8-0b77144c63d7","4D Neutron Imaging of Solute Transport and Fluid Flow in Sandstone Before and After Mineral Precipitation","Shafabakhsh, Paiman (Universitetet i Oslo); Cordonnier, Benoît (Universitetet i Oslo); Pluymakers, Anne (TU Delft Applied Geophysics and Petrophysics); Le Borgne, Tanguy (Universitetet i Oslo; Université de Rennes); Mathiesen, Joachim (Universitetet i Oslo; University of Copenhagen); Linga, Gaute (Universitetet i Oslo; Norwegian University of Science and Technology (NTNU)); Hu, Yi (Universitetet i Oslo); Kaestner, Anders (Paul Scherrer Institut); Renard, François (Universitetet i Oslo; Institut des Sciences de la Terre, Grenoble)","","2024","In many geological systems, the porosity of rock or soil may evolve during mineral precipitation, a process that controls fluid transport properties. Here, we investigate the use of 4D neutron imaging to image flow and transport in Bentheim sandstone core samples before and after in-situ calcium carbonate precipitation. First, we demonstrate the applicability of neutron imaging to quantify the solute dispersion along the interface between heavy water and a cadmium aqueous solution. Then, we monitor the flow of heavy water within two Bentheim sandstone core samples before and after a step of in-situ mineral precipitation. The precipitation of calcium carbonate is induced by reactive mixing of two solutions containing CaCl2 and Na2CO3, either by injecting these two fluids one after each other (sequential experiment) or by injecting them in parallel (co-flow experiment). We use the contrast in neutron attenuation from time-resolved tomograms to derive three-dimensional fluid velocity field by using an inversion technique based on the advection-dispersion equation. Results show mineral precipitation induces a wider distribution of local flow velocities and leads to alterations in the main flow pathways. The flow distribution appears to be independent of the initial distribution in the sequential experiment, while in the co-flow experiment, we observed that higher initial local fluid velocities tended to increase slightly following precipitation. The outcome of this study contributes to progressing the knowledge in the domain of reactive solute and contaminant transport in the subsurface using the promising technique of neutron imaging.","calcium carbonate precipitation; fluid flow; neutron and X-ray microtomography; porous rock","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:c8ee6c57-73b0-4ae3-9030-595a4b2a696a","http://resolver.tudelft.nl/uuid:c8ee6c57-73b0-4ae3-9030-595a4b2a696a","Introducing a force-matched united atom force field to explore larger spatiotemporal domains in molecular dynamics simulations of bitumen","Assaf Martinez-Streignard, E.I. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering; Rijkswaterstaat); Erkens, S. (TU Delft Pavement Engineering; Rijkswaterstaat)","","2024","This paper presents a United Atom (UA) force field for simulating hydrocarbon molecules in bituminous materials, integrating explicit hydrogens into beads with their parent atom. This method simplifies all-atom molecular models, significantly accelerating Molecular Dynamics (MD) simulations of bitumen by 10 to 100 times. Key advantages include halving the particle count, eliminating complex hydrogen interactions, and decreasing the degrees of freedom of the molecules. Developed by mapping forces from an all-atom model to the centers of mass of UA model beads, the force field ensures accurate replication of energies, forces, and molecular conformations, mirroring properties like pressure and density. It features 17 bead types and 287 interaction types, encompassing various hydrocarbon molecules. The UA force field's stability, surpassing all-atom models, is a notable achievement. This stability, stemming from smoother potential energy surfaces, leads to consistent property measurements and improved stress tensor accuracy. It enables the extension of MD simulations to larger spatiotemporal scales, crucial for understanding complex phenomena such as phase separation in bituminous materials. This foundational work sets the stage for future developments, including refining parameters and introducing new bead types, to enhance the modeling capabilities of the force field, thereby advancing the application and understanding of bituminous materials.","Bitumen; Coarse-graining; Force field; Force-matching; Molecular dynamics; Morphology; Phase separation; Segregation","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:936ca2c8-2b62-4cf3-a334-7ddab99fbf94","http://resolver.tudelft.nl/uuid:936ca2c8-2b62-4cf3-a334-7ddab99fbf94","Mechanical Framework for Geopolymer Gels Construction: An Optimized LSTM Technique to Predict Compressive Strength of Fly Ash-Based Geopolymer Gels Concrete","Shi, Xuyang (China University of Mining and Technology); Chen, Shuzhao (China University of Mining and Technology); Wang, Qiang (China University of Mining and Technology); Lu, Yijun (Guangzhou University); Ren, S. (TU Delft Pavement Engineering); Huang, Jiandong (Guangzhou University)","","2024","As an environmentally responsible alternative to conventional concrete, geopolymer concrete recycles previously used resources to prepare the cementitious component of the product. The challenging issue with employing geopolymer concrete in the building business is the absence of a standard mix design. According to the chemical composition of its components, this work proposes a thorough system or framework for estimating the compressive strength of fly ash-based geopolymer concrete (FAGC). It could be possible to construct a system for predicting the compressive strength of FAGC using soft computing methods, thereby avoiding the requirement for time-consuming and expensive experimental tests. A complete database of 162 compressive strength datasets was gathered from the research papers that were published between the years 2000 and 2020 and prepared to develop proposed models. To address the relationships between inputs and output variables, long short-term memory networks were deployed. Notably, the proposed model was examined using several soft computing methods. The modeling process incorporated 17 variables that affect the CSFAG, such as percentage of SiO2 (SiO2), percentage of Na2O (Na2O), percentage of CaO (CaO), percentage of Al2O3 (Al2O3), percentage of Fe2O3 (Fe2O3), fly ash (FA), coarse aggregate (CAgg), fine aggregate (FAgg), Sodium Hydroxide solution (SH), Sodium Silicate solution (SS), extra water (EW), superplasticizer (SP), SH concentration, percentage of SiO2 in SS, percentage of Na2O in SS, curing time, curing temperature that the proposed model was examined to several soft computing methods such as multi-layer perception neural network (MLPNN), Bayesian regularized neural network (BRNN), generalized feed-forward neural networks (GFNN), support vector regression (SVR), decision tree (DT), random forest (RF), and LSTM. Three main innovations of this study are using the LSTM model for predicting FAGC, optimizing the LSTM model by a new evolutionary algorithm called the marine predators algorithm (MPA), and considering the six new inputs in the modeling process, such as aggregate to total mass ratio, fine aggregate to total aggregate mass ratio, FASiO2:Al2O3 molar ratio, FA SiO2:Fe2O3 molar ratio, AA Na2O:SiO2 molar ratio, and the sum of SiO2, Al2O3, and Fe2O3 percent in FA. The performance capacity of LSTM-MPA was evaluated with other artificial intelligence models. The results indicate that the R2 and RMSE values for the proposed LSTM-MPA model were as follows: MLPNN (R2 = 0.896, RMSE = 3.745), BRNN (R2 = 0.931, RMSE = 2.785), GFFNN (R2 = 0.926, RMSE = 2.926), SVR-L (R2 = 0.921, RMSE = 3.017), SVR-P (R2 = 0.920, RMSE = 3.291), SVR-S (R2 = 0.934, RMSE = 2.823), SVR-RBF (R2 = 0.916, RMSE = 3.114), DT (R2 = 0.934, RMSE = 2.711), RF (R2 = 0.938, RMSE = 2.892), LSTM (R2 = 0.9725, RMSE = 1.7816), LSTM-MPA (R2 = 0.9940, RMSE = 0.8332), and LSTM-PSO (R2 = 0.9804, RMSE = 1.5221). Therefore, the proposed LSTM-MPA model can be employed as a reliable and accurate model for predicting CSFAG. Noteworthy, the results demonstrated the significance and influence of fly ash and sodium silicate solution chemical compositions on the compressive strength of FAGC. These variables could adequately present variations in the best mix designs discovered in earlier investigations. The suggested approach may also save time and money by accurately estimating the compressive strength of FAGC with low calcium content.","long short-term memory networks; compressive strength; prediction; marine predators algorithm","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:3887147a-52d0-4718-99ee-ec595e1cbd9a","http://resolver.tudelft.nl/uuid:3887147a-52d0-4718-99ee-ec595e1cbd9a","On-Demand Magnetically-Activated Drug Delivery from Additively Manufactured Porous Bone Implants to Tackle Antibiotic-Resistant Infections","Salandova, M. (TU Delft Biomaterials & Tissue Biomechanics); Leeflang, M.A. (TU Delft Biomaterials & Tissue Biomechanics); Klimopoulou, M. (TU Delft Biomaterials & Tissue Biomechanics); Fratila-Apachitei, E.L. (TU Delft Biomaterials & Tissue Biomechanics); Apachitei, I. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics; Leiden University Medical Center)","","2024","This study proposes a new concept for an on-demand drug releasing device intended for integration into additively manufactured (i.e., 3D printed) orthopedic implants. The system comprises a surface with conduits connected to a subsurface reservoir used for storage and on-demand release of antimicrobial agents, covered with a cap that prevents the antibacterial agents from being released until alternating magnetic field (AMF) raises the temperature of the cap, thus, releasing the stored drug. To demonstrate this concept, Ti6Al4V specimens are directly 3D printed using selective laser melting and their surface, reservoirs, and drug releasing properties are characterized. A new synthetic antimicrobial peptide, SAAP-148, is thereafter tested for its cytotoxic, osteogenic, and immunomodulatory effects at concentrations relevant for its minimal bactericidal concentration (MBC) and is compared with its natural analogue, LL-37. The results showed that AMF successfully activated the release from the 3D printed loaded samples. Both peptides demonstrated to be non-cytotoxic within the MBC levels for macrophages and preosteoblasts and did not influence their osteoimmunomodulatory behavior. The findings of this study indicate that the proposed concept is technically feasible and has the potential to be used for the development of bone implants with on-demand delivery systems to fight IAI without systemic or continuous local release of antibiotics.","3D printing; antibiotic-resistance infections; antimicrobial peptides; drug delivery; implant-associated infections; orthopedic implants","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:a878b31c-0ce2-457f-b41c-d67b94ab11ba","http://resolver.tudelft.nl/uuid:a878b31c-0ce2-457f-b41c-d67b94ab11ba","OpenFOAM design sensitivity analysis on a homogeneous low-crested structure with concrete elements seaward of a vertical seawall to reduce overtopping","Jonker, R. G. (Student TU Delft; Aveco de Bondt); AlYousif, A.Y. (TU Delft Hydraulic Structures and Flood Risk; Kuwait University); Hofland, Bas (TU Delft Hydraulic Structures and Flood Risk); Antonini, A. (TU Delft Coastal Engineering); Zoon, Arthur (Van Oord); Smith, Gregory (Van Oord)","","2024","This study treats a detached homogenous low-crested structure (HLCS) made of Cubipod concrete elements placed seaward of a vertical wall (forming a basin in between) to reduce overtopping. Assessing the complex hydrodynamics and effects of changing the geometry of such a system in relation to overtopping reduction is challenging. The numerical model OpenFOAM was applied to this end. Forchheimer coefficients for wave transmission and the flow through the HLCS were calibrated and validated using existing physical modeling data (α = 500 and β = 1.0, with varying porosity based on the Cubipod shape), while the effect of the basin and vertical seawall was determined fully numerically. The crest freeboard (Rc), crest width (B), and basin length (LB) were the main geometrical parameters that influenced the performance of the HLCS in reducing overtopping. An exponential decay was observed in the overtopping discharge when the values of these geometrical parameters increased. As LB increased, this decay was primarily due to the dissipation of the broken-wave bores. The largest gradient in the predicted overtopping discharge was noted at Rc/Hs,i ≈ 0, B/Hs,i ≈ 4.5, and LB/Lp ≈ 1.2, where Hs,i is the incident significant wave height and Lp is the peak wavelength in the basin.","CFD; Cubipod concrete elements; Homogeneous low-crested structure; OpenFOAM; Seawall overtopping; Wave transmission","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:64b92602-8cc0-4ae6-ba59-0f18fc22122e","http://resolver.tudelft.nl/uuid:64b92602-8cc0-4ae6-ba59-0f18fc22122e","Physics-Informed Neural Networks to Model and Control Robots: A Theoretical and Experimental Investigation","Liu, J. (TU Delft Learning & Autonomous Control); Borja, Pablo (Plymouth University); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR))","","2024","This work concerns the application of physics-informed neural networks to the modeling and control of complex robotic systems. Achieving this goal requires extending physics-informed neural networks to handle nonconservative effects. These learned models are proposed to combine with model-based controllers originally developed with first-principle models in mind. By combining standard and new techniques, precise control performance can be achieved while proving theoretical stability bounds. These validations include real-world experiments of motion prediction with a soft robot and trajectory tracking with a Franka Emika Panda manipulator.","dissipation; Euler–Lagrange equations; Hamiltonian neural networks; Lagrangian neural networks; model-based control; physics-informed neural networks; port-Hamiltonian systems","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:58fa63b1-db8f-49c1-b034-cd870f2c7cd8","http://resolver.tudelft.nl/uuid:58fa63b1-db8f-49c1-b034-cd870f2c7cd8","Can grassroots movements in water conflicts drive socio-technical transitions in water management systems?","Godinez Madrigal, J. (TU Delft Water Resources; IHE Delft Institute for Water Education); Van Cauwenbergh, Nora (IHE Delft Institute for Water Education; Vrije Universiteit Brussel); Ochoa-Garcia, Heliodoro (ITESO Jesuit University of Guadalajara); van der Zaag, P. (TU Delft Water Resources; IHE Delft Institute for Water Education)","","2024","Water conflicts open windows of opportunity for grassroots movements to transform water systems. However, academic fields studying social movements in socio-environmental conflicts are not well equipped to deal with complexity, non-linear dynamics, and emergent properties. Therefore, these fields rarely engage with long-term complex social processes and dynamics leading to systemic socio-technical changes. Researching water conflicts driven by grassroots movements, we ask whether and how the latter can influence a socio-technical transition of a water management regime. Through an emblematic water conflict in Mexico, we analyse the grassroots movement's trajectory since the conflict´s inception by following the dynamic process of developing agency. Our findings show that throughout the conflict, the grassroots movement accumulated and mobilized diverse capitals to initiate water management strategies and practices that catalysed change in the water management regime by stalling the implementation of large infrastructures. Eventually, this led to the inception of a sustainable and just transition.","Actor-oriented approach; Political ecology; Practice-oriented approach; Social arenas; Social capitals; Zapotillo project","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:3092514a-0bb8-450c-8bfa-619b1bf7cc08","http://resolver.tudelft.nl/uuid:3092514a-0bb8-450c-8bfa-619b1bf7cc08","The effects of three environmental factors on building evacuation time","Kinkel, E. (TU Delft Transport and Planning); van der Wal, C.N. (TU Delft System Engineering); Hoogendoorn, S.P. (TU Delft Transport and Planning)","","2024","Building fires can be considered a risk to the health and safety of occupants. Environmental factors in building fires might affect the speed of an evacuation. Therefore, in this study participants (N = 153) were tested in an experimental design for the effects of (1) a fire alarm, (2) darkness and (3) the use of emergency exit signs on building evacuation time. In addition, the effects of age and gender on evacuation time were investigated. The main results indicate that the combination of a fire alarm, darkness and not illuminated emergency exit signs had a significant negative influence on evacuation time, namely an increase in evacuation time of 26.6% respectively 28.1%. Another important finding is that age had a significant negative effect on evacuation time. The increase in evacuation time was at least 30.4% for participants aged 56 years or older compared to participants aged 18–25 years. For gender no significant effect was found. Building and safety managers can use these results by including longer evacuation time considerations – based on darkness and older age – in their evacuation plans. Future research should focus further on investigating the effects of personal and psychological characteristics on evacuation behaviour and evacuation time.","Building evacuation time; Emergency exit signage; Evacuation; Experiment; Fire alarm; Visibility","en","journal article","","","","","","","","","","Transport and Planning","Transport and Planning","","",""
"uuid:4b5ccb22-678b-451b-bf41-8671b36e01c9","http://resolver.tudelft.nl/uuid:4b5ccb22-678b-451b-bf41-8671b36e01c9","A One-Way Car-Sharing Based Approach for Combined Shared Mobility of Freight and Passengers","Anis, Summair (University of Genova); Sacco, Nicola (University of Genova); Saeednia, M. (TU Delft Transport and Planning)","","2024","Climate change stresses the need for research and development of innovative sustainable mobility solutions that provide reliable and convenient door-to-door services for both passengers and freight. The increase in urban population and the popularity of e-commerce further highlights the need for action. In this regard, crowd-shipping is often perceived as an efficient, cost-effective, and sustainable alternative (or complement) to the management of urban freight mobility through efficient utilization of current transportation capacities. In this framework, inspired by the concept of MaaS (Mobility as a Service) in integrating various forms of transport and transport-related services into a single on-demand mobility service, this paper proposes a car-sharing-based service for the combined mobility of passengers and freight. In doing so, one-way car-sharing and crowd-shipping concepts are integrated in order to serve part of the existing freight demand in a sustainable and cost-efficient way for users, societies, and the environment. An optimization model is proposed to optimally plan the activation of one-way car-sharing and crowd-shipping services and to determine the optimal number of vehicles to assign to them. Such decisions are aimed at minimizing the total imbalance by serving passenger and freight demand during different time periods. In doing so, the willingness of users to carry freight in their vehicles is also taken into consideration. The capability of the proposed approach is evaluated through representative numerical examples aimed at showing the impact of the model parameters on the solution.","crowd-shipping; integrated mobility solutions; shared mobility; sustainable mobility","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:59b02ec9-5c03-4b86-b8b8-9e886a7a4e2c","http://resolver.tudelft.nl/uuid:59b02ec9-5c03-4b86-b8b8-9e886a7a4e2c","2D and 3D Modelling Strategies to Reproduce the Response of Historical Masonry Buildings Subjected to Settlements","Prosperi, A. (TU Delft Applied Mechanics); Longo, M. (TU Delft Applied Mechanics); Korswagen, Paul A. (TU Delft Applied Mechanics); Korff, M. (TU Delft Geo-engineering); Rots, J.G. (TU Delft Applied Mechanics)","","2024","In this study, 2D and 3D modelling strategies are used to represent the behaviour of historical masonry buildings on strip foundations undergoing settlements. The application focuses on a two-story building, typical of the Dutch architectural heritage. An improved 2D modelling is presented: It includes the effect of the lateral walls to replicate the response of the detailed 3D models. The masonry strip foundation is modelled and supported by a no-tension interface, which represents the soil-foundation interaction. Two settlement configurations, hogging and sagging, are applied to the models, and their intensity is characterized using their angular distortion. The improved 2D model that includes the stiffness and weight of the lateral walls agrees in terms of displacements, stress and damage with the detailed 3D models. Conversely, the simplified 2D façade models without lateral walls exhibit different cracking, and damage from 2 to 7 times lower at an applied angular distortion of 2‰ (1/500). The improved 2D model requires less computational and modelling burden, resulting in analyses from 9 to 40 times faster than the 3D models. The results prove the importance of identifying and including the 3D effects that affect the response of structures subjected to settlements.","damage; masonry structures; numerical modelling; settlements","en","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:71253e50-4533-4855-a284-793ddac88c00","http://resolver.tudelft.nl/uuid:71253e50-4533-4855-a284-793ddac88c00","Six smart guidelines for high-tech manufacture on low-tech 3D printers: the case of the 3Flex","Trauzettel, F. (TU Delft Medical Instruments & Bio-Inspired Technology; Katholieke Universiteit Leuven); Vander Poorten, Emmanuel (Katholieke Universiteit Leuven); Ourak, Mouloud (Katholieke Universiteit Leuven); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Breedveld, P. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2024","While articulated surgical instruments have enabled the proliferation of minimally invasive interventions, procedures such as laparo-endoscopic single-site surgery are waning in popularity. One potential reason for this decline is a lack of sufficiently dexterous instruments. Although multi-steerable instruments exist, these are often complex and therefore expensive assemblies. Even when 3D printing was used to simplify the design of these instruments, the requirement for high-performance 3D printers limited the reduction in manufacturing costs. To tackle this issue, we propose six guidelines for converting a 3D printed compliant medical instrument from printing on a Digital Light Processing (DLP) printer to a Fused Filament Fabrication (FFF) printer. These guidelines provide a framework to manage and compensate for differences in the two processes to achieve comparable results at a reduced cost. The proposed guidelines were evaluated by assembling a FFF 3D printed prototype that shows equivalent performance to its DLP 3D printed counterpart.","3D printing; Hyper-redundant; medical devices; snake-like; surgical instruments","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:f466d058-abc3-4d3f-b916-61ad5afc8b2e","http://resolver.tudelft.nl/uuid:f466d058-abc3-4d3f-b916-61ad5afc8b2e","Fatigue behaviour of root crack in stiffener-to-deck plate weld at crossbeam of orthotropic bridge decks","Wu, W. (TU Delft Steel & Composite Structures); Veljkovic, M. (TU Delft Steel & Composite Structures); Kolstein, M.H. (TU Delft Steel & Composite Structures); Pijpers, Richard (TNO); Maljaars, Johan (Eindhoven University of Technology; TNO)","","2024","Steel Orthotropic Bridge Decks (OBDs) are widely used in long-span and movable bridges. Fatigue resistance analysis plays an important role in the design or assessment of OBDs. One possible fatigue failure is the crack initiating from the weld root of stiffener-to-deck plate connections at crossbeams. A full-scale experimental investigation in this study using a 20 mm thick deck plate with a dimension of 9.4 m × 5.1 m, including three crossbeams, represents the modern designed OBDs. The experiments show an arrest of crack propagation with a final crack depth of approximately 75% of the deck plate thickness. On the contrary, through thickness cracks develop in deck plates of 10 or 12 mm. Hot spot stress based fatigue detail categories (DC) using various failure criteria derived from the tests. Analysis with the effective notch stress shows that the DC has low sensitivity to the amount of weld penetration. The results of analyses with the eXtended Finite Element Method (XFEM), employed to analyse the fatigue crack propagation path and crack arrest, are in line with the experimental study.","Crack arrest; Fatigue resistance; Hot spot stress; Orthotropic bridge deck; Stiffener-to-deck plate weld at crossbeam","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:26c82303-0b72-4b8f-961c-40a5cfe9540a","http://resolver.tudelft.nl/uuid:26c82303-0b72-4b8f-961c-40a5cfe9540a","A quantitative comparison between the mHand Adapt passive adjustable hand prosthesis and its predecessor, the Delft Self-Grasping Hand","Krinis, S.L.L. (Student TU Delft; University of Salford); Chadwell, Alix (University of Salford); Kenney, Laurence (University of Salford); Smit, G. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2024","Introduction
The Delft Self-Grasping Hand (SGH) is an adjustable passive hand prosthesis that relies on wrist flexion to adjust the aperture of its grasp. The mechanism requires engagement of the contralateral hand meaning that hand is not available for other tasks. A commercialised version of this prosthesis, known as the mHand Adapt, includes a new release mechanism, which avoids the need to press a release button, and changes to the hand shape. This study is the first of its kind to compare two passive adjustable hand prostheses on the basis of quantitative scoring and contralateral hand involvement.
Methods
10 anatomically intact participants were asked to perform the Southampton Hand Assessment Procedure (SHAP) with the mHand. Functionality and contralateral hand involvement were recorded and compared against SGH data originating from a previous trial involving a nearly identical testing regime.
Results
mHand exhibited higher functionality scores and less contralateral hand interaction time, especially during release-aiding interactions. Additionally, a wider range of tasks could be completed using the mHand than the SGH.
Discussion
Geometric changes make the mHand more capable of manipulating smaller objects. The altered locking mechanism means some tasks can be performed without any contralateral hand involvement and a higher number of tasks do not require contralateral involvement when releasing. Some participants struggled with achieving a good initial grip due to the inability to tighten the grasp once already formed.
Conclusion
The mHand offers the user higher functionality scores with less contralateral hand interaction time and the ability to perform a wider range of tasks. However, there are some design trade-offs which may make it slightly harder to learn to use.
q-interpolation methods. Our framework is based on a sequential structure imposed on a Banach space, which allows us to deduce properties of interpolation methods from properties of sequential structures. Our framework has a formulation modelled after both the real and the complex interpolation methods. This enables us to extend various results, previously known only for either the real or the complex interpolation method, to all interpolation methods that fit into our framework. As applications, we prove an interpolation result for analytic operator families and an interpolation result for intersections.","Analytic operator family; Interpolation theory; Reiteration; Sequence structure","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:4a3ca713-2f5c-4934-8db0-d4b81efdc354","http://resolver.tudelft.nl/uuid:4a3ca713-2f5c-4934-8db0-d4b81efdc354","Microscopic fracture toughness of notched porous sintered Cu micro-cantilevers for power electronics packaging","Hu, D. (TU Delft Electronic Components, Technology and Materials); Du, L. (TU Delft Electronic Components, Technology and Materials); Alfreider, Markus (Montan University of Leoben); Fan, J. (TU Delft Electronic Components, Technology and Materials; Shanghai Engineering Technology Research Center for SiC Power Device; Fudan University); Kiener, Daniel (Montan University of Leoben); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2024","To fulfill the high-temperature application requirement of high-power electronics packaging, Cu nanoparticle sintering technology, with benefits in low-temperature processing and high-melting point, has attracted considerable attention as a promising candidate for the die-attach interconnect. Comprehensive mechanical characterization of the sintered layer at a microscale is necessary to deepen the understanding of the fracture behavior and improve the reliable design of materials. In this study, microscale cantilevers with different notch depths were fabricated in a 20 MPa sintered interconnect layer. Continuous dynamical fracture testing of the microcantilevers was conducted in situ in a scanning electron microscope to detail the failure characteristic of the porous sintered structure. The microscopic fracture toughness of different notched specimens was obtained from the J-integral in the frame of elastic-plastic fracture mechanics. Specimens with deeper notches presented higher resistance to crack extension, while geometry factors of notch-to-width ratio between 0.20 and 0.37 exhibited a relatively stable microscopic fracture toughness ranging from 3.2 ± 0.3 to 3.6 ± 0.1 MPa m1/2.","Continuous stiffness testing; Cu nanoparticles sintering; Elastic-plastic fracture mechanics; Microscopic fracture toughness","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:7732ecfc-1cca-4323-aa38-f49247654ac3","http://resolver.tudelft.nl/uuid:7732ecfc-1cca-4323-aa38-f49247654ac3","Fabrication of Living Entangled Network Composites Enabled by Mycelium","Wang, H. (TU Delft Aerospace Manufacturing Technologies; City University of Hong Kong); Tao, Jie (Nanjing University of Aeronautics and Astronautics); Wu, Zhangyu (Southeast University); Weiland, K.M. (TU Delft Group Masania); Wang, Zuankai (The Hong Kong Polytechnic University); Masania, K. (TU Delft Group Masania); Wang, B. (TU Delft Geo-engineering; City University of Hong Kong)","","2024","Organic polymer-based composite materials with favorable mechanical performance and functionalities are keystones to various modern industries; however, the environmental pollution stemming from their processing poses a great challenge. In this study, by finding an autonomous phase separating ability of fungal mycelium, a new material fabrication approach is introduced that leverages such biological metabolism-driven, mycelial growth-induced phase separation to bypass high-energy cost and labor-intensive synthetic methods. The resulting self-regenerative composites, featuring an entangled network structure of mycelium and assembled organic polymers, exhibit remarkable self-healing properties, being capable of reversing complete separation and restoring ≈90% of the original strength. These composites further show exceptional mechanical strength, with a high specific strength of 8.15 MPa g.cm−3, and low water absorption properties (≈33% after 15 days of immersion). This approach spearheads the development of state-of-the-art living composites, which directly utilize bioactive materials to “self-grow” into materials endowed with exceptional mechanical and functional properties.","living composites; mechanical properties; mycelium; phase separation","en","journal article","","","","","","","","","","","Aerospace Manufacturing Technologies","","",""
"uuid:f01cdc5a-a57a-466f-a5bc-a9f7fa796f07","http://resolver.tudelft.nl/uuid:f01cdc5a-a57a-466f-a5bc-a9f7fa796f07","Comparative assessment of generative models for transformer- and consumer-level load profiles generation","Xia, W. (TU Delft Intelligent Electrical Power Grids); Huang, Hanyue (Technische Universität München); Duque, Edgar Mauricio Salazar (Eindhoven University of Technology); Shengren, H. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Electrical Sustainable Energy); Vergara Barrios, P.P. (TU Delft Intelligent Electrical Power Grids)","","2024","Residential load profiles (RLPs) play an increasingly important role in the optimal operation and planning of distribution systems, particularly with the rising integration of low-carbon energy resources such as PV systems, electric vehicles, small-scale batteries, etc. Despite the prevalence of various data-driven models for generating consumption profiles, there is a lack of clear conclusions about their relative strengths and weaknesses. This study undertakes a comprehensive comparison of frequently used data-driven models in recent research, including Generative Adversarial Networks (GANs), Variational Autoencoders (VAE), Wasserstein GANs (WGAN), WGANs with Gradient Penalty (WGANGP), Gaussian Mixture Models (GMMs), and Gaussian Mixture Copulas (GMC). The presented comparison explores the effectiveness of the above-mentioned models on transformer- and consumer-level consumption profiles, as well as for different time resolutions (15-min, 30-min, and 60-min). The objective of this research is to elucidate the respective advantages and drawbacks of these models, thereby providing valuable insights for subsequent research in this field.","Consumption profiles; Distribution network; Generative adversarial networks; Generative models","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:39b1298f-64f9-4a1a-89ba-b2e62a405f9f","http://resolver.tudelft.nl/uuid:39b1298f-64f9-4a1a-89ba-b2e62a405f9f","Repeated failures of the giant Beshkiol Landslide and their impact on the long-term Naryn Basin floodings, Kyrgyz Tien Shan","Losen, J. (Aix Marseille Université); Rizza, M. (Université du Québec à Montréal; Aix Marseille Université); Nutz, A. (Aix Marseille Université); Henriquet, M. (TU Delft Astrodynamics & Space Missions; Aix Marseille Université); Schuster, M.S. (TU Delft Control & Simulation; Institut Terre et Environnement de Strasbourg (ITES)); Rakhmedinov, E. (National Academy of Sciences of the Kyrgyz Republic); Baikulov, S. (National Academy of Sciences of the Kyrgyz Republic); Abdrakhmatov, K. (National Academy of Sciences of the Kyrgyz Republic); Fleury, J. (Aix Marseille Université); Siame, L. (Aix Marseille Université)","","2024","Landslides are major hazards that lead to cataclysmic changes in regional physiography. Their consequences are particularly significant when they affect a river system, forming dammed-lake upstream that represents a high flood threat for the downstream region. The Naryn River is the largest river in the Kyrgyz Tien Shan and is of great economic importance. The Beshkiol Landslide, the largest one in Central Asia but of unknown age, has most likely blocked the Naryn River in the past during the Late Pleistocene, with evidence of thick lacustrine deposits as well as numerous paleo-shorelines preserved upstream. In this study, a detailed geomorphological and sedimentological analysis combined with luminescence and 14C dating provides a strong chronological framework to refine the dynamics between the Beshkiol landslides and dammed-lakes in the Naryn Basin. We propose that the Beshkiol Landslide was first triggered 51.9 ± 4.4 kyrs ago, with a 410 m-high dam that blocked the Naryn River. A first lake with a total volume of 121 ± 50 km3 lasted for >37.0 ± 5.1 kyrs, one of the longest landslide-dammed lake residence time ever documented in the world. Our sedimentological observations highlight a catastrophic lake outburst flood between 15.6 and 14.1 kyrs cal BP, likely related to a landslide dam breach. A short-lived phase of fluvial erosion impacted the whole Naryn Basin followed by a second landslide activation (280 m- high dam) and subsequent flooding by a second lake of 27 ± 10 km3. This second lake had a minimum residence time of 7.7 ± 1.3 kyrs before its final gradual drainage that was followed by a fluvial erosional phase still active today in the Naryn Basin. We also suggest that the distal unconsolidated part of the Beshkiol Landslide could be remobilized in the event of an earthquake and/or extreme rain episode, causing a potentially dam of the Naryn River, which would have strong regional economic impacts.","Dammed-lake; Kyrgyzstan; Landslide; Quaternary dating","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-09","","","Astrodynamics & Space Missions","","",""
"uuid:07c3a293-bac3-4cdd-80dc-17daf12fde2d","http://resolver.tudelft.nl/uuid:07c3a293-bac3-4cdd-80dc-17daf12fde2d","Multiplayer boycotts in convex games","Fokkink, R.J. (TU Delft Applied Probability); de Munnik, J.C. (TU Delft Delft Institute of Applied Mathematics; TU Delft Electrical Engineering, Mathematics and Computer Science)","","2024","We extend the notion of boycotts between players in cooperative games to boycotts between coalitions. We prove that convex games offer a proper setting for studying these games. Boycotts have a heterogeneous effect. Individual players that are targeted by many-on-one boycotts suffer most, while non-participating players may actually benefit from a boycott.","Cooperative games; Impact of boycotts; Shapley value","en","journal article","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","Applied Probability","","",""
"uuid:0b54ffe2-f0a7-44d6-87da-5cbefcbc428f","http://resolver.tudelft.nl/uuid:0b54ffe2-f0a7-44d6-87da-5cbefcbc428f","The modulus of a vector measure","de Pagter, B. (TU Delft Analysis); Ricker, Werner J. (Katholische Universität Eichstätt - Ingolstadt)","","2024","It is known that if L is a Dedekind complete Riesz space and (Ω, Σ) is a measurable space, then the partially ordered linear space of all L-valued, finitely additive and order bounded vector measures m on Σ is also a Dedekind complete Riesz space (for the natural operations). In particular, the modulus |m|o of m exists in this space of measures and |m|o is given by a well known formula. Some 20 years ago L. Drewnowski and W. Wnuk asked the question (for L not Dedekind complete) if there is an m for which |m|o exists but, |m|o is not given by the usual formula? We show that such a measure m does indeed exist.","finitely additive; modulus; order bounded; Vector measure","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-09","","","Analysis","","",""
"uuid:9a8d0ef5-3ea1-41c8-abac-ee1241579348","http://resolver.tudelft.nl/uuid:9a8d0ef5-3ea1-41c8-abac-ee1241579348","A Parametric Modelling Approach for Energy Retrofitting Heritage Buildings: The Case of Amsterdam City Centre","Dang, M.K. (TU Delft Environmental & Climate Design; TU Delft Amsterdam Institute for Advanced Metropolitan Solutions); van den Dobbelsteen, A.A.J.F. (TU Delft Environmental & Climate Design; TU Delft Amsterdam Institute for Advanced Metropolitan Solutions); Voskuilen, P.C. (TU Delft Amsterdam Institute for Advanced Metropolitan Solutions)","","2024","The city of Amsterdam has ambitious goals to achieve a 95% reduction in carbon emissions by 2050 and to phase out natural gas by 2040. Disconnecting the building stock from natural gas requires well-ventilated and well-insulated buildings and a switch to renewable energy sources, making optimal use of heat pumps and sustainable heating solutions available locally. Most buildings in the historical city centre are protected and often insufficiently insulated, leading to increased energy use and a poor thermal environment. Standard retrofitting interventions may be restricted, requiring new approaches to balancing the need for energy efficiency and the preservation of heritage significance. With the case of the Amsterdam City Centre, the goal of this research is to present a parametric modelling approach for energy retrofitting heritage buildings and to identify minimum requirements for preparing the residential stock to lower temperature heat (LTH). Using parametric design and bottom-up energy modelling, the research estimates that a 69.1% of natural gas reduction could be achieved when upgrading the buildings to lower temperature (LT). Results of this paper also demonstrate how the applied approach can be used to guide decisions on the improvement in energy performance of the historic built environment.","built heritage; energy retrofitting; parametric modelling; simulation; low-temperature heating","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:9f4bd225-420d-4992-8ca5-ce02144a738b","http://resolver.tudelft.nl/uuid:9f4bd225-420d-4992-8ca5-ce02144a738b","Decentralized multi-agent path finding framework and strategies based on automated negotiation","Keskin, M. Onur (Özyeğin University); Cantürk, Furkan (Özyeğin University); Eran, Cihan (Özyeğin University); Aydoğan, Reyhan (TU Delft Interactive Intelligence; Özyeğin University)","","2024","This paper introduces a negotiation framework to solve the Multi-Agent Path Finding (MAPF) Problem for self-interested agents in a decentralized fashion. The framework aims to achieve a good trade-off between the privacy of the agents and the effectiveness of solutions. Accordingly, a token-based bilateral negotiation protocol and two negotiation strategies are presented. The experimental results over four different settings of the MAPF problem show that the proposed approach could find conflict-free path solutions albeit suboptimally, especially when the search space is large and high-density. In contrast, Explicit Estimation Conflict-Based Search (EECBS) struggles to find optimal solutions. Besides, deploying a sophisticated negotiation strategy that utilizes information about local density for generating alternative paths can yield remarkably better solution performance in this negotiation framework.","Decentralized coordination; Multi-agent path finding; Negotiation","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:96b17a56-bc51-47f5-aece-4d1774482d5c","http://resolver.tudelft.nl/uuid:96b17a56-bc51-47f5-aece-4d1774482d5c","Towards reusable building blocks for agent-based modelling and theory development","Berger, Uta (Technische Universität Dresden); Bell, Andrew (Boston University); Barton, C. Michael (Arizona State University); Chappin, E.J.L. (TU Delft Energie and Industrie); Dreßler, Gunnar (Helmholtz Centre for Environmental Research - UFZ); Filatova, T. (TU Delft Policy Analysis); Fronville, Thibault; Lee, Allen (Arizona State University); van Loon, Emiel (Universiteit van Amsterdam); Lorscheid, Iris; Meyer, Matthias (Hamburg University of Technology); Müller, Birgit (Helmholtz Centre for Environmental Research - UFZ; BTU Cottbus-Senftenberg); Piou, Cyril (CIRAD); Radchuk, Viktoriia (Leibniz Institute for Zoo and Wildlife Research); Roxburgh, Nicholas (The James Hutton Institute); Schüler, Lennart (Helmholtz Centre for Environmental Research - UFZ); Troost, Christian (University of Hohenheim); Wijermans, Nanda (Stockholm University); Williams, Tim G. (Vrije Universiteit Amsterdam); Wimmler, Marie Christin (Technische Universität Dresden); Grimm, Volker (Helmholtz Centre for Environmental Research - UFZ)","","2024","Despite the increasing use of standards for documenting and testing agent-based models (ABMs) and sharing of open access code, most ABMs are still developed from scratch. This is not only inefficient, but also leads to ad hoc and often inconsistent implementations of the same theories in computational code and delays progress in the exploration of the functioning of complex social-ecological systems (SES). We argue that reusable building blocks (RBBs) known from professional software development can mitigate these issues. An RBB is a submodel that represents a particular mechanism or process that is relevant across many ABMs in an application domain, such as plant competition in vegetation models, or reinforcement learning in a behavioural model. RBBs need to be distinguished from modules, which represent entire subsystems and include more than one mechanism and process. While linking modules faces the same challenges as integrating different models in general, RBBs are “atomic” enough to be more easily re-used in different contexts. We describe and provide examples from different domains for how and why building blocks are used in software development, and the benefits of doing so for the ABM community and to individual modellers. We propose a template to guide the development and publication of RBBs and provide example RBBs that use this template. Most importantly, we propose and initiate a strategy for community-based development, sharing and use of RBBs. Individual modellers can have a much greater impact in their field with an RBB than with a single paper, while the community will benefit from increased coherence, facilitating the development of theory for both the behaviour of agents and the systems they form. We invite peers to upload and share their RBBs via our website - preferably referenced by a DOI (digital object identifier obtained e.g. via Zenodo). After a critical mass of candidate RBBs has accumulated, feedback and discussion can take place and both the template and the scope of the envisioned platform can be improved.","Best practices; Complex adaptive systems; Individual-based modelling; Software engineering; Theory development","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:373b104d-01b8-4a4f-99f5-b432aa23add6","http://resolver.tudelft.nl/uuid:373b104d-01b8-4a4f-99f5-b432aa23add6","Do network management and trust matter for network outcomes? A meta-analysis and research agenda","George, Bert (City University of Hong Kong); Klijn, Erik Hans (Erasmus Universiteit Rotterdam); Ropes, Emma (Erasmus Universiteit Rotterdam); Sattlegger, A.S. (TU Delft Information and Communication Technology)","","2024","Collaborative and network governance assume that network management and trust matter for network outcomes. We test this assumption by conducting a meta-analysis of public administration studies investigating the correlation between network management and network outcomes (50 effect sizes), and trust and network outcomes (28 effect sizes). While both matter for achieving network outcomes across countries, trust matters most. Trust is particularly important for achieving process outcomes and multiple network management strategies combined are more effective than separate single strategies. A research agenda centred on complex modelling, comparative research and using mixed, multisource, experimental and longitudinal data is stipulated in conclusion.","meta-analysis; Network management; network outcomes; network performance; network trust","en","journal article","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:e6f5e9f2-75f1-487b-b993-03b266bfae32","http://resolver.tudelft.nl/uuid:e6f5e9f2-75f1-487b-b993-03b266bfae32","Long distance accessibility by air transportation: a literature review","Yoo, Sihyun (TU Delft Transport and Logistics); van Wee, G.P. (TU Delft Transport and Logistics); Molin, E.J.E. (TU Delft Transport and Logistics)","","2024","Air transportation is a mode of transport developed specifically to provide access to long-distance destinations that may otherwise be infeasible or at least more difficult to reach. Accordingly, there are distinct aspects of air travel that require consideration when assessing accessibility by air transportation, which are not addressed in general local accessibility studies. This paper reviews studies of accessibility by air transportation. The studies in question were published in academic journals, and we analysed them using the broad theoretical framework of accessibility of Geurs and van Wee [Accessibility evaluation of land-use and transport strategies: Review and research directions. Journal of Transport Geography, 12(2), 127–140]. We discuss the characteristics of accessibility by air transportation to consider when designing research, as well as trends and knowledge gaps in the existing literature. An important gap is that, while there is a growing interest towards perceived accessibility by air transportation, accessibility measures based on this perspective have not yet been applied yet. Additionally, the literature is largely focused on the transport and land-use components of accessibility as opposed to the individual and temporal components. We finish by discussing the benefits and drawbacks of directly applying conventional accessibility measures for research on accessibility by air transportation, as well as options to modify the temporal component of conventional person-based accessibility measures for better applicability in the air travel context.","Accessibility; accessibility review; air transportation; literature review; long distance accessibility","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:14f95d54-4113-4225-a040-79c35dbc0714","http://resolver.tudelft.nl/uuid:14f95d54-4113-4225-a040-79c35dbc0714","Longitudinal analysis of interorganizational collaborative networks of cross-laminated timber (CLT) construction","Said, Hisham (Santa Clara University); Rajagopalan, Aswathy (Santa Clara University); Hall, Daniel M. (TU Delft Design & Construction Management)","","2024","Purpose
Cross-laminated timber (CLT) is an innovative construction material that provides a balanced mix of structural stiffness, fabrication flexibility and sustainability. CLT development and innovation diffusion require close collaborations between its supply chain architectural, engineering, construction and manufacturing (AECM) stakeholders. As such, the purpose of this study is to provide a preliminary understanding of the knowledge diffusion and innovation process of CLT construction.
Design/methodology/approach
The study implemented a longitudinal social network analysis of the AECM companies involved in 100 CLT projects in the UK. The project data were acquired from an industry publication and decoded in the form of a multimode project-company network, which was projected into a single-mode company collaborative network. This complete network was filtered into a four-phase network to allow the longitudinal analysis of the CLT collaborations over time. A set of network and node social network analysis metrics was used to characterize the topology patters of the network and the centrality of the companies.
Findings
The study highlighted the scale-free structure of the CLT collaborative network that depends on the influential hubs of timber manufacturers, engineers and contractors to accelerate the innovation diffusion. However, such CLT supply collaborative network structure is more vulnerable to disruptions due to its dependence on these few prominent hubs. Also, the industry collaborative network’s decreased modularity confirms the maturity of the CLT technology and the formation of cohesive clusters of innovation partners. The macro analysis approach of the study highlighted the critical role of supply chain upstream stakeholders due to their higher centralities in the collaborative network. Stronger collaborations were found between the supply chain upstream stakeholders (timber manufacturers) and downstream stakeholders (architects and main contractors).
Originality/value
The study contributes to the field of industrialized and CLT construction by characterizing the collaborative networks between CLT supply chain stakeholders that are critical to propose governmental policies and industry initiatives to advance this sustainable construction material.","knowledge management; innovation; social network analysis; supply chain management; organizational learning; construction technology","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-11","","","Design & Construction Management","","",""
"uuid:858857a1-0023-404a-89ab-92cd337667e6","http://resolver.tudelft.nl/uuid:858857a1-0023-404a-89ab-92cd337667e6","Correction to: Ballistic Majorana nanowire devices (Nature Nanotechnology, (2018), 13, 3, (192-197), 10.1038/s41565-017-0032-8)","Gül, Önder (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Zhang, H. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bommer, J.D.S. (TU Delft BUS/Quantum Delft; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); de Moor, M.W.A. (TU Delft BUS/Quantum Delft; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Car, Diana (Eindhoven University of Technology); Plissard, Sébastien R. (Eindhoven University of Technology; Université de Toulouse); Bakkers, E.P.A.M. (TU Delft QN/Bakkers Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; Eindhoven University of Technology); Geresdi, A. (TU Delft QRD/Geresdi Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; Microsoft Quantum Lab Delft)","","2024","Correction to: Nature Nanotechnologyhttps://doi.org/10.1038/s41565-017-0032-8, published online 15 January 2018. The Letter reports Majorana signatures in hybrid InSb semiconductor nanowire–NbTiN superconductor devices. The devices exhibit a conductance plateau near the conductance quantum 2e2/h at bias voltages above the superconducting gap (normal conductance), accompanied by an enhanced Andreev conductance at bias voltages below the superconducting gap (subgap conductance). We have attributed these experimental observations to ballistic transport as supported by a theoretical analysis1, finding mean free paths on the order of or larger than the effective wire segment (the segment covered by the superconducting electrode). Here, we correct errors discovered on reanalysis of the original data2, following concerns raised by readers. Due to the age of the paper, it cannot be corrected directly in the original publication, thus the updates are provided via this amendment. We provide additional discussion on the claim of ballistic transport so as to avoid misinterpretations. External peer review of the reanalysis concluded that the claims in the Letter remain. An extended public repository including data obtained from nanowire devices that were not included in the publication can be found in ref. 2. We note the lack of a series of flat and precisely quantized conductance plateaus (a staircase), a clear ballistic transport characteristic (see the two newly included Supplementary Figs. 1 and 7 showing larger voltage ranges of Fig. 1 and the original Supplementary Fig. 5). Our earlier studies on ballistic transport in nanowire devices3,4 indicate that vapour–liquid–solid nanowires do not have the proper geometry for observing a conductance staircase without the application of a magnetic field perpendicular to the wire axis, which requires ideal (Landauer) reservoirs interfacing the ballistic region, absorbing charge carriers with near-unit probability. Similar to our earlier studies, ohmic contacts in the present nanowire devices do not satisfy the conditions of Landauer reservoirs. However, the transport in the effective wire segment can nevertheless be ballistic whose characteristic is a plateau feature near 2e2/h in normal conductance together with an enhanced Andreev conductance. Importantly, precise quantization is not realistic, prevented by the two-terminal device geometry, inevitably decreasing the conductance. In summary, a plateau feature with an enhanced Andreev conductance together with our theoretical analyses indicate that a large fraction of transport is ballistic over distances of the order of our device length. We add a discussion to the main text of the Letter as follows: “… followed by a dip in conductance due to channel mixing20 [ref. 1 below]. We do not observe higher plateaus (Supplementary Figs. 1 and 7), which we attribute to the contacts not satisfying the conditions of Landauer reservoirs, resulting in residual scattering more effective at larger conductance. This is in line with our earlier studies25,39 [refs. 4,5 below] which indicated that vapour–liquid–solid nanowires do not have the proper geometry for observing a conductance staircase without the application of a perpendicular magnetic field. From the absence of quantum dots, the observed induced gap …”. The following text should also have been included in the abstract: “… exhibiting clear ballistic transport properties manifested by a conductance plateau with an Andreev enhancement, albeit lacking a quantized conductance staircase hindered by the device geometry.” The conductance values reported in the publication are ~8% lower (near 2e2/h) than the actual value (corrected Fig. 1). This deviation is due to a drop in the gain of the current-to-voltage amplifier at an ac excitation frequency of 67 Hz5. As a result, there is a slight change in the Andreev conductance enhancement factor and the superconducting contact transparency extracted from the enhancement (a comparison between the values quoted in the publication and the corrected ones is given below in B). The general conclusions do not rely on the exact value of the conductance as precise quantization is not expected due to the two-terminal device geometry. The subtracted series resistance of 3 kΩ in the original Fig. 1 was an overestimation (see corrected Fig. 1 in the Supplementary Data file). The subtraction of 3 kΩ was not mentioned in the original publication. A comparison of the original and corrected Fig. 1 is presented in a Supplementary Data file accompanying this correction. For all the figures in the original publication except Fig. 1, we either subtracted a contact resistance value of 0.5 kΩ, which is an underestimation1, or no resistance at all. We note that in tunneling measurements the overall resistance is significantly higher than the normal metal contact resistance whose contribution can therefore be neglected. Figure 1, however, was used to estimate the superconducting contact transparency and Andreev enhancement in the high conductance regime, requiring a realistic exclusion of the contact resistance. Following our previous paper4, which found normal metal contact resistance values between 1.5–3.25 kΩ per contact and was based on fitting the measured conductance using theory (single mode interfacing a superconductor), which provided reasonable agreement after excluding 3 kΩ, we subtracted 3 kΩ to exclude the resistance of the normal metal contact. During our reanalysis, we have discovered that the minimum resistance of this device at the largest applied gate voltages is 2.9 kΩ, a value providing an upper bound on the contact resistance. Here, 2.9 kΩ would be the contact resistance under the assumption that the nanowire itself has zero resistance at largest gate voltages. The contact resistance can be estimated with an alternative method by subtracting a series resistance to match the observed conductance plateau at bias voltages above the superconducting gap to the expected quantized value, a procedure not done in the original publication. By taking the conductance averaged at positive and negative |V| ~ 1.7 mV (around the largest bias voltages available for this analysis) we find that the quantized value is reached for a contact resistance of 0.77 kΩ. (Considering only the positive bias and separately only the negative bias results in a range of 0–2.13 kΩ for the contact resistance.) In our corrected estimate of the contact resistance, we have applied the calibration procedure5 that corrects for ac circuit effects, uses calibrated values for the series resistance of the setup where Fig. 1 was measured and directly corrects the error listed in A above. Upon reanalysis we estimate the following contact resistance values, enhancement factors and transparencies: (Table presented.) Contact resistance Enhancement factor Transparency Lower bound 0 kΩ 1.26 0.88 Conservative estimation1 (used in corrected Fig. 1) 0.5 kΩ 1.32 0.90 Current best estimate 0.77 kΩ 1.36 0.90 Original estimate in paper 3 kΩ >1.5 >0.93 The corrected superconducting contact transparency value of 0.9 does not affect the claim of high transparency. The claim of ballistic transport does not rest on the exact value of the conductance plateau and hence is also unaffected. The original Methods section omits the indication of subtracted series resistances which account for the normal metal contact resistance in each figure. The following is included here for the corrected Methods: The original Methods section omits the indication of subtracted series resistances which account for the normal metal contact resistance in each figure. The following is included here for the corrected Methods: “Contact resistance treatment. A fixed-value series resistance of 0.5 kΩ has been subtracted in Figs. 1 and 4, Supplementary Figs. 1, 2b,c and 4–9 to account for the contact resistance of the normal metal lead. This value is smaller than the lowest contact resistance we have obtained for InSb nanowire devices25 (ref. 4 below), which makes the interface transparency estimated from Fig. 1 a lower bound. For the remaining figures, no series resistance has been subtracted to account for the normal metal contact resistance.” In the original Supplementary Fig. 5 (now Supplementary Fig. 6), a charge jump was corrected by removal of 12 line traces (corresponding to +0.15 V to +0.04 V in gate voltage in the measured data) and offset of the gate voltage axis by 0.12 V after the charge jump (–1 V to +0.03 V) to maintain continuity of the axis. This processing was not mentioned in the original publication. The corrected Supplementary Fig. 6 excludes this processing and represents the data as measured. In the original Supplementary Fig. 5 (now Supplementary Fig. 6), a charge jump was corrected by removal of 12 line traces (corresponding to +0.15 V to +0.04 V in gate voltage in the measured data) and offset of the gate voltage axis by 0.12 V after the charge jump (–1 V to +0.03 V) to maintain continuity of the axis. This processing was not mentioned in the original publication. The corrected Supplementary Fig. 6 excludes this processing and represents the data as measured. A comparison of the original and corrected Fig. SI5 (now Fig. SI6) is presented in a Supplementary Data file accompanying this correction. Original Supplementary Fig. 1f (now Supplementary Fig. 2f): The offset mentioned in the caption is erroneously given as 0.006 × 2e2/h but is 0.01 × 2e2/h. Original Supplementary Fig. 4a,b (now Supplementary Fig. 5a,b) were indicated to present data from Fig. 2a (or original Supplementary Fig. 1a). This is incorrect. The data used are from the original Supplementary Fig. 1b (now Supplementary Fig. 2b) which has the same measurement settings as in Fig. 2a except the barrier gate is –1.5 V (the barrier gate is –1.4 V in Fig. 2a or original Supplementary Fig. 1a). In the original panels c–e of Supplementary Fig. 7 (now Supplementary Fig. 9c–e) the bias polarity is mistakenly inverted.","","en","journal article","","","","","","Corrigendum DOI 10.1038/s41565-0170032-8 Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-11","","","QRD/Kouwenhoven Lab","","",""
"uuid:888a8ad0-fd2f-4d82-86ed-dfb7f0397d2a","http://resolver.tudelft.nl/uuid:888a8ad0-fd2f-4d82-86ed-dfb7f0397d2a","Challenges and opportunities for process intensification in Europe from a process systems engineering perspective","Li, Q. (TU Delft ChemE/Process Systems Engineering); Somoza Tornos, A. (TU Delft ChemE/Process Systems Engineering); Grievink, J. (TU Delft ChemE/Product and Process Engineering); Kiss, A.A. (TU Delft ChemE/Process Systems Engineering)","","2024","Process Intensification (PI) is an effective way to enhance process efficiency and sustainability at affordable costs and efforts, attracting particular interest in the European area, as one of the most important chemical production areas in the world. PI primarily contributes by developing and testing new processing technologies that once integrated within a process improve the overall process performance substantially but as a result, it may alter the overall process (flowsheet) structure and its dynamic behavior. As such PI plays a key role in improving energy efficiency, optimizing resource allocation, and reducing environmental impact of industrial processes, and thereby leading to a cost-effective, eco-efficient, low-carbon and sustainable industry. However, along with opportunities, the PI new technologies have challenges related to failures in longer-term performance. In this respect, Process Systems Engineering (PSE) stance is more on integration aspects of new PI technologies into processes by making process (re)designs, doing operability studies, and performance optimizations within a supply chain setting. PSE contributes to overcoming the challenges by providing systematic approaches for the design and optimization of PI technologies. This perspective paper is a lightly referenced scholarly opinion piece about the status and directions of process intensification field from a PSE viewpoint. Primarily, it focuses on PSE perspectives towards sustainable lower energy usage process systems and provides a brief overview of the current situation in Europe. It also emphasizes the key challenges and opportunities for (new) PI technologies considering their integration in a process in terms of process synthesis and design, process flowsheet optimization, process and plantwide control, (green) electrification, sustainability improvements. Potential research directions on these aspects are given from an industrial and academic perspective of the authors.","ecoefficiency; energy efficiency; fluid separation; process intensification; process systems engineering","en","journal article","","","","","","","","","","","ChemE/Process Systems Engineering","","",""
"uuid:3150902c-b135-4343-b3aa-57b585946d34","http://resolver.tudelft.nl/uuid:3150902c-b135-4343-b3aa-57b585946d34","Norming and dense sets of extreme points of the unit ball in spaces of bounded Lipschitz functions","Hille, Sander C. (Universiteit Leiden); Theewis, E.S. (TU Delft Analysis)","","2024","On spaces of finite signed Borel measures on a metric space one has introduced the Fortet-Mourier and Dudley norms, by embedding the measures into the dual space of the Banach space of bounded Lipschitz functions, equipped with different – but equivalent – norms: the FM-norm and the BL-norm, respectively. The norm of such a measure is then obtained by maximising the value of the measure when applied by integration to extremal functions of the unit ball. We introduce Lipschitz extension operators, essentially based on those defined by McShane, and investigate their properties. A remarkable one is that non-trivial extreme points are mapped to non-trivial extreme points of FM- and BL-norm unit balls. Using these extension operators, we define suitable ‘small’ subsets of extremal functions that are weak-star dense in the full set of extreme points of the unit ball, for any underlying metric space. For connected metric spaces, we additionally find a larger set of extremal functions for the BL-norm, similar to such a set that was defined previously by J. Johnson for the FM-norm. This set is then also weak-star dense in the extremal functions. These results may open an avenue to obtaining computational approaches for the Dudley norm on signed Borel measures.","Extreme points; Unit ball; Lipschitz functions; Norming sets; Metric analysis","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:75b47724-a226-4fe9-82ca-4b5824df1810","http://resolver.tudelft.nl/uuid:75b47724-a226-4fe9-82ca-4b5824df1810","Structural and magnetic properties of YNi4-xCoxSi alloys","Gai, H. (TU Delft RST/Fundamental Aspects of Materials and Energy); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2024","The transition-metal based alloy system YNi 4-xCo xSi shows a second-order ferromagnetic-to-paramagnetic transition near room temperature. Here, the magnetic structure, the magnetocaloric properties and the magnetic anisotropy of YNi 4-xCo xSi (x = 0–4) are investigated. For x = 3.5, 3.75 and 4.0 a Curie temperature near room temperature is observed with T C = 250, 283 and 310 K, respectively. In orientated YNi 4-xCo xSi powder samples the c axis of the hexagonal crystal structure is found to be the easy magnetic axis, with a large dominant K 2 anisotropy constant (K 2 > K 1 > 0). The magnetic structure and the preferred atomic position for Ni are demonstrated by neutron diffraction measurements. We have found a dramatic decrease in the magnetic moment at the 3 g site in the CaCu 5-type structure (space group P6/mmm), the saturation magnetization and the Curie temperature with increasing Ni concentration.","","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:99e01587-21a2-4d19-87ec-366f3d3b9b8d","http://resolver.tudelft.nl/uuid:99e01587-21a2-4d19-87ec-366f3d3b9b8d","Designing lithium halide solid electrolytes","Wang, Q. (TU Delft RST/Storage of Electrochemical Energy); Zhou, Yunan (Tsinghua University); Wang, Xuelong (Brookhaven National Laboratory); Guo, Hao (China Institute of Atomic Energy); Gong, Shuiping (Shanghai Jiao Tong University); Yao, Zhenpeng (Shanghai Jiao Tong University); Ganapathy, S. (TU Delft RST/Storage of Electrochemical Energy; TU Delft RID/TS/Instrumenten groep); Zhao, C. (TU Delft RST/Storage of Electrochemical Energy); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2024","All-solid-state lithium batteries have attracted widespread attention for next-generation energy storage, potentially providing enhanced safety and cycling stability. The performance of such batteries relies on solid electrolyte materials; hence many structures/phases are being investigated with increasing compositional complexity. Among the various solid electrolytes, lithium halides show promising ionic conductivity and cathode compatibility, however, there are no effective guidelines when moving toward complex compositions that go beyond ab-initio modeling. Here, we show that ionic potential, the ratio of charge number and ion radius, can effectively capture the key interactions within halide materials, making it possible to guide the design of the representative crystal structures. This is demonstrated by the preparation of a family of complex layered halides that combine an enhanced conductivity with a favorable isometric morphology, induced by the high configurational entropy. This work provides insights into the characteristics of complex halide phases and presents a methodology for designing solid materials.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:e43792be-e3d8-4f44-a780-a1b1c40370af","http://resolver.tudelft.nl/uuid:e43792be-e3d8-4f44-a780-a1b1c40370af","Near-infrared light-driven asymmetric photolytic reduction of ketone using inorganic-enzyme hybrid biocatalyst","Qiao, Li (Hangzhou Normal University); Zhang, Jing (Hangzhou Normal University); Jiang, Yongjian (Hangzhou Normal University); Ma, Bianqin (Hangzhou Normal University); Chen, Haomin (Hangzhou Normal University); Gao, Peng (Hangzhou Normal University); Zhang, Pengfei (Hangzhou Normal University); Wang, Anming (Hangzhou Normal University); Sheldon, R.A. (TU Delft BT/Biocatalysis; University of Witwatersrand)","","2024","Effective photolytic regeneration of the NAD(P)H cofactor in enzymatic reductions is an important and elusive goal in biocatalysis. It can, in principle, be achieved using a near-infrared light (NIR) driven artificial photosynthesis system employing H2O as the sacrificial reductant. To this end we utilized TiO2/reduced graphene quantum dots (r-GQDs), combined with a novel rhodium electron mediator, to continuously supply NADPH in situ for aldo-keto reductase (AKR) mediated asymmetric reductions under NIR irradiation. This upconversion system, in which the Ti-O-C bonds formed between r-GQDs and TiO2 enabled efficient interfacial charge transfer, was able to regenerate NADPH efficiently in 64 % yield in 105 min. Based on this, the pharmaceutical intermediate (R)-1-(3,5-bis(trifluoromethyl)phenyl)ethan-1-ol was obtained, in 84 % yield and 99.98 % ee, by reduction of the corresponding ketone. The photo-enzymatic system is recyclable with a polymeric electron mediator, which maintained 66 % of its original catalytic efficiency and excellent enantioselectivity (99.9 % ee) after 6 cycles.","Aldo-ketone reductase; Cofactor regeneration; Ketone reduction; Photo-enzymatic reduction; TiO/r-GQDs nanocomposite; Upconversion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-04","","","BT/Biocatalysis","","",""
"uuid:95828fcd-3ab5-4d1b-85b5-a5e209d9ca4f","http://resolver.tudelft.nl/uuid:95828fcd-3ab5-4d1b-85b5-a5e209d9ca4f","Failure probability estimation of natural gas pipelines due to hydrogen embrittlement using an improved fuzzy fault tree approach","Qin, Guojin (Southwest Petroleum University; Shanghai Jiao Tong University); Li, Ruiling (Southwest Petroleum University); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia; University of Tasmania); Wang, Bohong (Zhejiang Ocean University); Ni, Pingan (Xi'an University of Architecture and Technology); Wang, Yihuan (Southwest Petroleum University; Shanghai Jiao Tong University)","","2024","The estimation of failure probability is challenging in hydrogen embrittlement in steel pipelines due to the complexity of the synergistic effect of multiple factors. The present study proposed a hybrid methodology to estimate the failure probability of steel pipelines due to hydrogen embrittlement. The methodology integrates the fault tree analysis with a fuzzy comprehensive evaluation. Fault tree analysis captures the logical relationships between influencing indicators to develop a new assessment model of hydrogen embrittlement in steel pipelines. An improved fuzzy fault tree analysis method was proposed to process aleatoric and epistemic uncertainties to estimate the probability of each basic event due to the difficulty in obtaining the actual probabilities. The failure probability of blended hydrogen natural gas pipelines was estimated by considering the correlation of events. A case study demonstrated the applicability of the proposed method. Maintenance measures can be implemented according to the evaluation results to ensure pipeline safety.","Blended hydrogen natural gas pipelines; Failure probability estimation; Fuzzy fault tree analysis; Hydrogen blistering; Hydrogen embrittlement; Hydrogen-induced cracking","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-09-05","","","Safety and Security Science","","",""
"uuid:706447a5-6e75-4751-8bcc-4ab593c53bfd","http://resolver.tudelft.nl/uuid:706447a5-6e75-4751-8bcc-4ab593c53bfd","Elucidating the role of water in collagen self-assembly by isotopically modulating collagen hydration","Giubertoni, Giulia (Universiteit van Amsterdam); Feng, Liru (Universiteit van Amsterdam); Klein, Kevin (Institute of Science and Technology Austria; University College London (UCL)); Giannetti, Guido (Universiteit van Amsterdam); Rutten, Luco (Radboud University Medical Center); van der Net, J.J.P. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft); Castro Linares, G. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft); Koenderink, G.H. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft); Woutersen, Sander (Universiteit van Amsterdam)","","2024","Water is known to play an important role in collagen self-assembly, but it is still largely unclear how water-collagen interactions influence the assembly process and determine the fibril network properties. Here, we use the H[Formula: see text]O/D[Formula: see text]O isotope effect on the hydrogen-bond strength in water to investigate the role of hydration in collagen self-assembly. We dissolve collagen in H[Formula: see text]O and D[Formula: see text]O and compare the growth kinetics and the structure of the collagen assemblies formed in these water isotopomers. Surprisingly, collagen assembly occurs ten times faster in D[Formula: see text]O than in H[Formula: see text]O, and collagen in D[Formula: see text]O self-assembles into much thinner fibrils, that form a more inhomogeneous and softer network, with a fourfold reduction in elastic modulus when compared to H[Formula: see text]O. Combining spectroscopic measurements with atomistic simulations, we show that collagen in D[Formula: see text]O is less hydrated than in H[Formula: see text]O. This partial dehydration lowers the enthalpic penalty for water removal and reorganization at the collagen-water interface, increasing the self-assembly rate and the number of nucleation centers, leading to thinner fibrils and a softer network. Coarse-grained simulations show that the acceleration in the initial nucleation rate can be reproduced by the enhancement of electrostatic interactions. These results show that water acts as a mediator between collagen monomers, by modulating their interactions so as to optimize the assembly process and, thus, the final network properties. We believe that isotopically modulating the hydration of proteins can be a valuable method to investigate the role of water in protein structural dynamics and protein self-assembly.","collagen tissue; hydration; mechanics; molecular structure","en","journal article","","","","","","","","","","","BN/Gijsje Koenderink Lab","","",""
"uuid:f9b11417-4373-477e-8f97-baf65c9db7a1","http://resolver.tudelft.nl/uuid:f9b11417-4373-477e-8f97-baf65c9db7a1","Green photocatalytic mixed matrix membranes for simultaneous arsenic photo-oxidation and water recovery via membrane distillation","Santoro, Sergio (University of Calabria); Occhiuzzi, Jessica (University of L'Aquila); Aquino, Marco (University of Calabria); Politano, Antonio (University of L'Aquila); Straface, Salvatore (University of Calabria); D'Andrea, Giuseppe (University of Calabria); Carrillo, Cristobal (Universidad de Zaragoza); Mallada, Reyes (Universidad de Zaragoza); Garcia, Andreina (Universidad de Chile); Estay, Humberto (Universidad de Chile); Xevgenos, Dimitris (TU Delft Energie and Industrie); Argurio, Pietro (University of Calabria); Curcio, Efrem (University of Calabria)","","2024","This work proposes an innovative integration of Membrane Distillation (MD) and photo-oxidation for a continuous recovery of water from arsenic (As) contaminated solutions coupled with the oxidation of arsenite (As(III)) into arsenate (As(V)). Polyvinylidene fluoride (PVDF) mixed matrix membranes (MMMs) containing titanium dioxide nanoparticles (TiO2 NPs) as photocatalyst were developed. A systematic study elucidated the effect of TiO2 NPs on membranes’ morphology prepared via non-solvent-induced phase separation (NIPS) using triethyl phosphate (TEP) as a green solvent for PVDF solubilization. Vacuum membrane distillation (VMD) tests carried out by irradiating the MMMs with ultraviolet (UV) radiation demonstrated the possibility of recovering up to 80 % of the water from As-contaminated synthetic and real multi-ions aqueous solutions from Sila Massif (Italy). The distillate was recovered at a rate of 6.9–7.2 kg·m−2·h−1 (feed inlet temperature of 60 °C), while the presence of 7 wt% of TiO2 in PVDF membranes enabled the photo-oxidation of 95 % of the As(III) to As(V) at a first order kinetic constant of 0.0106 min−1. After 5 cycles of As-remediation experiments, post-hoc mechanical testing on the membrane suggested the emergence of polymer embrittlement induced by UV radiation (total irradiation time of 7.5 h), highlighting the urgent need for developing photocatalytic membranes with long-term stability. Overall, this study elucidates at laboratory scale the performance of a coupled and continuous Membrane Distillation (MD) and photo-oxidation system for arsenic (As) remediation, employing microporous hydrophobic green membranes doped with a photocatalyst.","Arsenic; Green Solvent; Membrane Distillation; Photo-oxidation; Photocatalytic Membranes","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:c2d86979-2727-438e-9604-1cbdb42bc621","http://resolver.tudelft.nl/uuid:c2d86979-2727-438e-9604-1cbdb42bc621","Caste, mistrust and municipal inaction: The interwoven barriers for the integration of waste pickers in India","Juárez Pastor, Lidia (Universiteit Leiden); Subramanian, Vrishali (Universiteit Leiden); Cucurachi, S. (Universiteit Leiden); Ghorbani, Amineh (TU Delft System Engineering)","","2024","Solid waste management in low- and middle-income countries like India faces significant challenges due to the increasing waste generation that surpasses the current capacity. Therefore, the informal waste sector (IWS) is more vital than ever in handling consumer waste alongside municipal solid waste management (SWM) systems. However, the integration of the IWS into formal waste management systems remains unresolved due to adverse social and economic conditions. This study focuses on identifying the root causes that hinder the integration of the IWS in India's waste management system, using the city of Chennai as a case study. Adopting an institutional perspective, we analyse the institutional landscape of the waste management system, considering both formal rules (in policy documents) and informal rules (i.e., social norms and routines). The institutional network analysis reveals a significant misalignment in perceptions among governance levels concerning the integration of the IWS. The study shows a considerable gap between rules-in-form and rules-in-use, leading to 1) Preclusion of waste pickers in collecting door-to-door source-segregated waste (i.e., recyclables). 2) Unfair pricing in transactions with small aggregators. 3) Lack of ID cards for waste pickers. These barriers are ultimately rooted in caste discrimination, misalignment between governance levels, and the exclusion of waste pickers in the policymaking process. In conclusion, understanding and rectifying the institutional gaps and discriminatory practices are essential steps towards effectively integrating the IWS in India's waste management system, promoting a more inclusive and sustainable approach to waste management.","Informal waste sector; Institutional grammar; Institutional network analysis; Solid waste management; Waste pickers","en","journal article","","","","","","","","","","","System Engineering","","",""
"uuid:6123c331-fc12-4762-979c-6e37763836d1","http://resolver.tudelft.nl/uuid:6123c331-fc12-4762-979c-6e37763836d1","Adapting a systems perspective for sectoral coordination: Approaching flood resilience in Houston and Accra","Ersoy, A. (TU Delft Urban Development Management); Brand, A.D. (TU Delft Research Support & Innovation); van Bueren, Ellen (TU Delft Management in the Built Environment)","","2024","Increasing resilience to flooding is a complex process that requires horizontal and vertical coordination between institutions in policy making and implementation. This paper explores the effect of institutional coordination on managing flood risk in two cities plagued by flooding. Our results show that efforts on building urban flood resilience can be undermined by lack of proper coordination between urban development, water management and land use planning. We find that this complexity is magnified by the emergence of the concept of resilience as an urban development goal that is increasingly pursued by various authorities, but that is inherently contested in practice.","flood resilience; systems approach; coordination; Houston; Accra","en","journal article","","","","","","","","","","Management in the Built Environment","Urban Development Management","","",""
"uuid:c7f2ab48-896c-4d09-adc3-afcc12b64c1b","http://resolver.tudelft.nl/uuid:c7f2ab48-896c-4d09-adc3-afcc12b64c1b","What is conceptual disruption?","Marchiori, S. (TU Delft Ethics & Philosophy of Technology); Scharp, Kevin (University of Illinois at Urbana-Champaign; University of Twente)","","2024","Recent work on philosophy of technology emphasises the ways in which technology can disrupt our concepts and conceptual schemes. We analyse and challenge existing accounts of conceptual disruption, criticising views according to which conceptual disruption can be understood in terms of uncertainty for conceptual application, as well as views assuming all instances of conceptual disruption occur at the same level. We proceed to provide our own account of conceptual disruption as an interruption in the normal functioning of concepts and conceptual schemes. Moreover, we offer a multilevel taxonomy thereof, where we distinguish between instances of conceptual disruptions occurring at different levels (conceptual scheme, conceptual clusters, and individual concepts), taking on different forms (conceptual gaps and conceptual conflicts), and leading to different degrees of severity (extending from mild to severe). We also provide detailed accounts through historical examples of how conceptual gaps and conceptual conflicts can occur at different times in the very same process of conceptual disruption. Finally, we make the case that different kinds of conceptual engineering can provide meaningful ways to assess and overcome distinct types of conceptual disruption.","Conceptual disruption; Conceptual engineering; Socially disruptive technologies; Conceptual gap; Conceptual conflict; Conceptual overlap; Conceptual change; Philosophy of technology","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:f515445b-f3a8-491e-9cf1-8cd3425455a8","http://resolver.tudelft.nl/uuid:f515445b-f3a8-491e-9cf1-8cd3425455a8","A DfT Strategy for Guaranteeing ReRAM’s Quality after Manufacturing","Copetti, T. S. (Rheinisch-Westfälische Technische Hochschule); Fieback, M. (TU Delft Computer Engineering); Gemmeke, T. (Rheinisch-Westfälische Technische Hochschule); Hamdioui, S. (TU Delft Computer Engineering); Poehls, L. M.Bolzani (Rheinisch-Westfälische Technische Hochschule)","","2024","Memristive devices have become promising candidates to complement the CMOS technology, due to their CMOS manufacturing process compatibility, zero standby power consumption, high scalability, as well as their capability to implement high-density memories and new computing paradigms. Despite these advantages, memristive devices are susceptible to manufacturing defects that may cause faulty behaviors not observed in CMOS technology, significantly increasing the challenge of testing these novel devices after manufacturing. This work proposes an optimized Design-for-Testability (DfT) strategy based on the introduction of a DfT circuitry that measures the current consumption of Resistive Random Access Memory (ReRAM) cells to detect not only traditional but also unique faults. The new DfT circuitry was validated using a case study composed of a 3x3 word-based ReRAM with peripheral circuitry implemented based on a 130 nm Predictive Technology Model (PTM) library. The obtained results demonstrate the fault detection capability of the proposed strategy with respect to traditional and unique faults. In addition, this paper evaluates the impact related to the DfT circuitry’s introduced overheads as well as the impact of process variation on the resolution of the proposed DfT circuitry.","DfT Circuitry; Manufacturing test; ReRAMs; Unique faults","en","journal article","","","","","","","","","","","Computer Engineering","","",""
"uuid:c2bea36a-b7ff-4ce9-91ca-65206cffe596","http://resolver.tudelft.nl/uuid:c2bea36a-b7ff-4ce9-91ca-65206cffe596","Dynamic wind farm flow control using free-vortex wake models","van den Broek, M.J. (TU Delft Team Jan-Willem van Wingerden); Becker, M. (TU Delft Team Jan-Willem van Wingerden); Sanderse, Benjamin (Centrum Wiskunde & Informatica (CWI)); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2024","A novel dynamic economic model-predictive control strategy is presented that improves wind farm power production and reduces the additional demands of wake steering on yaw actuation when compared to an industry state-of-the-art reference controller. The novel controller takes a distributed approach to yaw control optimisation using a free-vortex wake model. An actuator-disc representation of the wind turbine is employed and adapted to the wind farm scale by modelling secondary effects of wake steering and connecting individual turbines through a directed graph network. The economic model-predictive control problem is solved on a receding horizon using gradient-based optimisation, demonstrating sufficient performance for realising real-time control. The novel controller is tested in a large-eddy simulation environment and compared against a state-of-the-art look-up table approach based on steady-state model optimisation and an extension with wind direction preview. Under realistic variations in wind direction and wind speed, the preview-enabled look-up table controller yielded the largest gains in power production. The novel controller based on the free-vortex wake produced smaller gains in these conditions while yielding more power under large changes in wind direction. Additionally, the novel controller demonstrated potential for a substantial reduction in yaw actuator usage.","","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:3ca0a48c-43a3-4b8b-ba00-c28403fc19fd","http://resolver.tudelft.nl/uuid:3ca0a48c-43a3-4b8b-ba00-c28403fc19fd","3D printer-driven design of a non-assembly titanium surgical instrument using compliant lattice flexures","Lussenburg, K.M. (TU Delft Medical Instruments & Bio-Inspired Technology); van Starkenburg, R.I.B. (TU Delft EMSD EEMCS Project technicians); Sakes, A. (TU Delft Medical Instruments & Bio-Inspired Technology); Breedveld, P. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2024","Metal additive manufacturing is a promising technology for the production of functional medical products, due to its high shape complexity and resolution, and ability to withstand sterilization temperatures. This study explores the possibility of designing a completely non-assembly steerable surgical instrument using Selective Laser Melting. Despite its advantages for medical devices, the rough surface quality of unfinished parts can be problematic for non-assembly designs, leading to increased friction and wear in rigid body mechanisms and tendon-actuated mechanisms. We investigated printing of rolling contact joints with crossed flexures as low-friction joints, adjusted for printing in titanium for the design of the instrument. Grid-based lattice structures were incorporated as miniature flexures, and we explored the influence of various grid sizes on the flexibility and bending stiffness of the lattices. Based on this exploration, we altered the rolling joint configuration from two crossed flexures to a single straight flexure for our design. The resulting steerable surgical instrument design is completely non-assembly, including its actuation, facilitates easy removal of support structures, and requires no surface finishing steps. It has a diameter of less than 20 mm, facilitates opening and closing of a grasper, and steering of the grasper by 20 degrees.","Compliant; Lattice; Non-assembly; Selective laser melting; Surgical instrument","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:951e4e7e-6dd0-4836-918f-c2a2f620b32f","http://resolver.tudelft.nl/uuid:951e4e7e-6dd0-4836-918f-c2a2f620b32f","Design of railway transition zones: A novel energy-based criterion","Jain, A. (TU Delft Dynamics of Structures); Metrikine, A. (TU Delft Engineering Structures); Steenbergen, M.J.M.M. (TU Delft Mechanics and Physics of Structures); van Dalen, K.N. (TU Delft Dynamics of Structures)","","2024","Railway transition zones (RTZs) experience higher rates of degradation compared to open tracks, which leads to increased maintenance costs and reduced availability. Despite existing literature on railway track assessment and maintenance, effective design solutions for RTZs are still limited. Therefore, a robust design criterion is required to develop effective solutions. This paper presents a two-step approach for the formulation of a preliminary-design criterion to delay the onset of processes leading to uneven track geometry in RTZs. Firstly, a systematic analysis of each track component in a RTZ is performed by examining spatial and temporal variations in kinematic responses, stresses and energies using a finite element model of an embankment-bridge transition. Secondly, the study proposes an energy-based criterion to be assessed using a model with linear elastic material behavior and states that an amplification in the total train energy in the proximity of the transition interface is an indicator of increased (and thus non-uniform) degradation in RTZs compared to the open tracks. The correlation between the total strain energy (assessed in the model with linear material behaviour) and the permanent irreversible deformations is demonstrated using a model with non-linear elastoplastic material behavior of the ballast layer. In the end, it is claimed that minimising the magnitude of total strain energy will lead to reduced degradation and a uniform distribution of total strain energy in each trackbed layer along the longitudinal direction of the track will ensure uniformity in the track geometry.","Railway transition zones; Track degradation; Finite element model; Energy analysis; Design criterion; Strain energy","en","journal article","","","","","","","","","","Engineering Structures","Dynamics of Structures","","",""
"uuid:0ab8d7f0-fa49-45fc-95a6-8c6a5d5432a4","http://resolver.tudelft.nl/uuid:0ab8d7f0-fa49-45fc-95a6-8c6a5d5432a4","Design capability when visioning for transitions: A case study of a new food system","Goss, H. (TU Delft Design Aesthetics); Tromp, N. (TU Delft Design Aesthetics); Schifferstein, Hendrik N.J. (TU Delft Design Aesthetics)","","2024","In recent years, more designers have been engaging in transitions, for which design expertise is used to develop visions of long-term desirable futures. However, little is known about how design expertise is positioned in transition visioning processes. In this case study, we follow a design agency in envisioning a future food system for a consortium working on the food transition. Based on our findings, we unpack several tensions that emerge between the transition context and design expertise. Such as the tension for designers to explore alternative futures that challenge the current system yet support stakeholders in seeing their place in the future. We conclude by reflecting on avenues for methodological development to optimally position design expertise for visioning in transitions.","visioning; transition design; design expertise; design practice; case study","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:1ad5a3ec-6216-4a4a-8963-333493f3f680","http://resolver.tudelft.nl/uuid:1ad5a3ec-6216-4a4a-8963-333493f3f680","Author Correction: Testing pseudotopological and nontopological models for SMC-driven DNA loop extrusion against roadblock-traversal experiments (Scientific Reports, (2023), 13, 1, (8100), 10.1038/s41598-023-35359-2)","Barth, R. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Pradhan, B. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Kim, E. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Davidson, Iain F. (Research Institute of Molecular Pathology, Vienna); van der Torre, J. (TU Delft BN/Cees Dekker Lab); Peters, Jan‑Michael ‑M (Research Institute of Molecular Pathology, Vienna); Dekker, C. (TU Delft BN/Cees Dekker Lab)","","2023","Correction to: Scientific Reports, published online 19 May 2023 The original version of this Article contained an error in Figure 1b-1, where the fore- and background order of the strands “DNA” (in black) and “Brn1 Kleisin” (in green), were switched. The original Figure 1 and accompanying legend appear below. (Figure presented.) Description of the mechanism postulated by Shaltiel et al. for roadblock passage into an extruded loop on the DNA and a potential nontopological model. (a) The steps through the proposed DNA loop extrusion cycle are commented in more detail in steps 1–6 within the figure. Adapted from Ref.11. (b) Potential nontopological model which is closely analogous to the pseudotopological model, but with a slight variation in the DNA-SMC topology which allows particle bypass. The original Article has been corrected.","","en","journal article","","","","","","author correction DOI 10.1038/s41598-023-35359-2","","","","","BN/Cees Dekker Lab","","",""
"uuid:f74ff729-ee27-4f82-9b13-df259df17cb3","http://resolver.tudelft.nl/uuid:f74ff729-ee27-4f82-9b13-df259df17cb3","Condition assessment of underground corroded pipelines subject to hydrogen damage and combined internal pressure and axial compression","Qin, Guojin (Southwest Petroleum University; Shanghai Jiao Tong University); Zhang, Zhenwei (Southwest Petroleum University); Hou, Xiangqin (Southwest Petroleum University); Lu, Hongfang (Southeast University); Huang, Y. (TU Delft Safety and Security Science); Wang, Yihuan (Southwest Petroleum University; Shanghai Jiao Tong University)","","2023","In this work, a 3D finite element (FE) based model was developed to assess the condition of an underground hydrogen transmission pipeline containing a corrosion defect under combined internal pressure and soil movement-induced axial compression. The use of mechanical properties of X100 pipeline steel under different hydrogen charging time models the degree of hydrogen damage in pipelines. Parameter effects, i.e., axial compressive stress, hydrogen damage, defect geometries, and pipeline diameter-to-thickness ratio, were determined. The results demonstrated that the synergistic effect of axial compression, internal pressure, corrosion, and hydrogen damage can lead to a significant decrease in the failure pressure of pipelines. The failure pressure decreased with the wall thickness reduction and increased hydrogen damage, axial compressive stress, defect length, defect depth, and pipe diameter. The competitive effect was observed between the degree of metal loss and hydrogen damage in determining the burst capacity of pipelines. In situations where the pipeline integrity was severely compromised, the failure pressure exhibited minimal reduction despite the increasing severity of hydrogen damage. The stress distribution at the defect zone was influenced by axial compressive stress but remained unaffected by hydrogen damage under normal operating conditions (i.e., an internal pressure of 10 MPa). This work is expected to help operators understand the applicability of elder and in-service pipelines for hydrogen transmission.","Condition assessment; Corrosion; Finite element modeling; Hydrogen damage; Underground pipelines","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-09","","","Safety and Security Science","","",""
"uuid:94f225e8-823e-47b6-a3c7-d3c9846960e2","http://resolver.tudelft.nl/uuid:94f225e8-823e-47b6-a3c7-d3c9846960e2","Climate Change and Fish Farming: Venetian “Fish Valleys” as a Design Device for Coastal Adaptation and Mitigation","Cipriani, L. (TU Delft Landscape Architecture); Destro, Alessandro (Rete Ferroviaria Italiana (RFI))","","2023","Beginning in the fourteenth century, along the northeastern Italian coastline, Venetians began to create a series of hydraulic structures called “fishing valleys,” which combined aquaculture production with lagoon and seawater management. According to the current scenarios provided by the Intergovernmental Panel on Climate Change, the coastal areas, where many historic fish farms still stand, will inevitably be affected by the rise in sea level. To be preserved, coastlines will require some sort of water defense or possibly a managed retreat. Can we redesign traditional fish-farm systems as climate, economic and environmental adaptation devices? Through a series of design scenarios, this contribution explores how traditional fish farming can help redefine the territorial scale by addressing climate change and reviving existing production systems.","Climate Change Adaptation; Climate Change Mitigation; Fish farms; Venice lagoon","en","journal article","","","","","","","","","","","Landscape Architecture","","",""
"uuid:d1c6502f-2240-4d91-be93-0c761eee67ee","http://resolver.tudelft.nl/uuid:d1c6502f-2240-4d91-be93-0c761eee67ee","Shape-locking in architected materials through 3D printed magnetically activated joints","de Jong, P.H. (TU Delft Support Biomechanical Engineering; TU Delft Biomaterials & Tissue Biomechanics); Salvatori, Y.S. (University of Genova); Libonati, F. (University of Genova); Mirzaali, Mohammad J. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics)","","2023","Shape morphing is the ability of objects to adapt to different shapes and reduce stress concentrations through increased contact area. This is a common trait of natural and engineered objects and has several applications in, among others, soft robotics and orthopedic implants. Shape morphing is achieved through flexible materials or rigid components with either kinematic or compliant joints. An additional step, namely shape locking, is needed for sustained load support. Activation of a locking mechanism can be done with any energy, among which magnetism is one. Here, we present the implementation of a magnetic locking mechanism for kinematically deformable metamaterial structures that maintain shape and support loads upon locking. The structure consists of 3D printed rigid magnetic and non-magnetic components connected by hinges. We created several prototypes of the proposed designs using two additive manufacturing methods (i.e., material extrusion and multi-material jetting) and demonstrated its application in a closed-loop grid for arbitrary shapes. Moreover, we characterized the performance of the prototypes using mechanical tests and multibody kinematic system simulations. This work highlights the viability of the locking concept and provides design considerations for future applications. Further improvement and optimizations are needed for increased efficiency and effectiveness.","3D printing; Kinematic fixation; Locking mechanism; Magnetism; Shape morphing","en","journal article","","","","","","","","","","","Support Biomechanical Engineering","","",""
"uuid:3b2e96df-1579-49ee-ac50-67d892ed2a5e","http://resolver.tudelft.nl/uuid:3b2e96df-1579-49ee-ac50-67d892ed2a5e","Coupling of OpenFOAM with a Lagrangian vortex particle method for external aerodynamic simulations","Pasolari, R. (TU Delft Wind Energy); Ferreira, Carlos (TU Delft Wind Energy); van Zuijlen, A.H. (TU Delft Aerodynamics)","","2023","In the field of computational aerodynamics, it is vital to develop tools that can accurately, but also efficiently, simulate the flow around bluff objects and calculate the aerodynamic forces acting on them. When strong body–vortex interactions take place, the simulations become more demanding, since complex phenomena appear. To address this issue, hybrid Eulerian–Lagrangian solvers have been developed and are increasingly used in the field. In this paper, a Vortex Particle Method (VPM) is coupled with the OpenFOAM software. The Eulerian solver (OpenFOAM) resolves the regions close to the solid boundaries, while the vortex particles evolve the wake downstream, significantly reducing artificial diffusion. The coupling strategy and the validation results of a hybrid code based on the domain decomposition technique are presented. This work is the first to couple OpenFOAM with a Lagrangian solver in the framework of a hybrid solver. Our objective is twofold: to verify the capability of OpenFOAM to run with a VPM and to validate the hybrid solver using benchmark cases. We demonstrate the validation of the solver on the Lamb–Oseen vortex case, the dipole case in the unbounded domain, and the flow around a cylinder at Re = 550. Our results show that coupling OpenFOAM with a VPM can be achieved without complications and efficiently reproduces the results of pure Eulerian simulations.","OpenFOAM; Vortex Particle Methods; Coupling; External aerodynamics","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:5f94e970-ddfd-4855-8acb-b46063e0887c","http://resolver.tudelft.nl/uuid:5f94e970-ddfd-4855-8acb-b46063e0887c","Optimizing public transport transfers by integrating timetable coordination and vehicle scheduling","Liu, Tao (Southwest Jiaotong University); Ji, Wen (Southwest Jiaotong University); Gkiotsalitis, Konstantinos (National Technical University of Athens); Cats, O. (TU Delft Transport and Planning)","","2023","Transfer optimization in public transport (PT) networks can be achieved through coordinated timetabling and vehicle scheduling. Traditionally, the coordinated timetabling problem is solved first before proceeding to the vehicle scheduling problem. The integration of these two problems can help further reduce the total operation cost and improve the level of service, especially when timetables of different PT lines are well-coordinated at transfer stations. This work addresses the integrated PT timetable coordination and vehicle scheduling problem while ensuring that each PT line is dispatched with an even headway. We first separately formulate two integer linear programming models for the timetable coordination and vehicle scheduling problems. Next, the two models are integrated into a bi-objective integer linear programming model for the integrated timetable coordination and vehicle scheduling problem. For small size PT networks, the model can be solved by using an ɛ-constraint method, together with off-the-shelf optimization solvers. For large-size problems, two constraint-reduction procedures are developed to reduce the number of redundant constraints so as to reduce the computation complexity and improve the solution process. Finally, the models and solution method are applied to a numerical example and a real-world bus rapid transit (BRT) network in Chengdu, China. Computation results show that the solution generated by the sequential optimization approach is usually dominated by the Pareto-optimal solutions generated by the integrated optimization approach. Our findings suggest that it is not a wise decision to use the solution generated by the sequential optimization approach or the solution with the minimum fleet size generated by the integrated optimization approach. For practical implementation, it is recommended to choose the solution that has a fleet size of one more vehicle than the minimum fleet size.","Integer programming; Public transport; Timetable coordination; Transfer optimization; Vehicle scheduling","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:3ba89f65-06a5-43f2-8ddc-474a2e528ca3","http://resolver.tudelft.nl/uuid:3ba89f65-06a5-43f2-8ddc-474a2e528ca3","Hoogbouw vraagt om interieurstedebouw","Harteveld, Maurice (TU Delft Urban Design)","","2023","Al decennia staren beleidsmakers en stedebouwkundigen zich blind op hoogbouwbeleid door middel van hoogteregulering. Ook al blijft dit vanuit gezondheid en welstand verstandig, dit is een eenzijdige benadering. Zeker nu er steeds meer openbare ruimtes binnen de hoogbouwprojecten ontworpen en gerealiseerd worden, rijst de vraag hoe we voorbij de gevel stedebouwkundig kunnen sturen. Interieurstedebouw kan antwoord geven op deze vraag door de verticale netwerken van openbare ruimtes in hoogbouwprojecten als fysiek en sociaal in verschillende vormen onderdeel van de stad te benaderen.
For decades, policymakers and urban planners have been obsessed with high-rise policy by means of height regulation. Even though this remains sensible from a health and welfare point of view, this is a one-sided approach. Especially now that more and more public spaces are being designed and realized within high-rise projects, the question arises of how we can steer beyond the facade in terms of urban design. Interior urbanism can answer this question by approaching the vertical networks of public spaces in high-rise projects as physically and socially part of the city in various forms.","public space; Public space; interior public space; interior urbanism; urban design; Urban design; interior architecture; high-rise; public sphere; public policy; Netherlands; urban development; city of the future","en","journal article","","","","","","","","","","","Urban Design","","",""
"uuid:56d2698c-797e-46d7-a068-674a0bce85f7","http://resolver.tudelft.nl/uuid:56d2698c-797e-46d7-a068-674a0bce85f7","Temporal stability of the impact of factors determining drivers’ injury severities across traffic barrier crashes in mountainous regions","Song, Dongdong (Beijing Jiaotong University); Yang, Xiaobao (Beijing Jiaotong University); Ch. Anastasopoulos, Panagiotis (University at Buffalo, State University of New York); Zu, Xingshui (Guiyang Public Security Traffic Administration Bureau); Yue, Xianfei (Shandong Police College); Yang, Y. (TU Delft Transport and Planning; Beijing Jiaotong University)","","2023","Traffic barrier crashes have been a major concern in many prior studies in traffic safety literature, especially in the crash-prone sections of mountainous regions. However, the effect of factors affecting the injury-severities resulting from crashes involving different types of traffic barriers may be different. This paper provides an empirical assessment of the performance of ordered and unordered discrete outcome models for examining the impact of exogenous factors determining the driver injury-severity of crashes involving two types of traffic barriers in mountainous regions: w-beam barriers and cable barriers. For the ordered framework, the alternative modeling approaches include: the generalized ordered logit (GOL) and the random thresholds random parameters generalized ordered logit model (RTRPGOL). Whereas, for the unordered framework, the alternative modeling approaches include: the multinomial logit (MNL), the random parameters multinormal logit (RPL), and the random parameters multinormal logit model with heterogeneity in the means and variances (RPLHMV). Using injury-severity data from 2016 to 2019 for mountainous regions in Guiyang City, China, three injury-severity categories are determined as outcome variables: severe injury (SI), minor injury (MI), and no injury (NI), while the potential influencing factors including drivers-, vehicles-, road-, and environment-specific characteristics are statistically analyzed. The model estimation results show: (a) that the MNL model statistically outperforms the GOL model in terms of goodness-of-fit measures; (b) the RTRPGOL model is statistically superior to the MNL and RPL models; and (c) the RPLHMV model is statistically superior to the RTRPGOL model, and therefore the preferred option among the model alternatives. To that end, the RPLHMV model is leveraged to quantitatively describe the impact of explanatory variables on the driver injury-severity and explore how these factors change over the years (between 2016–2017 and 2018–2019). The results further show that the factors affecting driver injury severities and the effects of significant factors on injury severity probabilities change across traffic barrier crash models and across years. In addition, the results of the temporal effects analysis show that some variables present relative temporal stability, which is important for formulating long-term strategies to enhance traffic safety on mountainous roads. Most importantly, the effects of the explanatory factors that exhibit relative temporal stability are found to vary across traffic barrier crashes. For example, trucks, daylight, curved section segments, and high-speed limit (greater than 55 mph) are some of the factors that have opposite effects between traffic barrier crash models. The findings from this paper are expected to help policy makers to take necessary measures in reducing traffic barrier crashes in mountainous regions by forming appropriate strategies, and by allocating properly their available resources at the pre-planning phase.","Comparison of discrete outcome models; Generalized ordered logit; Heterogeneity in means; Heterogeneity in variances; Injury severity; Mountainous regions; Multinormal logit; Random parameters; Random thresholds; Temporal stability; Traffic barrier","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-05","","","Transport and Planning","","",""
"uuid:b70b096f-8404-4d8f-8c92-5fa93be0ec9e","http://resolver.tudelft.nl/uuid:b70b096f-8404-4d8f-8c92-5fa93be0ec9e","A Quantitative Analysis of Electrochemical CO2 Reduction on Copper in Organic Amide and Nitrile-Based Electrolytes","Sajeev Kumar, A. (TU Delft Large Scale Energy Storage); Moura de Salles Pupo, M. (TU Delft Large Scale Energy Storage); Petrov, K.V. (TU Delft ChemE/Transport Phenomena); Ramdin, M. (TU Delft Engineering Thermodynamics); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering); de Jong, W. (TU Delft Large Scale Energy Storage); Kortlever, R. (TU Delft Large Scale Energy Storage)","","2023","Aqueous electrolytes used in CO2 electroreduction typically have a CO2 solubility of around 34 mM under ambient conditions, contributing to mass transfer limitations in the system. Non-aqueous electrolytes exhibit higher CO2 solubility (by 5–8-fold) and also provide possibilities to suppress the undesired hydrogen evolution reaction (HER). On the other hand, a proton donor is needed to produce many of the products commonly obtained with aqueous electrolytes. This work investigates the electrochemical CO2 reduction performance of copper in non-aqueous electrolytes based on dimethylformamide (DMF), n-methyl-2-pyrrolidone (NMP), and acetonitrile (ACN). The main objective is to analyze whether non-aqueous electrolytes are a viable alternative to aqueous electrolytes for hydrocarbon production. Additionally, the effects of aqueous/non-aqueous anolytes, membrane, and the selection of a potential window on the electrochemical CO2 reduction performance are addressed in this study. Experiments with pure DMF and NMP mainly produced oxalate with a faradaic efficiency (FE) reaching >80%; however, pure ACN mainly produced hydrogen and formate due to the presence of more residual water in the system. Addition of 5% (v/v) water to the non-aqueous electrolytes resulted in increased HER and formate production with negligible hydrocarbon production. Hence, we conclude that aqueous electrolytes remain a better choice for the production of hydrocarbons and alcohols on a copper electrode, while organic electrolytes based on DMF and NMP can be used to obtain a high selectivity toward oxalate and formate.","CO2 electroreduction; non-aqueous electrolytes; copper electrode; electrolyte effects; water effects","en","journal article","","","","","","","","","","","Large Scale Energy Storage","","",""
"uuid:d8c63363-5499-49d3-a8d2-bcddaadec206","http://resolver.tudelft.nl/uuid:d8c63363-5499-49d3-a8d2-bcddaadec206","Baseline and early digital [ 18 F]FDG PET/CT and multiparametric MRI contain promising features to predict response to neoadjuvant therapy in locally advanced rectal cancer patients: a pilot study","Vuijk, Floris A. (Leiden University Medical Center); Feshtali Shahbazi, Shirin (Leiden University Medical Center); Noortman, W.A. (Leiden University Medical Center; University of Twente); van Velden, Floris H.P. (Leiden University Medical Center); Dibbets-Schneider, Petra (Leiden University Medical Center); Marinelli, Andreas W.K.S. (Haaglanden Medical Center); Putter, H. (Leiden University Medical Center); Vahrmeijer, Alexander L. (Leiden University Medical Center); de Geus-Oei, L.F. (TU Delft RST/Radiation, Science and Technology; Leiden University Medical Center; University of Twente)","","2023","OBJECTIVE: In this pilot study, we investigated the feasibility of response prediction using digital [ 18 F]FDG PET/computed tomography (CT) and multiparametric MRI before, during, and after neoadjuvant chemoradiation therapy in locally advanced rectal cancer (LARC) patients and aimed to select the most promising imaging modalities and timepoints for further investigation in a larger trial. METHODS: Rectal cancer patients scheduled to undergo neoadjuvant chemoradiation therapy were prospectively included in this trial, and underwent multiparametric MRI and [ 18 F]FDG PET/CT before, 2 weeks into, and 6-8 weeks after chemoradiation therapy. Two groups were created based on pathological tumor regression grade, that is, good responders (TRG1-2) and poor responders (TRG3-5). Using binary logistic regression analysis with a cutoff value of P ≤ 0.2, promising predictive features for response were selected. RESULTS: Nineteen patients were included. Of these, 5 were good responders, and 14 were poor responders. Patient characteristics of these groups were similar at baseline. Fifty-seven features were extracted, of which 13 were found to be promising predictors of response. Baseline [T2: volume, diffusion-weighted imaging (DWI): apparent diffusion coefficient (ADC) mean, DWI: difference entropy], early response (T2: volume change, DWI: ADC mean change) and end-of-treatment presurgical evaluation MRI (T2: gray level nonuniformity, DWI: inverse difference normalized, DWI: gray level nonuniformity normalized), as well as baseline (metabolic tumor volume, total lesion glycolysis) and early response PET/CT (Δ maximum standardized uptake value, Δ peak standardized uptake value corrected for lean body mass), were promising features. CONCLUSION: Both multiparametric MRI and [ 18 F]FDG PET/CT contain promising imaging features to predict response to neoadjuvant chemoradiotherapy in LARC patients. A future larger trial should investigate baseline, early response, and end-of-treatment presurgical evaluation MRI and baseline and early response PET/CT.","computed tomography; fluorodeoxyglucose; multiparametric resonance imaging; neoadjuvant therapy; PET; rectal neoplasms","en","journal article","","","","","","","","","","RST/Radiation, Science and Technology","","","",""
"uuid:313ab9c7-7732-40f9-b3c4-13d6cd356057","http://resolver.tudelft.nl/uuid:313ab9c7-7732-40f9-b3c4-13d6cd356057","Leading edge erosion detection for a wind turbine blade using far-field aerodynamic noise","Zhang, Y. (TU Delft Wind Energy); Avallone, F. (Politecnico di Torino); Watson, S.J. (TU Delft Wind Energy)","","2023","In this paper, the feasibility of using far-field acoustic measurements as a non-contact monitoring technique for wind turbine blade leading edge erosion is assessed. For this purpose, a DU96 W180 airfoil with several eroded leading edge configurations of different severities is experimentally investigated. The eroded leading edges are designed with pits, gouges and coating delamination scaled from a real eroded blade. To assess the feasibility of the technique in quasi-realistic configurations, experiments are carried out under clean and turbulent inflow conditions. Acoustic measurements are performed with a phased microphone array. In the absence of inflow turbulence, because of the low Reynolds number at which the experiments are carried out, the case with minor erosion severity shows similar far-field noise spectra as the clean leading-edge cases, i.e., the presence of tonal peaks caused by laminar boundary layer instability noise through a self-sustained feedback loop but with higher tonal amplitudes. Increasing the damage level (considered as moderate erosion), the spectra of the noise scattered from the suction side show that the tonal peaks shift to higher frequencies and have lower amplitudes, thus suggesting that the damage alters the flow features responsible for the acoustic feedback loop; whereas, the spectra from the pressure side show a broadband noise distribution. For heavy erosion, the far-field noise spectra show broadband features from both airfoil sides, thus suggesting that the damage has fully forced the transition to turbulent flow; in which case, an increase in the low-frequency content is observed. Conversely, in the presence of turbulent inflow, when comparing the noise scattered at the trailing edge, no difference is found. However, leading edge impingement noise decreases at medium–high frequency compared with the baseline case at a chord-length-based Strouhal number St_C~10. The experimental results also suggest that the delamination feature is the one which is the most easily detectable and the approach is valid for a wide range of angles of attack and inflow velocity.","Wind turbine blade; Leading edge erosion; Aerodynamic noise; Damage detection; Aeroacoustics","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:d3c956ea-3ee9-4cf1-9ef3-01d9111180fa","http://resolver.tudelft.nl/uuid:d3c956ea-3ee9-4cf1-9ef3-01d9111180fa","Social life cycle assessment of a desalination and resource recovery plant on a remote island: Analysis of generic and site-specific perspectives","Tsalidis, G.A. (TU Delft BT/Biotechnology and Society; Brunel University); Xevgenos, Dimitris (TU Delft Energie and Industrie); Ktori, R. (TU Delft Technology, Policy and Management); Krishnan, Adithya; Posada Duque, J.A. (TU Delft BT/Biotechnology and Society)","","2023","The sustainable supply of water is crucial, especially on islands where water is scarce. Our study applied the social life cycle assessment (S-LCA), under the organizational approach, to assess industrial water production on the island of Lampedusa, Italy. A novel plant for industrial water production considering a circular concept was compared with the existing linear production plant based on reverse osmosis. An online survey, brief literature review and generic analysis were conducted to prioritize impact subcategories selection for site-specific analysis that regarded six organizations in the system boundaries. These subcategories were Local employment, Access to material resources, Promoting social responsibility, End-of-life responsibility, Health and safety (Workers), and Public commitment to sustainability issues. The social performance of organizations involved was assessed based on equal weighting and weighting with cost values. The generic analysis showed that wastewater treatment in Italy is underdeveloped, and water scarcity can become a serious problem in the future. The site-specific analysis based on equal weighting showed that the novel water plant results in improving social performance for all considered impact subcategories by 88 % to 91 % due to co-production when compared with the existing plant. Even increasing impacts allocation to industrial water production social benefits are still expected due to co-production. The type of weighting based on cost values showed that two organizations are the main contributors to the social performance of the novel system, and improving their corporate conduct can result in improving impacts up to 25 %, such as Public commitment to sustainability issues. To conclude, the novel plan does provide social benefits but mainly due to co-production, thus, it should be investigated more how to apply the S-LCA to linear production systems as they become more circular.","Circular economy; Industrial water; Lampedusa; Reference scale approach; Site-specific S-LCA; Type I","en","journal article","","","","","","","","","Technology, Policy and Management","","BT/Biotechnology and Society","","",""
"uuid:4ab546d9-6a4a-4d9b-b7b1-a2c8ca0e3d57","http://resolver.tudelft.nl/uuid:4ab546d9-6a4a-4d9b-b7b1-a2c8ca0e3d57","Design and Validation of Experimental Setup for Cell Spheroid Radiofrequency-Induced Heating","Androulakis, Ioannis (Erasmus MC); Ferrero, Riccardo (Istituto Nazionale di Ricerca Metrologica); van Oossanen, R. (TU Delft RST/Medical Physics & Technology; Erasmus MC); Manzin, Alessandra (Istituto Nazionale di Ricerca Metrologica); Denkova, A.G. (TU Delft RST/Applied Radiation & Isotopes); Djanashvili, K. (TU Delft BT/Biocatalysis); Nadar, R.A. (TU Delft RST/Applied Radiation & Isotopes; Erasmus MC); van Rhoon, G.C. (TU Delft RST/Applied Radiation & Isotopes; Erasmus MC)","","2023","While hyperthermia has been shown to induce a variety of cytotoxic and sensitizing effects on cancer tissues, the thermal dose–effect relationship is still not well quantified, and it is still unclear how it can be optimally combined with other treatment modalities. Additionally, it is speculated that different methods of applying hyperthermia, such as water bath heating or electromagnetic energy, may have an effect on the resulting biological mechanisms involved in cell death or in sensitizing tumor cells to other oncological treatments. In order to further quantify and characterize hyperthermia treatments on a cellular level, in vitro experiments shifted towards the use of 3D cell spheroids. These are in fact considered a more representative model of the cell environment when compared to 2D cell cultures. In order to perform radiofrequency (RF)-induced heating in vitro, we have recently developed a dedicated electromagnetic field applicator. In this study, using this applicator, we designed and validated an experimental setup which can heat 3D cell spheroids in a conical polypropylene vial, thus providing a reliable instrument for investigating hyperthermia effects at the cellular scale.","cells, cultured; combined modality therapy; drug screening assays, antitumor; electromagnetic fields; hyperthermia, induced; tumor cells, cultured","en","journal article","","","","","","","","","","","RST/Medical Physics & Technology","","",""
"uuid:6d3b105f-c5f5-49a5-8df4-899d9488ce52","http://resolver.tudelft.nl/uuid:6d3b105f-c5f5-49a5-8df4-899d9488ce52","Public participation in mission-oriented innovation projects","Wiarda, M.J. (TU Delft Economics of Technology and Innovation); Sobota, V.C.M. (TU Delft Economics of Technology and Innovation); Janssen, Matthijs J. (Universiteit Utrecht); van de Kaa, G. (TU Delft Economics of Technology and Innovation); Yaghmaei, E. (TU Delft Ethics & Philosophy of Technology); Doorn, N. (TU Delft Ethics & Philosophy of Technology)","","2023","Mission-oriented innovation policy is currently gaining renewed interest as an approach for addressing societal challenges. One of the promises is that missions can mobilise and align diverse stakeholders around a shared goal. Recent literature underlines the importance of public participation (e.g. municipalities and civil society organisations) in the socioeconomic transformations required for attaining missions. We ask how public participation differs among (non-)mission-oriented innovation projects. Drawing on a database containing Dutch government-funded innovation projects, we investigate whether mission-oriented projects are associated with earlier, more open, and more influential forms of public participation than conventional projects. Although the results suggest that mission-oriented projects indeed correspond with earlier participation of more public actors, we find little evidence that they also coincide with increased diversity and financial influence of public participants. We conclude by discussing how policymakers and intermediaries may engage in strategies to make missions more inclusive.","mission-oriented policy; challenge-led policy; Innovation policy; wicked problems; public participation; responsible innovation; societal challenges","en","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:79e9d738-727b-4703-9241-5616d963bba6","http://resolver.tudelft.nl/uuid:79e9d738-727b-4703-9241-5616d963bba6","Generalizing rapid flood predictions to unseen urban catchments with conditional generative adversarial networks","do Lago, Cesar A.F. (The University of Texas at San Antonio; Universidade de São Paulo); Giacomoni, Marcio H. (Universidade de São Paulo); Bentivoglio, Roberto (TU Delft Sanitary Engineering); Taormina, R. (TU Delft Sanitary Engineering); Gomes, Marcus N. (The University of Texas at San Antonio; Universidade de São Paulo); Mendiondo, Eduardo M. (Universidade de São Paulo)","","2023","Two-dimensional hydrodynamic models are computationally expensive. This drawback can limit their application to solving problems requiring real-time predictions or several simulation runs. Although the literature presented improvements in using Deep Learning as an alternative to hydrodynamic models, Artificial Neural Networks applications for flood prediction cannot satisfactorily predict floods for areas outside the training datasets with different boundary conditions. In this paper, we used a conditional generative adversarial network (cGAN) aiming to generalize flood predictions in catchments not included in the training process. The proposed method, called cGAN-Flood, uses two cGAN models to solve a rain-on-grid problem by first identifying wet cells and then estimating the water depths. The cGANs were trained using HEC-RAS outputs as ground truth. cGAN-Flood distributes a target flood volume (vt) in a given catchment, which can be calculated via water balance from hydrological simulations. Our approach was trained on ten and tested on five urban catchments with distinct characteristics. The cGAN-Flood was compared to HEC-RAS for different rainfall magnitudes and surface roughness. We also compared our approach to the Weighted Cellular Automata 2D (WCA2D), a rapid flood model (RFM) used for rain-on-grid simulations. Our method successfully predicted water depths in the testing areas, showing that cGAN-Flood could generalize to different locations. However, cGAN-Flood tended to underestimate depths in channels in some areas for events with a small peak of precipitation intensity. cGAN-Flood was 50 and 250 times faster than WCA2D and HEC-RAS, respectively. Due to its computational efficiency and accuracy, we suggest that cGAN-Flood can be applied when fast simulations are necessary, and it can be a viable modeling solution for flood forecasts in large-scale watersheds.","Deep learning; Generative adversarial networks; Rain on grid; Rapid flood modeling","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-17","","","Sanitary Engineering","","",""
"uuid:bb85e0b2-c199-47ea-b02e-b9cd20d370fc","http://resolver.tudelft.nl/uuid:bb85e0b2-c199-47ea-b02e-b9cd20d370fc","Implications of the breakdown in the indigenous knowledge system for rangeland management and policy: a case study from the Eastern Cape in South Africa","Finca, Andiswa (Agricultural Research Council); Linnane, Suzanne (Dundalk Institute of Technology); Slinger, J (TU Delft Policy Analysis); Getty, David (Dundalk Institute of Technology); Samuels, M. Igshaan (Agricultural Research Council)","","2023","Communal rangelands in South Africa are generally perceived as overgrazed owing to complexities in their histories and collective utilisation which often leads to improper management. A suitable solution has not been found in land management policies because local people’s contexts and their indigenous knowledge are ignored. Hence, this paper is aimed at (i) assessing the role indigenous knowledge can play in communal rangeland management, (ii) exploring working solutions to incorporate indigenous knowledge into effective communal rangeland management and land use policies, (iii) assessing mechanisms for generational transfer of indigenous knowledge. Findings from the Participatory Geographic Information System (PGIS) and Focus Group Discussion, conducted with Cata and Guquka villages in the Eastern Cape province were synthesised. This revealed that communal farmers have in-depth knowledge of their communal land, past and present rangeland management strategies and changes in rangeland condition. However, there is breakdown in the indigenous knowledge system whereby this knowledge is not being transferred and translated into good rangeland management practice, owing to the ageing population of communal farmers, limited youth involvement in livestock farming and limited access to extension services. This suggests a need for new policy approaches that would include participation of local people in policy planning and development.","indigenous knowledge; communal rangelands; policy; participatory GIS","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-27","","","Policy Analysis","","",""
"uuid:1d17fe6d-4084-449e-83d9-2193eb9d51a1","http://resolver.tudelft.nl/uuid:1d17fe6d-4084-449e-83d9-2193eb9d51a1","Maritime accidents in the Yangtze River: A time series analysis for 2011–2020","Sui, Zhongyi (Wuhan University of Technology; Universitat Autònoma de Barcelona); Wen, Yuanqiao (Wuhan University of Technology; National Engineering Research Center for Water Transport Safety (WTSC)); Huang, Yamin (Wuhan University of Technology; National Engineering Research Center for Water Transport Safety (WTSC)); Song, R. (TU Delft Safety and Security Science); Piera, Miquel Angel (Universitat Autònoma de Barcelona)","","2023","The theoretical analysis of maritime accidents is a hot topic, but the time characteristics and dynamics of maritime accidents time series are still unclear. It is difficult to draw a clear conclusion from the cause analysis, so the accident is difficult to be predicted. To bridge this gap, this research analyzes the characteristics and evolution mechanism of maritime accidents time series from the perspective of complex network theory. The visual graph algorithm is used to model the complex network of maritime accidents data in 22 jurisdictions of the Yangtze River, map the time series into a complex network, and reveal the time characteristics and dynamics of maritime accidents time series based on the complex system theory. In the empirical analysis, degree distribution, clustering coefficient and network diameter are used to analyze the characteristics of time series. The results show that the degree distribution of maritime accidents time series network presents power-law characteristics in the macro and micro levels, which shows that the maritime accidents time series is scale-free. In addition, according to the clustering coefficient and network diameter, maritime accidents time series in the Yangtze River has the characteristics of small-world and hierarchical structure. The research of this manuscript shows that the occurrence of maritime accidents is not random events and does not follow specific patterns but presents the characteristics of complex systems, and this phenomenon is common. The analysis of maritime accidents time series by complex network theory can provide theoretical support for maritime traffic safety management.","Maritime accidents; Scale-free; Small-world; Time series; Visibility graph; Yangtze River","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:90fa09ff-c9a2-4d9d-92f1-06ae65159ff4","http://resolver.tudelft.nl/uuid:90fa09ff-c9a2-4d9d-92f1-06ae65159ff4","Cost-effective maintenance of safety and security barriers in the chemical process industries via genetic algorithm","Yuan, S. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia; University of Tasmania); Bai, Y. (TU Delft Safety and Security Science; China University of Mining and Technology (Beijing))","","2023","Chemical plants face safety hazards and security threats that may induce catastrophic scenarios. Safety and security barriers are employed widely to protect chemical plants from accidental and intentional undesired events and mitigate consequences. Managing safety and security barriers effectively and economically is a research topic with practical significance. The analysis of undesired event scenarios, including both accidental and intentional adverse scenarios, and assessing associated safety and security barriers are critical regarding cost-efficient barrier maintenance. This study proposes a novel approach for optimizing safety and security barrier maintenance strategy considering economic constraints. This approach consists of three steps: scenario building and barrier identification, barrier modeling, and determining optimal barrier maintenance intervals. In the proposed approach, accident scenarios in terms of safety and physical security are constructed using the extended bow-tie diagrams. After associated safety and security barriers are identified, a system simulation model is developed to conduct barrier modeling based on MATLAB/Simulink simulations, in which the barrier maintenance, the impacts of human and organizational barriers, and the correlations between barriers caused by shared components are considered. Finally, a combination of cost-effectiveness analysis (CEA) and genetic algorithm (GA) is employed to support the decision-making on barrier maintenance optimization. An illustrative case is employed in this study to validate the feasibility of the proposed approach.","Barrier maintenance; Barrier modeling; Chemical industry; Cost-effectiveness analysis; Genetic algorithm; Integration of safety and security","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:e59f14db-3419-4ce3-abc7-ff036566fd70","http://resolver.tudelft.nl/uuid:e59f14db-3419-4ce3-abc7-ff036566fd70","Adsorbing CNCl on pristine, C-, and Al-doped boron nitride nanotubes: A density functional theory study","Doust Mohammadi, Mohsen (University of Tehran); Abdullah, Hewa Y. (Tishk International University); Biskos, G. (TU Delft Atmospheric Remote Sensing; The Cyprus Insitute); Bhowmick, Somnath (The Cyprus Insitute)","","2023","The density functional theory (DFT) framework was used to investigate the intermolecular interactions between cyanogen chloride (CNCl) pollutant gas molecule with pristine boron nitride nanotubes (BNNT), Al-doped boron nitride nanotubes (BNAlNT), and carbon boron nitride nanotubes (BC2NNT). The geometric structures of the resulting systems have been optimized using different methods, including B3LYP-D3(GD3BJ)/6-311G(d), ωB97XD/6-311G(d), and M06-2X/6-311G(d). The computed adsorption energies suggest that the studied nanotubes can enhance adsorption of CNCl, and thus promote its detection when employed as sensing materials. Wave function analysis has been implemented to study the type of intermolecular interactions at ωB97XD/6-311G(d,p) level of theory. Natural bond orbital (NBO) analysis has been used to study the charge transfer and bond order. Quantum theory of atoms in molecules (QTAIM) analysis has also been used to determine the type of interactions between the target gas and the nanotubes. To investigate the weak intermolecular interactions we also carried out non-covalent interaction analysis (NCI). The results also indicate that the CNCl-nanotube systems are created through physisorption as they are dominated by non-covalent interactions. The predicted adsorption energies increase as follows: BNAlNT: −1.175 eV > BC2NNT: −0.281 eV > BNNT: −0.256 eV; this shows that the aluminum-doped boron nitride nanotube is the best option from promoting adsorption of the target gas among them. The HOMO–LUMO energy gaps were as follows: BNNT: 7.090, BNAlNT: 9.193, and BC2NNT: 7.027 eV at B3LYP-D3/6-311G(d) level of theory.","Boron nitride nanotube; Cyanogen chloride, DFT; NBO; Wave function analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Atmospheric Remote Sensing","","",""
"uuid:b4e52a96-cdbb-446f-b26e-4c509d30d016","http://resolver.tudelft.nl/uuid:b4e52a96-cdbb-446f-b26e-4c509d30d016","Dense 3D pressure discomfort threshold (PDT) map of the human head, face and neck: A new method for mapping human sensitivity","Smulders, M. (TU Delft Mechatronic Design); van Dijk, L.N.M. (Crescent Medical B.V.); Song, Y. (TU Delft Mechatronic Design); Vink, P. (TU Delft Materials and Manufacturing); Huysmans, T. (TU Delft Applied Ergonomics and Design)","","2023","When designing wearables that interface with the human head, face and neck, designers and engineers consider human senses, ergonomics and comfort. A dense 3D pressure discomfort threshold map could be helpful, but does not exist yet. Differences in pressure discomfort threshold for areas of the head, neck and face were recorded, to create a 3D pressure discomfort threshold map.
Between 126 and 146 landmarks were placed on the left side of the head, face and neck of twenty-eight healthy participants (gender balanced). The positions of the landmarks were specified using an EEG 10–20 system-based landmark-grid on the head and a self-developed grid on the face and neck. A 3D scan was made to capture the head geometry and landmark coordinates. In a randomised order, pressure was applied on each landmark with a force gauge until the participant indicated experiencing discomfort. By interpolating all collected pressure discomfort thresholds based on their corresponding 3D coordinates, a dense 3D pressure discomfort threshold map was made.
A relatively low-pressure discomfort threshold was found in areas around the nose, neck front, mouth, chin-jaw, cheek and cheekbone, possibly due to the proximate or direct location of nerves, blood veins and soft (muscular) tissue. Medium pressure discomfort was found in the neck back, forehead and temple regions. High pressure discomfort threshold was found in the back of the head and scalp, where skin is relatively thin and closely supported by bone, making these regions interesting for mounting or resting head, face and neck related equipment upon.","Comfort; Digital human modelling; 3D scanning; Wearables; Pressure ulcers","en","journal article","","","","","","","","","","","Mechatronic Design","","",""
"uuid:0e0e1973-24bf-42a4-aec1-ac326cd71911","http://resolver.tudelft.nl/uuid:0e0e1973-24bf-42a4-aec1-ac326cd71911","Modelling metastatic colonization of cholangiocarcinoma organoids in decellularized lung and lymph nodes","van Tienderen, Gilles S. (Erasmus MC); van Beek, M.E.A. (Erasmus MC); Schurink, Ivo J. (Erasmus MC); Rosmark, Oskar (Lund University); Roest, Henk P.; Demmers, J.A.A. (Erasmus MC); Muntz, I.A.A. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft); Conboy, J.P. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft); Koenderink, G.H. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft)","","2023","Cholangiocarcinoma (CCA) is a type of liver cancer with an aggressive phenotype and dismal outcome in patients. The metastasis of CCA cancer cells to distant organs, commonly lung and lymph nodes, drastically reduces overall survival. However, mechanistic insight how CCA invades these metastatic sites is still lacking. This is partly because currently available models fail to mimic the complexity of tissue-specific environments for metastatic CCA. To create an in vitro model in which interactions between epithelial tumor cells and their surrounding extracellular matrix (ECM) can be studied in a metastatic setting, we combined patient-derived CCA organoids (CCAOs) (n=3) with decellularized human lung (n=3) and decellularized human lymph node (n=13). Decellularization resulted in removal of cells while preserving ECM structure and retaining important characteristics of the tissue origin. Proteomic analyses showed a tissue-specific ECM protein signature reflecting tissue functioning aspects. The macro and micro-scale mechanical properties, as determined by rheology and micro-indentation, revealed the local heterogeneity of the ECM. When growing CCAOs in decellularized lung and lymph nodes genes related to metastatic processes, including epithelial-to-mesenchymal transition and cancer stem cell plasticity, were significantly influenced by the ECM in an organ-specific manner. Furthermore, CCAOs exhibit significant differences in migration and proliferation dynamics dependent on the original patient tumor and donor of the target organ. In conclusion, CCA metastatic outgrowth is dictated both by the tumor itself as well as by the ECM of the target organ. Convergence of CCAOs with the ECM of its metastatic organs provide a new platform for mechanistic study of cancer metastasis.","Cholangiocarcinoma; decellularization; extracellular matrix; metastatic colonization; tumor organoids","en","journal article","","","","","","","","","","","BN/Gijsje Koenderink Lab","","",""
"uuid:59ea469d-5338-4025-98c6-cf2d19615880","http://resolver.tudelft.nl/uuid:59ea469d-5338-4025-98c6-cf2d19615880","Langs de Alumni Walk of Fame: deze historische W&S Alumnus: Prof. Dr. Ir. Hendricus J. van der Maas","van Woerkom, Paul Th.L.M.","Rozema, DirkJan (contributor)","2023","De TU Delft heeft in het kader van haar 180-jarig bestaan (1842 – 2022) in oktober 2022 een aantal nieuwe plaquettes geplaatst op haar campus langs de Mekelweg. De locatie wordt aangeduid met “Walk of Fame”. De plaquettes langs deze walk of Fame eren zowel zogenaamde TU Delft “Historische Alumni” en TU Delft “Hedendaagse Alumni”. Hierbij springt de naam van één der geplaquetteerde historische alumni direct in het oog: die van prof.dr.ir. Hendricus Jacobus van der Maas. Een naam die in de Nederlandse Luchtvaart- en Ruimtevaartgemeenschap bij voortduring met groot respect wordt genoemd. Maar een naam ook die binnen de faculteit waarbinnen hij in 1923 afstudeerde weinig Aha-Erlebnisse oproept. Wel bij LR en ver daarbuiten geëerd, maar bij 3mE vergeten? Hoog tijd dan ook om aandacht te besteden aan deze historische alumnus van onze eigen faculteit 3mE – een alumnus waarlijk nulli secundus....","H.J. van der Maas; prof.dr.ir. Hendricus Jacobus van der Maas; historische alumni","; nl","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","Precision and Microsystems Engineering (PME)","","","",""
"uuid:9dc36cb9-95ca-442e-b803-e61ddc19c3d1","http://resolver.tudelft.nl/uuid:9dc36cb9-95ca-442e-b803-e61ddc19c3d1","Eunomia: Anonymous and Secure Vehicular Digital Forensics based on Blockchain","Li, Meng (Hefei University of Technology); Chen, Yifei (Hefei University of Technology); Lal, C. (TU Delft Cyber Security); Conti, M. (University of Padua); Alazab, Mamoun (Charles Darwin University); Hu, Donghui (Hefei University of Technology)","","2023","Vehicular Digital Forensics (VDF) is essential to enable liability cognizance of accidents and fight against crimes. Ensuring the authority to timely gather, analyze, and trace data promotes vehicular investigations. However, adversaries crave the identity of the data provider/user, damage the evidence, violate evidence jurisdiction, and leak evidence. Therefore, protecting privacy and evidence accountability while guaranteeing access control and traceability in VDF is no easy task. To address the above-mentioned issues, we propose Eunomia: an anonymous and secure VDF scheme based on blockchain. It preserves privacy with decentralized anonymous credentials without trusted third parties. Vehicular data and evidence are uploaded by data providers to the blockchain and stored in distributed data storage. Each investigation is modeled as a finite state machine with state transitions being executed by smart contracts. Eunomia achieves fine-grained evidence access control via ciphertext-policy attribute-based encryption and Bulletproofs. A user must hold specific attributes and a temporary-and unexpired token/warrant to retrieve data from the blockchain. Finally, a secret key is embedded into data to trace the traitor if any evidence breach happens. We use a formal analysis to demonstrate the strong privacy and security properties of Eunomia. Moreover, we build a prototype in a WiFi-based Ethereum test network to evaluate its performance.","Vehicular networks; digital forensics; privacy; security; blockchain","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-16","","","Cyber Security","","",""
"uuid:45ad3cc9-3a64-4a39-bb77-1922f6e1a5f3","http://resolver.tudelft.nl/uuid:45ad3cc9-3a64-4a39-bb77-1922f6e1a5f3","Individual and joint body movement assessed by wearable sensing as a predictor of attraction in speed dates","Vargas Quiros, J.D. (TU Delft Pattern Recognition and Bioinformatics); Kapcak, Oyku (Student TU Delft); Hung, H.S. (TU Delft Pattern Recognition and Bioinformatics); Cabrera Quiros, L.C. (Costa Rican Institute of Technology)","","2023","Interpersonal attraction is known to motivate behavioral responses in the person experiencing this subjective phenomenon. Such responses may involve the imitation of behavior, as in mirroring or mimicry of postures or gestures, which have been found to be associated with the desire to be liked by an interlocutor. Speed dating provides a unique opportunity for the study of such behavioral manifestations of interpersonal attraction through the elimination of barriers to initiating communication, while maintaining significant ecological validity. In this paper we investigate the relationship between body movement, measured via accelerometer sensors, and self-reports or ratings of attraction and affiliation in a dataset of 399 speed dates between 72 subjects. Through machine learning experiments, we found that both features derived from a single individual's body movement and features designed to measure aspects of synchrony and convergence of the couple's body movement signals were predictive of different attraction ratings. Our statistical analysis revealed that the overall increase or decrease in an individual's body movement throughout an interaction is a potential indicator of friendly intentions, possibly related to the desire to affiliate.","Accelerometers; attraction; body movement; Convergence; convergence; Feature extraction; Machine learning; non-verbal behavior; Robot sensing systems; Sensors; speed dates; synchrony; Wearable computers","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-05","","","Pattern Recognition and Bioinformatics","","",""
"uuid:a27efc12-b18d-48a3-9c96-de97c10139df","http://resolver.tudelft.nl/uuid:a27efc12-b18d-48a3-9c96-de97c10139df","Extended balancing of continuous LTI systems: a structure-preserving approach","Borja Rosales, L.P. (TU Delft Learning & Autonomous Control); Scherpen, Jacquelien M.A. (Rijksuniversiteit Groningen); Fujimoto, Kenji (Kyoto University)","","2023","In this article, we treat extended balancing for continuous-time linear time-invariant systems. We take a dissipativity perspective, thus, resulting in a characterization in terms of linear matrix inequalities. This perspective is useful for determining a priori error bounds. In addition, we address the problem of structure-preserving model reduction of the subclass of port-Hamiltonian systems. We establish sufficient conditions to ensure that the reduced-order model preserves a port-Hamiltonian structure. Moreover, we show that the use of extended Gramians can be exploited to get a small error bound and, possibly, to preserve a physical interpretation for the reduced-order model. We illustrate the results with a large-scale mechanical system example. Furthermore, we show how to interpret a reduced-order model of an electrical circuit again as a lower dimensional electrical circuit.","Controllability; error bound; extended Gramians; Linear matrix inequalities; Linear systems; model reduction; Observability; port-Hamiltonian systems; Reduced order systems; Standards; Symmetric matrices","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Learning & Autonomous Control","","",""
"uuid:8561dfab-02f8-4e01-947e-7d8014922c9b","http://resolver.tudelft.nl/uuid:8561dfab-02f8-4e01-947e-7d8014922c9b","Single module identifiability in linear dynamic networks with partial excitation and measurement","Shi, S. (TU Delft Team Bart De Schutter; Eindhoven University of Technology); Cheng, Xiaodong (University of Cambridge); Van den Hof, Paul M.J. (Eindhoven University of Technology)","","2023","Identifiability of a single module in a network of transfer functions is determined by whether a particular transfer function in the network can be uniquely distinguished within a network model set, on the basis of data. Whereas previous research has focused on the situations that all network signals are either excited or measured, we develop generalized analysis results for the situation of partial measurement and partial excitation. As identifiability conditions typically require a sufficient number of external excitation signals, this article introduces a novel network model structure such that excitation from unmeasured noise signals is included, which leads to less conservative identifiability conditions than relying on measured excitation signals only. More importantly, graphical conditions are developed to verify global and generic identifiability of a single module based on the topology of the dynamic network. Depending on whether the input or the output of the module can be measured, we present four identifiability conditions which cover all possible situations in single module identification. These conditions further lead to synthesis approaches for allocating excitation signals and selecting measured signals, to warrant single module identifiability. In addition, if the identifiability conditions are satisfied for a sufficient number of external excitation signals only, indirect identification methods are developed to provide a consistent estimate of the module. All the obtained results are also extended to identifiability of multiple modules in the network.","Brain modeling; Data models; dynamic networks; graph theory; identifiability; MISO communication; Network topology; Power system dynamics; System identification; Topology; Transfer functions","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:5ced4c11-304b-45e0-add2-83aec77539a0","http://resolver.tudelft.nl/uuid:5ced4c11-304b-45e0-add2-83aec77539a0","Recognizing Hand Gestures using Solar Cells","Ma, Dong (Singapore Management University); Lan, G. (TU Delft Embedded Systems); Hu, Changshuo (University of New South Wales); Hassan, Mahbub (University of New South Wales); Hu, Wen (University of New South Wales); Mushfika, Upama (University of New South Wales); Uddin, Ashraf (University of New South Wales); Youssef, Moustafa (American University in Cairo; University of Alexandria)","","2023","We design a system, SolarGest, which can recognize hand gestures near a solar-powered device by analyzing the patterns of the photocurrent. SolarGest is based on the observation that each gesture interferes with incident light rays on the solar panel in a unique way, leaving its discernible signature in harvested photocurrent. Using solar energy harvesting laws, we develop a model to optimize design and usage of SolarGest. To further improve the robustness of SolarGest under non-deterministic operating conditions, we combine dynamic time warping with Z-score transformation in a signal processing pipeline to pre-process each gesture waveform before it is analyzed for classification. We evaluate SolarGest with both conventional opaque solar cells as well as emerging see-through transparent cells. Our experiments demonstrate that SolarGest achieves 99% for six gestures with a single cell and 95%for fifteen gesture with a22solar cell array. The power measurement study suggests that SolarGest consume 44% less power compared to light sensor based systems.","Solar energy harvesting; visible light sensing; gesture recognition","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-05","","","Embedded Systems","","",""
"uuid:704dd3e3-ee8b-4104-85a9-8a5321c82f51","http://resolver.tudelft.nl/uuid:704dd3e3-ee8b-4104-85a9-8a5321c82f51","A Fixed-Wing UAV Formation Algorithm Based on Vector Field Guidance","Wang, X. (TU Delft Team Bart De Schutter); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); Feng, Xuewei (Taiyuan University of Technology); Wu, Changwei (Taiyuan University of Technology); Xie, Hongwei (Taiyuan University of Technology); De Schutter, B.H.K. (TU Delft Team Bart De Schutter)","","2023","The vector field method was originally proposed to guide a single fixed-wing Unmanned Aerial Vehicle (UAV) towards a desired path. In this work, a non-uniform vector field method is proposed that changes in both magnitude and direction, for the purpose of achieving formations of UAVs. As compared to related work in the literature, the proposed formation control law does not need to assume absence of wind. That is, due to the effect of the wind on the UAV, one can handle the UAV air speed being different from its ground speed, and the UAV heading angle being different from its course angle. Stability of the proposed formation method is analyzed via Lyapunov stability theory, and validations are carried out in software-in-the-loop and hardware-in-the-loop comparative experiments. Note to Practitioners - The software-in-the-loop and hardware-in-the-loop experiments, which are done with PX4 autopilot software and hardware, show that the proposed method can be implemented on board of UAVs and integrated with the control architecture of existing autopilot suites. Comparisons with standard formation algorithms show that the proposed method is effective in achieving formation in different path scenarios.","Autonomous aerial vehicles; Autopilot; Computer architecture; Formation control; hardware-in-the-loop; Orbits; PX4 autopilot.; Standards; Task analysis; unmanned aerial vehicles; vector field; Wind speed","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:14b1af75-bf0f-42d6-b2cb-33232afacd4b","http://resolver.tudelft.nl/uuid:14b1af75-bf0f-42d6-b2cb-33232afacd4b","Distributed Actor-Critic Algorithms for Multiagent Reinforcement Learning Over Directed Graphs","Dai, Pengcheng (Southeast University); Yu, Wenwu (Southeast University); Wang, He (Southeast University); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University)","","2023","Actor-critic (AC) cooperative multiagent reinforcement learning (MARL) over directed graphs is studied in this article. The goal of the agents in MARL is to maximize the globally averaged return in a distributed way, i.e., each agent can only exchange information with its neighboring agents. AC methods proposed in the literature require the communication graphs to be undirected and the weight matrices to be doubly stochastic (more precisely, the weight matrices are row stochastic and their expectation are column stochastic). Differently from these methods, we propose a distributed AC algorithm for MARL over directed graph with fixed topology that only requires the weight matrix to be row stochastic. Then, we also study the MARL over directed graphs (possibly not connected) with changing topologies, proposing a different distributed AC algorithm based on the push-sum protocol that only requires the weight matrices to be column stochastic. Convergence of the proposed algorithms is proven for linear function approximation of the action value function. Simulations are presented to demonstrate the effectiveness of the proposed algorithms.","Approximation algorithms; Convergence; Directed graph; Directed graphs; distributed actor-critic (AC) algorithm; Function approximation; multiagent reinforcement learning (MARL); Protocols; push-sum protocol.; Q-learning; Topology","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-07-11","","","Team Bart De Schutter","","",""
"uuid:c8e12baf-f9fb-472b-8343-bfc930c2c2a5","http://resolver.tudelft.nl/uuid:c8e12baf-f9fb-472b-8343-bfc930c2c2a5","Adaptive Prescribed Performance Asymptotic Tracking for High-Order Odd-Rational-Power Nonlinear Systems","Lv, Maolong (TU Delft Team Bart De Schutter); De Schutter, B.H.K. (TU Delft Team Bart De Schutter); Cao, Jinde (Southeast University); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University)","","2023","Practical tracking results have been reported in the literature for high-order odd-rational-power nonlinear dynamics (a chain of integrators whose power is the ratio of odd integers). Asymptotic tracking remains an open problem for such dynamics. This note gives a positive answer to this problem in the framework of prescribed performance control, without approximation structures (neural networks, fuzzy logic, etc.) being involved in the control design. The unknown system uncertainties are first transformed to unknown but bounded terms using barrier Lyapunov functions, and then these terms are compensated by appropriate adaptation laws. A method is also proposed to extract the control terms in a linear-like fashion during the control design, which overcomes the difficulty that virtual or actual control signals appear in a nonaffine manner. A practical poppet valve system is used to validate the effectiveness of the theoretical findings.","Asymptotic tracking; Control design; High-order odd-rational-power nonlinear systems; Hysteresis; Neural networks; Nonlinear dynamical systems; Prescribed performance; Quantization (signal); Trajectory; Uncertainty","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Bart De Schutter","","",""
"uuid:6de8ebab-7dc1-4a81-bac7-323e53db9592","http://resolver.tudelft.nl/uuid:6de8ebab-7dc1-4a81-bac7-323e53db9592","Training Generative Adversarial Networks via Stochastic Nash Games","Franci, B. (TU Delft Team Sergio Grammatico); Grammatico, S. (TU Delft Team Sergio Grammatico; TU Delft Team Bart De Schutter)","","2023","Generative adversarial networks (GANs) are a class of generative models with two antagonistic neural networks: a generator and a discriminator. These two neural networks compete against each other through an adversarial process that can be modeled as a stochastic Nash equilibrium problem. Since the associated training process is challenging, it is fundamental to design reliable algorithms to compute an equilibrium. In this article, we propose a stochastic relaxed forward-backward (SRFB) algorithm for GANs, and we show convergence to an exact solution when an increasing number of data is available. We also show convergence of an averaged variant of the SRFB algorithm to a neighborhood of the solution when only a few samples are available. In both cases, convergence is guaranteed when the pseudogradient mapping of the game is monotone. This assumption is among the weakest known in the literature. Moreover, we apply our algorithm to the image generation problem.","Convergence; Games; Generative adversarial networks; Generative adversarial networks (GANs); Generators; Neural networks; stochastic Nash equilibrium (SNE) problems (SNEPs); Stochastic processes; Training; two-player game; variational inequalities.","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-02-26","","","Team Sergio Grammatico","","",""
"uuid:e30a43ca-720d-44c7-b385-390da0b2fd6f","http://resolver.tudelft.nl/uuid:e30a43ca-720d-44c7-b385-390da0b2fd6f","Fuzzy Adaptive Zero-Error-Constrained Tracking Control for HFVs in the Presence of Multiple Unknown Control Directions","Lv, Maolong (Air Force Engineering University China); De Schutter, B.H.K. (TU Delft Team Bart De Schutter); Wang, Ying (Air Force Engineering University China); Shen, Di (Air Force Engineering University China)","","2023","This article attempts to realize zero-error constrained tracking for hypersonic flight vehicles (HFVs) subject to unknown control directions and asymmetric flight state constraints. The main challenges of reaching such goals consist in that addressing multiple unknown control directions requires novel conditional inequalities encompassing the summation of multiple Nussbaum integral terms, and in that the summation of conditional inequality may be bounded even when each term approaches infinity individually, but with opposite signs. To handle this challenge, novel Nussbaum functions that are designed in such a way that their signs keep the same on some periods of time are incorporated into the control design, which not only ensures the boundedness of multiple Nussbaum integral terms but preserves that velocity and altitude tracking errors eventually converge to zero. Fuzzy-logic systems (FLSs) are exploited to approximate model uncertainties. Asymmetric integral barrier Lyapunov functions (IBLFs) are adopted to handle the fact that the operating regions of flight state variables are asymmetric in practice, while ensuring the validity of fuzzy-logic approximators. Comparative simulations validate the effectiveness of our proposed methodology in guaranteeing convergence, smoothness, constraints satisfaction, and in handling unknown control directions.","Aerodynamics; Control design; Flight state constraints; hypersonic flight vehicles; Integral equations; Lyapunov methods; Mathematical models; Stability analysis; unknown control directions; Vehicle dynamics; zero-error tracking","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-23","","","Team Bart De Schutter","","",""
"uuid:a15b4c34-ec6e-4421-bf92-eedee8bb5e36","http://resolver.tudelft.nl/uuid:a15b4c34-ec6e-4421-bf92-eedee8bb5e36","Pull Request Decisions Explained: An Empirical Overview","Zhang, Xunhui (National University of Defense Technology); Yu, Yue (National University of Defense Technology); Gousios, G. (TU Delft Software Technology); Rastogi, A. (TU Delft Software Engineering; Rijksuniversiteit Groningen)","","2023","Context: The pull-based development model is widely used in open source projects, leading to the emergence of trends in distributed software development. One aspect that has garnered significant attention concerning pull request decisions is the identification of explanatory factors. Objective: This study builds on a decade of research on pull request decisions and provides further insights. We empirically investigate how factors influence pull request decisions and the scenarios that change the influence of such factors. Method: We identify factors influencing pull request decisions on GitHub through a systematic literature review and infer them by mining archival data. We collect a total of 3,347,937 pull requests with 95 features from 11,230 diverse projects on GitHub. Using these data, we explore the relations among the factors and build mixed effects logistic regression models to empirically explain pull request decisions. Results: Our study shows that a small number of factors explain pull request decisions, with that concerning whether the integrator is the same as or different from the submitter being the most important factor. We also note that the influence of factors on pull request decisions change with a change in context; e.g., the area hotness of pull request is important only in the early stage of project development, however it becomes unimportant for pull request decisions as projects become mature.","pull-based development; pull request decision; distributed software development; GitHub","en","journal article","","","","","","","","2023-03-06","","Software Technology","Software Engineering","","",""
"uuid:4420a964-7423-44d3-96b5-bd1450c3b5ea","http://resolver.tudelft.nl/uuid:4420a964-7423-44d3-96b5-bd1450c3b5ea","Collecting Mementos: A Multimodal Dataset for Context-Sensitive Modeling of Affect and Memory Processing in Responses to Videos","Dudzik, B.J.W. (TU Delft Pattern Recognition and Bioinformatics); Hung, H.S. (TU Delft Pattern Recognition and Bioinformatics); Neerincx, M.A. (TU Delft Interactive Intelligence); Broekens, D.J. (Universiteit Leiden)","","2023","In this article we introduce Mementos: the first multimodal corpus for computational modeling of affect and memory processing in response to video content. It was collected online via crowdsourcing and captures 1995 individual responses collected from 297 unique viewers responding to 42 different segments of music videos. Apart from webcam recordings of their upper-body behavior (totaling 2012 minutes) and self-reports of their emotional experience, it contains detailed descriptions of the occurrence and content of 989 personal memories triggered by the video content. Finally, the dataset includes self-report measures related to individual differences in participants' background and situation (Demographics, Personality, and Mood), thereby facilitating the exploration of important contextual factors in research using the dataset. We describe 1) the construction and contents of the corpus itself, 2) analyse the validity of its content by investigating biases and consistency with existing research on affect and memory processing, 3) review previously published work that demonstrates the usefulness of the multimodal data in the corpus for research on automated detection and prediction tasks, and 4) provide suggestions for how the dataset can be used in future research on modeling Video-Induced Emotions, Memory-Associated Affect, and Memory Evocation.","Affect Detection; Atmospheric measurements; Computational modeling; Context-Sensitivity; Films; Media; Memory Evocation; Memory-Associated Affect; Mood; Multimodal Dataset; Particle measurements; Personal Memory; Personalization; Video Affective Content Analysis; Video-induced Emotion; Videos","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","","Pattern Recognition and Bioinformatics","","",""
"uuid:a4d80371-1673-476d-a6db-f09aae329e83","http://resolver.tudelft.nl/uuid:a4d80371-1673-476d-a6db-f09aae329e83","Band-Passing Nonlinearity in Reset Elements","Karbasizadeh, Nima (TU Delft Mechatronic Systems Design); Ahmadi Dastjerdi, A. (TU Delft Mechatronic Systems Design); Saikumar, N. (TU Delft Mechatronic Systems Design); Hassan HosseinNia, S. (TU Delft Mechatronic Systems Design)","","2023","This article addresses nonlinearity in reset elements and its effects. Reset elements are known for having less phase lag based on describing function (DF) analysis compared to their linear counterparts; however, they are nonlinear elements and produce higher-order harmonics. This article investigates the steady-state higher-order harmonics for reset elements with one resetting state and proposes an architecture and a method of design that allows for band-passing the nonlinearity and its effects, namely, higher-order harmonics and phase advantage. The nonlinearity of reset elements is not entirely useful for all frequencies, for example, they are useful for reducing phase lag at crossover frequency regions; however, higher-order harmonics can compromise tracking and disturbance rejection performance at lower frequencies. Using the proposed “phase shaping” method, one can selectively suppress the nonlinearity of a single-state reset element in a desired range of frequencies and allow the nonlinearity to provide its phase benefit in a different desired range of frequencies. This can be especially useful for the reset elements in the framework of the “constant in gain, lead in phase” (CgLp) filter, which is a newly introduced nonlinear filter, bound to circumvent the well-known linear control limitation—the waterbed effect.","","en","journal article","","","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:9526fff4-b294-4c9e-89a2-25a5d3a345a0","http://resolver.tudelft.nl/uuid:9526fff4-b294-4c9e-89a2-25a5d3a345a0","Acknowledging the Dignity of Architectural Heritage: Adding a Fourth Virtue to the Vitruvian Triad","Clarke, Nicholas (TU Delft Heritage & Architecture); Kuipers, M.C. (TU Delft Heritage & Values)","","2023","Addressing the complex legacies of the past in architectural education and built constructions, calls for a reconsidering of the principles of architectural design and conservation. The current challenges of housing, sustainable development and heritage adaptation present huge dilemmas for architects. Yet today architects are only by exception trained to detect heritage values prior to drafting their interventions for adaptive reuse or upgrading. To this day, Western architectural thinking is influenced by the Vitruvian triad Firmitas, Utilitas and Venustas, and the truncated maxim ‘Form Follows Function’ as disseminated by the protagonists of the Modern Movement. These established a divide between the design for new-build and the care of already existing buildings. This divide is marked by the two Charters of Athens: the 1931 Carta del Restauro adopted at the First International Congress of Architects and Technicians of Historic Monuments, and La Chartre d’Athènes presented by Le Corbusier as a result of the 4th CIAM Congress on the Functional City (1933). This paper attempts to bridge the identified divide by adding the idea of ‘Dignitas’ (dignity) as an equal virtue to the Vitruvian triad. Though not new for itself, this concept may aid to raise awareness of architectural dignity in extant buildings.","architectural theory; architectural education; conservation theory; renovation challenge; dignitas","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:f0393396-0044-4cc4-8d44-68950eeaade5","http://resolver.tudelft.nl/uuid:f0393396-0044-4cc4-8d44-68950eeaade5","Single and Multi-objective Test Cases Prioritization for Self-driving Cars in Virtual Environments","Birchler, Christian (Zurich University of Applied Science (ZHAW)); Khatiri, Sajad (Zurich University of Applied Science (ZHAW)); Derakhshanfar, P. (TU Delft Software Engineering); Panichella, Sebastiano (Zurich University of Applied Science (ZHAW)); Panichella, A. (TU Delft Software Engineering)","","2023","Testing with simulation environments helps to identify critical failing scenarios for self-driving cars (SDCs). Simulation-based tests are safer than in-field operational tests and allow detecting software defects before deployment. However, these tests are very expensive and are too many to be run frequently within limited time constraints.In this article, we investigate test case prioritization techniques to increase the ability to detect SDC regression faults with virtual tests earlier. Our approach, called SDC-Prioritizer, prioritizes virtual tests for SDCs according to static features of the roads we designed to be used within the driving scenarios. These features can be collected without running the tests, which means that they do not require past execution results. We introduce two evolutionary approaches to prioritize the test cases using diversity metrics (black-box heuristics) computed on these static features. These two approaches, called SO-SDC-Prioritizer and MO-SDC-Prioritizer, use single-objective and multi-objective genetic algorithms (GA), respectively, to find trade-offs between executing the less expensive tests and the most diverse test cases earlier.Our empirical study conducted in the SDC domain shows that MO-SDC-Prioritizer significantly (P- value <=0.1e-10) improves the ability to detect safety-critical failures at the same level of execution time compared to baselines: random and greedy-based test case orderings. Besides, our study indicates that multi-objective meta-heuristics outperform single-objective approaches when prioritizing simulation-based tests for SDCs.MO-SDC-Prioritizer prioritizes test cases with a large improvement in fault detection while its overhead (up to 0.45% of the test execution cost) is negligible.","Autonomous Systems; Software Simulation; Test Case Prioritization; Self-driving cars; Search-based Software Engineering; Software Testing; Evolutionary computation","en","journal article","","","","","","","","","","","Software Engineering","","",""
"uuid:ac0ab01e-8d77-40e0-a304-b5497baf29ba","http://resolver.tudelft.nl/uuid:ac0ab01e-8d77-40e0-a304-b5497baf29ba","Power Disequilibrium Suppression in Bipolar DC Distribution Grids By Using A Series-Parallel Power Flow Controller","Liao, Jianquan (Sichuan University); Zhou, Niancheng (Chongqing University); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Wang, Qianggang (Chongqing University); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","The unbalanced power between positive and negative poles in a bipolar DC distribution network (DC-DN) generates an unbalanced current at the neutral line, which enlarges the power losses of the system and the voltage deviation of DC loads. An unbalanced power suppression strategy based on a series-parallel power flow controller (SP-PFC) is proposed in this paper. The SP-PFC is adopted as the interconnection between two different DC-DNs. The topology and operating modes of SP-PFC are analyzed. Subsequently, SP-PFC output voltage and line current expressions under constant power control are derived. The nonlinear relationship between the output voltage and line current is linearized at the operating point. On this basis, the influences of unbalanced load and receiving-end voltage on the SP-PFC are investigated. A small-signal model of bipolar DC-DN containing an SP-PFC is established, and the system stability is analyzed. A simulation model of the bipolar DC distribution network containing an SP-PFC is built up in MATLAB/Simulink, and the effectiveness of the SP-PFC in the suppression of unbalanced power is verified.","Bipolar DC distribution network; unbalanced power; power flow controller; constant power control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-01","","","DC systems, Energy conversion & Storage","","",""
"uuid:1280a3c4-b32b-4019-9903-c82996848040","http://resolver.tudelft.nl/uuid:1280a3c4-b32b-4019-9903-c82996848040","DeepPick: A Deep Learning Approach to Unveil Outstanding Users Ranking with Public Attainable Features","Li, Wanda (Fudan University); Xu, Zhiwei (Fudan University); Sun, Yi (Fudan University); Gong, Qingyuan (Fudan University); Chen, Y. (Fudan University); Ding, Aaron Yi (TU Delft Information and Communication Technology); Wang, Xin (Fudan University); Hui, Pan (The Hong Kong University of Science and Technology; University of Helsinki)","","2023","Outstanding users (OUs) denote the influential, 'core' or 'bridge' users in online social networks. How to accurately detect and rank them is an important problem for third-party online service providers and researchers. Conventional efforts, ranging from early graph-based algorithms to recent machine learning-based approaches, typically rely on an entire social network's information. However, for privacy-conscious users or newly-registered users, such information is not easily accessible. To address this issue, we present DeepPick, a novel framework that considers both the generalization and specialization in the detection task of OUs. For generalization, we introduce deep neural networks to capture dynamic features of the users. For specialization, we leverage the traditional descriptive features to make use of public information about users. Extensive experiments based on real-world datasets demonstrate that our approach achieves a high efficacy of detection performance against the state-of-the-art.","Bridges; Computer science; Deep Neural Networks; Feature extraction; Integrated circuit modeling; Neural networks; Online Social Networks; Outstanding User Detection; Social networking (online); Task analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Information and Communication Technology","","",""
"uuid:c8fde9a9-ed21-451f-b76f-abde0e357b12","http://resolver.tudelft.nl/uuid:c8fde9a9-ed21-451f-b76f-abde0e357b12","Low-Voltage dc System Building Blocks: Integrated Power Flow Control and Short Circuit Protection","Purgat, P. (Eaton Industries GmbH); Shekhar, A. (TU Delft DC systems, Energy conversion & Storage); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","Low-voltage direct current (LVdc) systems are a promising technology for systems with a high penetration of renewable sources and storage that operate with bidirectional power flow. In this article, a fundamental building block for LVdc is presented for different applications, such as charge controllers, voltage regulation in street-lighting systems, and current limiters in meshed dc grids. The developed building block integrates a solid-state circuit breaker (SSCB) and partially rated power flow control converter (PFCC) capable of achieving the given control objectives with extremely high system efficiency and full short circuit protection.","Buildings; Lighting; Silicon; Data centers; Costs; Voltage; Microgrids","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-30","","","DC systems, Energy conversion & Storage","","",""
"uuid:1fe808ba-a627-4bc9-aaea-03f90883e5aa","http://resolver.tudelft.nl/uuid:1fe808ba-a627-4bc9-aaea-03f90883e5aa","Compatibility Assessment of Multistatic/Polarimetric Clutter Data with the SIRP Model","Aubry, Augusto (Università degli Studi di Napoli Federico II); Carotenuto, Vincenzo (Università degli Studi di Napoli Federico II); De Maio, Antonio (Università degli Studi di Napoli Federico II); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2023","This article deals with the statistical inference of simultaneously recorded co- and cross-polarized bistatic coherent sea-clutter returns at S-band. This study is conducted employing appropriate statistical learning tools, involving the complex envelope of data, to assess the compliance of the available measurements with the spherically invariant random process (SIRP) representation, as well as to analyze possible texture correlations among the diverse polarimetric channels. Moreover, the spatial heterogeneity of the sea-clutter data is studied. The results highlight that the SIRP model is a good candidate for the representation of bistatic coherent clutter and usually the coherence time of the SIRP texture at the bistatic nodes is longer than that in the monostatic sensing. Notably, at bistatic angles in order of 60°, the quadrature components of the cross-polarized bistatic measurements substantially exhibit a Gaussian behavior. These achievements further shed light on the bistatic sea-clutter diversity from the geometric and polarimetric point of view.","Multistatic/polarimetric radar; Spherically Invariant Random Process (SIRP); Geometry; sea-clutter; coherence time; spatial heterogeneity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-31","","","Microwave Sensing, Signals & Systems","","",""
"uuid:e7a48097-5f24-4199-ba54-fdc276cc0dcf","http://resolver.tudelft.nl/uuid:e7a48097-5f24-4199-ba54-fdc276cc0dcf","Output Controllability of a Linear Dynamical System with Sparse Controls","Joseph, G. (TU Delft Signal Processing Systems)","","2023","In this article, we study the conditions to be satisfied by a discrete-time linear system to ensure output controllability using sparse control inputs. A set of necessary and sufficient conditions can be directly obtained by extending the Kalman rank test for output controllability. However, the verification of these conditions is computationally heavy due to their combinatorial nature. Therefore, we derive noncombinatorial conditions for output sparse controllability that can be verified with polynomial time complexity. Our results also provide bounds on the minimum sparsity level required to ensure output controllability of the system. This additional insight is useful for designing sparse control input that drives the system to any desired output.","Controllability; linear dynamical systems; time-varying support; discrete-time system; sparsity; output controllability; Kalman rank test; optimal sparse control; general linear systems; minimal input","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public","","2023-09-13","","","Signal Processing Systems","","",""
"uuid:996e61c7-a501-4b73-8b97-06c582ee5e6f","http://resolver.tudelft.nl/uuid:996e61c7-a501-4b73-8b97-06c582ee5e6f","Zorro: Valid, sparse, and stable explanations in graph neural networks","Funke, Thorben (Leibniz University of Hannover); Khosla, M. (TU Delft Multimedia Computing); Rathee, Mandeep (Leibniz University of Hannover); Anand, A. (TU Delft Web Information Systems)","","2023","With the ever-increasing popularity and applications of graph neural networks, several proposals have been made to explain and understand the decisions of a graph neural network. Explanations for graph neural networks differ in principle from other input settings. It is important to attribute the decision to input features and other related instances connected by the graph structure. We find that the previous explanation generation approaches that maximize the mutual information between the label distribution produced by the model and the explanation to be restrictive. Specifically, existing approaches do not enforce explanations to be valid, sparse, or robust to input perturbations. In this paper, we lay down some of the fundamental principles that an explanation method for graph neural networks should follow and introduce a metric RDT-Fidelity as a measure of the explanation's effectiveness. We propose a novel approach Zorro based on the principles from rate-distortion theory that uses a simple combinatorial procedure to optimize for RDT-Fidelity. Extensive experiments on real and synthetic datasets reveal that Zorro produces sparser, stable, and more faithful explanations than existing graph neural network explanation approaches.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-24","","","Multimedia Computing","","",""
"uuid:ab99a0a3-9c56-4f80-a753-0f7c079ff03b","http://resolver.tudelft.nl/uuid:ab99a0a3-9c56-4f80-a753-0f7c079ff03b","Abstracting the Traffic of Nonlinear Event-Triggered Control Systems","Delimpaltadakis, Giannis (TU Delft Team Manuel Mazo Jr); Mazo, M. (TU Delft Team Manuel Mazo Jr)","","2023","Scheduling communication traffic in networks of event-triggered control (ETC) systems is challenging, as their sampling times are unknown, hindering application of ETC in networks. In previous work, finite-state abstractions were created, capturing the sampling behavior of linear time-invariant (LTI) ETC systems with quadratic triggering functions. Offering an infinite-horizon look to ETC systems' sampling patterns, such abstractions can be used for scheduling of ETC traffic. Here, we significantly extend this framework, by abstracting perturbed uncertain nonlinear ETC systems with general triggering functions. To construct an ETC system's abstraction: 1) the state space is partitioned into regions; 2) for each region, an interval is determined, containing all intersampling times of points in the region; and 3) the abstraction's transitions are determined through reachability analysis. To determine intervals and transitions, we devise algorithms based on reachability analysis. For partitioning, we propose an approach based on isochronous manifolds, resulting into tighter intervals and providing control over them, thus containing the abstraction's nondeterminism. Simulations showcase our developments.","Control systems; Linear systems; Reachability analysis; Timing; Trajectory; Uncertainty; Writing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-01","","","Team Manuel Mazo Jr","","",""
"uuid:41d54d45-250a-4cfb-b5b5-4b129994adb2","http://resolver.tudelft.nl/uuid:41d54d45-250a-4cfb-b5b5-4b129994adb2","Integrated Sensing and Communication in UAV Swarms for Cooperative Multiple Targets Tracking","Zhou, Longyu (University of Electronic Science and Technology of China (UESTC)); Leng, Supeng (University of Electronic Science and Technology of China (UESTC)); Wang, Q. (TU Delft Embedded Systems); Liu, Qiang (University of Electronic Science and Technology of China (UESTC))","","2023","Various interconnected Internet of Things (IoT) devices have emerged, led by the intelligence of the IoT, to realize exceptional interaction with the physical world. In this context, UAV swarm-enabled Multiple Targets Tracking (UAV-MTT), which can sense and track mobile targets for many applications such as hit-and-run, is an appealing topic. Unfortunately, UAVs cannot implement real-time MTT based on the traditional centralized pattern due to the complicated road network environment. It is also challenging to realize low-overhead UAV swarm cooperation in a distributed architecture for the real-time MTT. To address the problem, we propose a cyber-twin-based distributed tracking algorithm to update and optimize a trained digital model for real-time MTT. We then design a distributed cooperative tracking framework to promote MTT performance. In the design, both short-distance and long-distance distributed tracking cooperation manners are first realized with low energy consumption in communication by integrating resources of sensing and communication. Resource integration promotes target sensing efficiency with a highly successful tracking ratio as well. Theoretical derivation proves our algorithmic convergence. Hardware-in-the-loop simulation results demonstrate that our proposed algorithm can remarkably save 65.7% energy consumption in communication compared to other benchmarks while efficiently promoting 20.0% sensing performance.","Integrated sensing and communication; UAV swarm; Target tracking; cyber-twin","en","journal article","","","","","","","","2023-10-05","","","Embedded Systems","","",""
"uuid:71dec77a-bb95-4e9a-86bd-3b39d620d29f","http://resolver.tudelft.nl/uuid:71dec77a-bb95-4e9a-86bd-3b39d620d29f","Accurate and Energy-Efficient Bit-Slicing for RRAM-Based Neural Networks","Diware, S.S. (TU Delft Computer Engineering); Singh, A. (TU Delft Computer Engineering); Gebregiorgis, A.B. (TU Delft Computer Engineering); Joshi, Rajiv V. (IBM Thomas J. Watson Research Centre); Hamdioui, S. (TU Delft Quantum & Computer Engineering); Bishnoi, R.K. (TU Delft Computer Engineering)","","2023","Computation-in-memory (CIM) paradigm leverages emerging memory technologies such as resistive random access memories (RRAMs) to process the data within the memory itself. This alleviates the memory-processor bottleneck resulting in much higher hardware efficiency compared to von-Neumann architecture-based conventional hardware. Hence, CIM becomes an attractive alternative for applications like neural networks which require a huge number of data transfer operations in conventional hardware. CIM-based neural networks typically employ bit-slicing scheme which represents a single neural weight using multiple RRAM devices (called slices) to meet the high bit-precision demand. However, such neural networks suffer from significant accuracy degradation due to non-zero Gmin error where a zero weight in the neural network is represented by an RRAM device with a non-zero conductance. This paper proposes an unbalanced bit-slicing scheme to mitigate the impact of non-zero Gmin error. It achieves this by allocating appropriate sensing margins for different slices based on their binary positions. It also tunes the sensing margins to meet the demands of either high accuracy or energy-efficiency. The sensing margin allocation is supported by 2's complement arithmetic which further reduces the influence of non-zero Gmin error. Simulation results show that our proposed scheme achieves up to 7.3× accuracy and up to 7.8× correct operations per unit energy consumption compared to state-of-the-art.","Computation-in-memory; bit-slicing; neural networks; non-zero Gmin error; conductance variation; nonidealities","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-07","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:9491e6e1-fbce-4369-9049-cc3fd9202827","http://resolver.tudelft.nl/uuid:9491e6e1-fbce-4369-9049-cc3fd9202827","Discrete-Time Fractional-Order Dynamical Networks Minimum-Energy State Estimation","Chatterjee, Sarthak (Rensselaer Polytechnic Institute); Alessandretti, Andrea (Magneti Marelli); Aguiar, A. Pedro (Universidade do Porto); Gonçalves Melo Pequito, S.D. (TU Delft Team Sergio Pequito)","","2023","Fractional-order dynamical networks are increasingly being used to model and describe processes demonstrating long-term memory or complex interlaced dependencies among the spatial and temporal components of a wide variety of dynamical networks. Notable examples include networked control systems or neurophysiological networks which are created using electroencephalographic (EEG) or blood-oxygen-level-dependent data. As a result, the estimation of the states of fractional-order dynamical networks poses an important problem. To this effect, this article addresses the problem of minimum-energy state estimation for discrete-time fractional-order dynamical networks, where the state and output equations are affected by an additive noise that is considered to be deterministic, bounded, and unknown. Specifically, we derive the corresponding estimator and show that the resulting estimation error is exponentially input-to-state stable with respect to the disturbances and to a signal that is decreasing with the increase of the accuracy of the adopted approximation model. An illustrative example shows the effectiveness of the proposed method on real-world neurophysiological networks. Our results may significantly contribute to the development of novel neurotechnologies, particularly in the development of state estimation paradigms for neural signals such as EEG, which are often noisy signals known to be affected by artifacts not having any particular stochastic characterization.","Additives; Biological networks; cyber-physical systems; decision/estimation theory; Electroencephalography; Linear programming; Network systems; other applications; State estimation; Symmetric matrices; Uncertainty","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-16","","","Team Sergio Pequito","","",""
"uuid:93a0cd82-772c-4148-a2d3-1c3b229f14f0","http://resolver.tudelft.nl/uuid:93a0cd82-772c-4148-a2d3-1c3b229f14f0","Energy-Efficient Routing of a Multirobot Station: A Flexible Time-Space Network Approach","Xin, Jianbin (Zhengzhou University); Meng, Chuang (Zhengzhou University); D'Ariano, Andrea (University of Roma Tre); Schulte, F. (TU Delft Transport Engineering and Logistics); Peng, Jinzhu (Zhengzhou University); Negenborn, R.R. (TU Delft Transport Engineering and Logistics)","","2023","This paper investigates a novel routing problem of a multi-robot station in a manufacturing cell. In the existing literature, the objective is to minimize the cycle time or energy consumption separately. The routing problem considered in this paper aims to reduce the cycle time and energy consumption jointly for each robot while avoiding collisions between these robots. For this routing problem, we propose a new flexible time-space network model that allows us to reduce energy consumption while minimizing the cycle time. The corresponding optimization problem is Mixed-Integer Nonlinear Programming (MINLP). For addressing its computational complexity, this paper designs a metaheuristic algorithm tailored to the studied problem and proposes an ϵ-constraint algorithm to study the trade-off between these two objectives. We conduct industrially relevant simulation experiments of case studies to show its effectiveness, in comparison to a conventional method, two state-of-the-art solvers, and two commonly-used metaheuristics. The results show that the proposed methodology can reduce energy consumption by up to 30% without compromising the cycle time. Meanwhile, the proposed algorithm can provide efficient solutions within a reasonable computation time. Note to Practitioners-This paper is motivated by the problem of improving energy efficiency when routing cooperative robots in a manufacturing station. In current approaches for routing multi-robot stations, the cycle time and energy consumption are minimized separately. This paper focuses on the movement of the robot end-effector and its connected joint and suggests a new approach to minimize these two objectives jointly by proposing a new mathematical model. The resulting planning problem is computationally intractable. A customized metaheuristic algorithm is thus designed for efficiently solving this planning problem. Our meta-heuristic algorithm is integrated with the ϵ-constraint method to study the relationship between these two objectives. Simulation experiments suggest that this approach can reduce energy consumption considerably, for the shortest cycle time, compared with the current approaches. In future research, the movements of multi-joints will be investigated whereby 3-D collision-free trajectory planning will be considered.","Collision avoidance; collision avoidance; energy consumption; Energy consumption; flexible time-space network model; Multi-robot systems; Planning; Robot kinematics; Robots; Routing; routing; Task analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-27","","","Transport Engineering and Logistics","","",""
"uuid:7623f849-e6a2-4f3d-8965-d5d59942b9bc","http://resolver.tudelft.nl/uuid:7623f849-e6a2-4f3d-8965-d5d59942b9bc","The influence of intra-cortical microstructure on the contrast in ultrasound images of the cortex of long bones: A 2D simulation study","Dia, Amadou Sall (Sorbonne Université); Renaud, G.G.J. (TU Delft ImPhys/Medical Imaging; Sorbonne Université); Nooghabi, Aida Hejazi (Sorbonne Université); Grimal, Quentin (Sorbonne Université)","","2023","Decreased thickness of the bone cortex due to bone loss in the course of ageing and osteoporosis is associated with reduced bone strength. Cortical thickness measurement from ultrasound images was recently demonstrated in young adults. This requires the identification of both the outer (periosteum) and inner (endosteum) surfaces of the bone cortex. However, with bone loss, the cortical porosity and the size of the vascular pores increase resulting in enhanced ultrasound scattering which may prevent the detection of the endosteum. The aim of this work was to study the influence of cortical bone microstructure variables, such as porosity and pore size, on the contrast of the endosteum in ultrasound images. We wanted to estimate the range of these variables for which ultrasound imaging of the endosteum is feasible. We generated synthetic data using a two-dimensional time-domain code to simulate the propagation of elastodynamic waves. A synthetic aperture imaging sequence with an array transducer operating at a center frequency of 2.5 MHz was used. The numerical simulations were conducted for 105 cortical microstructures obtained from high resolution X-ray computed tomography images of ex vivo bone samples with a porosity ranging from 2% to 24 %. Images were reconstructed using a delay-and-sum (DAS) algorithm with optimized f-number, correction of refraction at the periosteum, and sample-specific wave-speed. We observed a range variation of 18 dB of endosteum contrast in our data set depending on the bone microstructure. We found that as porosity increases, speckle intensity inside the bone cortex increases whereas the intensity of the signal from the endosteum decreases. Also, a microstructure with large pores (diameter >250 μm) was associated with poor endosteum visibility, compared with a microstructure with equal porosity but a more narrow distribution of pore sizes. These findings suggest that ultrasound imaging of the bone cortex with a probe operating at a central frequency of 2.5 MHz using refraction-corrected DAS is capable of detecting the endosteum of a cortex with moderate porosity (less than about 10%) if the largest pores remain smaller than about 200 μm.","Cortical bone; Cortical bone ultrasound imaging; Refraction corrected DAS; Ultrasound imaging","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Medical Imaging","","",""
"uuid:53ad382e-5e25-43e0-ba34-191f6ab8549e","http://resolver.tudelft.nl/uuid:53ad382e-5e25-43e0-ba34-191f6ab8549e","Huawei Knowledge Transfer in Africa: Corporate Communication and Users’ Responses on Social Networks","Calzati, S. (TU Delft Urban Data Science)","","2023","This article focuses on the launch by the Chinese company Huawei of ICT academies in sub-Saharan Africa (SSA), and Kenya especially. Existing literature shows contrasting findings concerning the impact of such formation and recruitment centres. Through high-level thematic and sentiment analyses, this article examines various Huawei accounts on social networking sites (SNSs) – that is, Facebook and Twitter – in order to better assess: (1) the kind of online corporate communication unfolded by the company with particular regard to these centres; and (2) how the advertising of such centres is perceived by users. The results suggest that Huawei’s online corporate requires better fine-tuning with local SNSs’ users.","China; corporate communication; Huawei; ICTs; Kenya; knowledge transfer; social networks; sub-Saharan Africa","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-25","","","Urban Data Science","","",""
"uuid:843a40c2-0fc1-4478-b7ce-e1c9f1cc610e","http://resolver.tudelft.nl/uuid:843a40c2-0fc1-4478-b7ce-e1c9f1cc610e","Standards for passenger comfort in automated vehicles: Acceleration and jerk","de Winkel, K.N. (TU Delft Intelligent Vehicles); Irmak, T. (TU Delft Intelligent Vehicles); Happee, R. (TU Delft Intelligent Vehicles); Shyrokau, B. (TU Delft Intelligent Vehicles)","","2023","A prime concern for automated vehicles is motion comfort, as an uncomfortable ride may reduce acceptance of the technology amongst the general population. However, it is not clear how transient motions typical for travelling by car affect the experience of comfort. Here, we determine the relation between properties of vehicle motions (i.e., acceleration and jerk) and discomfort empirically, and we evaluate the ability of normative models to account for the data. 23 participants were placed in a moving-base driving simulator and presented sinusoidial and triangular motion pulses with various peak accelerations (Amax0.4 − 2 ms−2) and jerks (Jmax0.5 − 15 ms−3), designed to recreate typical vehicle accelerations. Participants provided discomfort judgments on absolute ‘Verbal Qualifiers’ and relative ‘Magnitude Estimates’ associated with these motions. The data show that discomfort increases with acceleration amplitude, and that the strength of this effect depends on the direction of motion. We furthermore find that higher jerks (shorter duration pulses) are considered more comfortable, and that triangular pulses are more comfortable than sinusoidal pulses. ME responses decrease (i.e., reduced discomfort) with increasing pulse duration. Evaluations of normative models of vibration and shock (ISO 2631), and perceived motion intensity provide mixed results. The vibration model could not account for the data well. Reasonable agreement between predictions and observations were found for the shock model and perceived intensity model, which emphasize the role of acceleration. We present novel statistical models that describe motion comfort as a function of acceleration, jerk, and direction. The present findings are essential to develop motion planning algorithms aimed at maximizing comfort.","Acceleration; Automated; Autonomous; Cars; Comfort; Frequency; Jerk; Motion; Self-driving; Vehicles","en","journal article","","","","","","","","","","","Intelligent Vehicles","","",""
"uuid:3ce3f0da-22f1-4c58-92cc-076d8a1f0652","http://resolver.tudelft.nl/uuid:3ce3f0da-22f1-4c58-92cc-076d8a1f0652","Multiport Hybrid Converter for Electrified Transportation Systems","Abdelhakim, Ahmed (ABB Corporate Research Centre); Soeiro, Thiago B. (European Space Agency (ESA)); Stecca, M. (TU Delft DC systems, Energy conversion & Storage); Canales, Francisco (ABB Research)","","2023","Compact and efficient power converter solutions are seen to be the backbone of future transportation systems in order to cope with the ongoing transition toward greener systems. Such systems usually comprise a main load section, in which one or more propulsion or traction motors are connected, in addition to an auxiliary load, which might comprise the hotels and air conditioning for example. This auxiliary load can be as low as 5-10% of the main load power. Therefore, it can be challenging to drive this power from a typical high-power system that employs a medium-voltage (MV) dc (MVDC) grid, which is typical in high-power systems. In such MVDC-integrated systems, neutral-point-clamped and active neutral-point-clamped (ANPC) converters are commonly used, where the auxiliary load converter is overrated in this case, resulting in a bulky and inefficient power system. Thus, in order to enable a lighter and efficient transportation power system, a multiport hybrid converter (MHC) is presented in this article. This converter can feed the main MV motor, in addition to two auxiliary low-voltage loads. Compared with the state-of-the-art ANPC converter, the proposed MHC utilizes only two extra switches per phase leg in order to achieve this multiport operation along with increasing the voltage rating of another two switches. The proposed MHC is analyzed in this article, where its operation, modulation, and mathematical derivation are presented. These analyses are supported by simulation and experimental results utilizing a reduced-scale 5-kW system.","Energy storage; Electric vehicle; Fuel cell; Locomotive; Low voltage; Marine; Medium voltage; Multilevel; Multiport; Ships; Space vector modulation; Trains; Vessels; Zero-emission","en","journal article","","","","","","","","2023-03-06","","","DC systems, Energy conversion & Storage","","",""
"uuid:aeb9caee-cd3f-493d-8e2f-fc9ffaba79ea","http://resolver.tudelft.nl/uuid:aeb9caee-cd3f-493d-8e2f-fc9ffaba79ea","A Control Method for Converter-interfaced Sources to Improve Operation of Directional Protection Elements","Yang, Zhe (Aalborg University); Liu, Zhou (Aalborg University); Zhang, Qi (Siemens Gamesa Renewable Energy AS); Chen, Zhe (Aalborg University); de Jesus Chavez, Jose (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2023","The traditional fault control strategy of converter-interfaced renewable energy sources (CIRESs) may bring about a lower sensitivity level or misoperation of fault component-based directional elements. To overcome this problem, a new control scheme is proposed to adjust sequence impedance angles of CIRESs by computing suitable current references of the CIRES controller. Meanwhile, these current references are maximized by an iterative algorithm to make full use of the short-circuit capacity of CIRESs. The proposed control scheme is applicable to various faulty conditions such as different fault types, power factors, weak grids, and larger fault resistances. Compared with the new directional elements that need to update protection algorithms, the proposed control strategies can make CIRESs compatible with the existing directional elements whilst the necessary fault ride-through (FRT) requirements can still be satisfied. Furthermore, all the controller parameters are not required to be revised based on the detected fault type, even with only local measured data collected. The associated PSCAD simulations, real-time digital simulator (RTDS) testing and the downscale hardware experiment verify the proposed method.","Circuit faults; converter-interfaced renewable energy sources; directional elements; Fault currents; fault ride through; Impedance; impedance angle; Power transmission lines; Security; Voltage control; Voltage measurement","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-06","","","Intelligent Electrical Power Grids","","",""
"uuid:aa682c85-688f-4c56-861b-42063c7ab4ba","http://resolver.tudelft.nl/uuid:aa682c85-688f-4c56-861b-42063c7ab4ba","Minimally modified balanced codes","Schouhamer Immink, Kees A. (Turing Machines Inc.); Weber, J.H. (TU Delft Discrete Mathematics and Optimization)","","2023","We present and analyze a new construction of bipolar balanced codes where each codeword contains equally many -1's and +1's. The new code is minimally modified as the number of symbol changes made to the source word for translating it into a balanced codeword is as small as possible. The balanced codes feature low redundancy and time complexity. Large look-up tables are avoided.","balanced code; Codes; Complexity theory; constrained code; Decoding; Encoding; error propagation; Indexes; Raney’s Lemma; Redundancy; Symbols","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Discrete Mathematics and Optimization","","",""
"uuid:c6e6cf2c-de3d-439c-bb8e-8b176fcb3b58","http://resolver.tudelft.nl/uuid:c6e6cf2c-de3d-439c-bb8e-8b176fcb3b58","Generating Class-Level Integration Tests Using Call Site Information","Derakhshanfar, P. (TU Delft Software Engineering); Devroey, Xavier (University of Namur); Panichella, A. (TU Delft Software Engineering); Zaidman, A.E. (TU Delft Software Engineering); van Deursen, A. (TU Delft Software Technology)","","2023","Search-based approaches have been used in the literature to automate the process of creating unit test cases. However, related work has shown that generated tests with high code coverage could be ineffective, i.e., they may not detect all faults or kill all injected mutants. In this paper, we propose Cling, an integration-level test case generation approach that exploits how a pair of classes, the caller and the callee, interact with each other through method calls. In particular, Cling generates integration-level test cases that maximize the Coupled Branches Criterion (CBC). Coupled branches are pairs of branches containing a branch of the caller and a branch of the callee such that an integration test that exercises the former also exercises the latter. CBC is a novel integration-level coverage criterion, measuring the degree to which a test suite exercises the interactions between a caller and its callee classes. We implemented Cling and evaluated the approach on 140 pairs of classes from five different open-source Java projects. Our results show that (1) Cling generates test suites with high CBC coverage, thanks to the definition of the test suite generation as a many-objectives problem where each couple of branches is an independent objective; (2) such generated suites trigger different class interactions and can kill on average 7.7% (with a maximum of 50%) of mutants that are not detected by tests generated randomly or at the unit level; (3) Cling can detect integration faults coming from wrong assumptions about the usage of the callee class (25 for our subject systems) that remain undetected when using automatically generated random and unit-level test suites.","search-based software engineering; Class Integration testing; coverage criteria; evolutionary algorithms; Many-objective optimization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-01","","Software Technology","Software Engineering","","",""
"uuid:3af26809-578d-4980-a4f0-b39a3808242f","http://resolver.tudelft.nl/uuid:3af26809-578d-4980-a4f0-b39a3808242f","A Scalable Distributed Dynamical Systems Approach to Learn the Strongly Connected Components and Diameter of Networks","Reed, Emily A. (University of Southern California); Ramos, Guilherme (Universidade do Porto); Bogdan, Paul (University of Southern California); Gonçalves Melo Pequito, S.D. (TU Delft Team Sergio Pequito)","","2023","Finding strongly connected components (SCCs) and the diameter of a directed network play a key role in a variety of machine learning and control theory problems. In this article, we provide for the first time a scalable distributed solution for these two problems by leveraging dynamical consensus-like protocols to find the SCCs. The proposed solution has a time complexity of O(NDd in-degreemax), where N is the number of vertices in the network,D is the (finite) diameter of the network, and din-degreemax is the maximum in-degree of the network. Additionally, we prove that our algorithm terminates in D+2 iterations, which allows us to retrieve the finite diameter of the network. We perform exhaustive simulations that support the outperformance of our algorithm against the state of the art on several random networks, including Erdős-Rényi, Barabási-Albert, and Watts-Strogatz networks.","Distributed algorithms; Geometry; Heuristic algorithms; Machine learning; Machine learning algorithms; Power grids; Protocols","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-27","","","Team Sergio Pequito","","",""
"uuid:c6b2ec76-d392-484d-a42b-dbdbe42954e0","http://resolver.tudelft.nl/uuid:c6b2ec76-d392-484d-a42b-dbdbe42954e0","Learning to Solve Multiple-TSP With Time Window and Rejections via Deep Reinforcement Learning","Zhang, Rongkai (Nanyang Technological University); Zhang, Cong (Nanyang Technological University); Cao, Zhiguang (Singapore Institute of Manufacturing Technology); Song, Wen (Shandong University); Tan, Puay Siew (Singapore Institute of Manufacturing Technology); Zhang, Jie (Nanyang Technological University); Wen, Bihan (Nanyang Technological University); Dauwels, J.H.G. (TU Delft Signal Processing Systems)","","2023","We propose a manager-worker framework (the implementation of our model is publically available at: https://github.com/zcaicaros/manager-worker-mtsptwr) based on deep reinforcement learning to tackle a hard yet nontrivial variant of Travelling Salesman Problem (TSP), i.e. multiple-vehicle TSP with time window and rejections (mTSPTWR), where customers who cannot be served before the deadline are subject to rejections. Particularly, in the proposed framework, a manager agent learns to divide mTSPTWR into sub-routing tasks by assigning customers to each vehicle via a Graph Isomorphism Network (GIN) based policy network. A worker agent learns to solve sub-routing tasks by minimizing the cost in terms of both tour length and rejection rate for each vehicle, the maximum of which is then fed back to the manager agent to learn better assignments. Experimental results demonstrate that the proposed framework outperforms strong baselines in terms of higher solution quality and shorter computation time. More importantly, the trained agents also achieve competitive performance for solving unseen larger instances.","deep reinforcement learning; graph neural network; Travelling salesman problem","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-05","","","Signal Processing Systems","","",""
"uuid:71693660-0fd0-47d7-a7c6-0b436ccc0315","http://resolver.tudelft.nl/uuid:71693660-0fd0-47d7-a7c6-0b436ccc0315","Voltage/Current Doubler Converter for an Efficient Wireless Charging of Electric Vehicles With 400V and 800V Battery Voltages","Grazian, F. (TU Delft DC systems, Energy conversion & Storage); Soeiro, Thiago B. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","The lithium-ion battery of an electric vehicle (EV) is typically rated at either 400 or 800 V. When considering public parking infrastructures, EV wireless chargers must efficiently deliver electric power to both battery options. This can be normally achieved by regulating the output voltage through a dc-dc converter at the cost of higher onboard circuit complexity and lower overall efficiency. This article proposes a wireless charging system that maintains a high power transfer efficiency when charging EVs with either 400- or 800-V nominal battery voltage at the same power level. The control scheme is implemented at the power source side, and only passive semiconductor devices are employed on board the EV. The presented system, called voltage/current doubler (V/I-D), comprises two sets of series-compensated coupled coils, each of them connected to a dedicated H-bridge converter. The equivalent circuit has been analyzed while explaining the parameters' selection. The analytical power transfer efficiency has been compared to the one resulting from the conventional one-to-one coil system at 7.2 kW. For the same power level, the dc-to-dc efficiency of 97.11% and 97.52% have been measured at 400-V and 800-V voltage output, respectively. Finally, the functionality of the V/I-D converter has been proved at both the even and uneven misalignments of the two sets of coupled coils.","Battery voltage; electric vehicles (EVs); inductive power transfer; wireless charging","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-15","","","DC systems, Energy conversion & Storage","","",""
"uuid:23a8f1d5-d7e5-4fdc-868a-1ba0d82c016d","http://resolver.tudelft.nl/uuid:23a8f1d5-d7e5-4fdc-868a-1ba0d82c016d","Estimation of microtubule-generated forces using a DNA origami nanospring","Nick Maleki, A. (TU Delft BN/Marileen Dogterom Lab; Kavli institute of nanoscience Delft); Huis In 't Veld, Pim J. (Max Planck Institute of Molecular Physiology); Akhmanova, Anna (Universiteit Utrecht); Dogterom, A.M. (TU Delft BN/Marileen Dogterom Lab; Kavli institute of nanoscience Delft); Volkov, V. (TU Delft BN/Marileen Dogterom Lab; Queen Mary University of London; Kavli Institute at Cornell University)","","2023","Microtubules are dynamic cytoskeletal filaments that can generate forces when polymerizing and depolymerizing. Proteins that follow growing or shortening microtubule ends and couple forces to cargo movement are important for a wide range of cellular processes. Quantifying these forces and the composition of protein complexes at dynamic microtubule ends is challenging and requires sophisticated instrumentation. Here, we present an experimental approach to estimate microtubule-generated forces through the extension of a fluorescent spring-shaped DNA origami molecule. Optical readout of the spring extension enables recording of force production simultaneously with single-molecule fluorescence of proteins getting recruited to the site of force generation. DNA nanosprings enable multiplexing of force measurements and only require a fluorescence microscope and basic laboratory equipment. We validate the performance of DNA nanosprings against results obtained using optical trapping. Finally, we demonstrate the use of the nanospring to study proteins that couple microtubule growth and shortening to force generation.","DNA origami; Dynein; Force sensor; Kinetochore; Microtubule; Optical trap","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","BN/Marileen Dogterom Lab","","",""
"uuid:1300c1d6-d3e6-4c4c-8c1a-41893b4bba8e","http://resolver.tudelft.nl/uuid:1300c1d6-d3e6-4c4c-8c1a-41893b4bba8e","HPAKE: Honey Password-authenticated Key Exchange for Fast and Safer Online Authentication","Li, Wenting (Peking University); Wang, Ping (National Engineering Research Center for Software Engineering); Liang, K. (TU Delft Cyber Security)","","2023","Password-only authentication is one of the most popular secure mechanisms for real-world online applications. But it easily suffers from a practical threat - password leakage, incurred by external and internal attackers. The external attacker may compromise the password file stored on the authentication server, and the insider may deliberately steal the passwords or inadvertently leak the passwords. So far, there are two main techniques to address the leakage: Augmented password-authentication key exchange (aPAKE) against insiders and honeyword technique for external attackers. But none of them can resist both attacks. To fill the gap, we propose the notion of <italic>honey PAKE (HPAKE)</italic> that allows the authentication server to detect the password leakage and achieve the security beyond the traditional bound of aPAKE. Further, we build an HPAKE construction on the top of the honeyword mechanism, honey encryption, and OPAQUE which is a standardized aPAKE. We formally analyze the security of our design, achieving the insider resistance and the password breach detection. We implement our design and deploy it in the real environment. The experimental results show that our protocol only costs 71.27 ms for one complete run, within 20.67 ms on computation and 50.6 ms on communication. This means our design is secure and practical for real-world applications.","Password; honeyword; leakage detection; password-authenticated key exchange","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-24","","","Cyber Security","","",""
"uuid:a8368a60-6752-4061-a7ec-3576f4e9e44b","http://resolver.tudelft.nl/uuid:a8368a60-6752-4061-a7ec-3576f4e9e44b","A Low-Spur Fractional-N PLL Based on a Time-Mode Arithmetic Unit","Gao, Z. (TU Delft Electronics); He, J. (TU Delft Electronics); Fritz, Martin (Sony Europe Limited, Germany); Shen, Y. (TU Delft Electronics); Zong, Z. (TU Delft Electronics); Spalink, Gerd (Sony Europe Limited, Germany); Alavi, S.M. (TU Delft Electronics); Staszewski, R.B. (TU Delft Electronics); Babaie, M. (TU Delft Electronics)","","2023","This article introduces a low-jitter low-spur fractional-N phase-locked loop (PLL) adopting a new concept of a time-mode arithmetic unit (TAU) for phase error extraction. The TAU is a time-signal processor that calculates the weighted sum of input time offsets. It processes two inputs - the period of a digitally controlled oscillator (DCO) and the instantaneous time offset between the DCO and reference clock edges - and then extracts the DCO phase error by calculating their weighted sum. The prototype, implemented in 40-nm CMOS, achieves 182-fs rms jitter with 3.5-mW power consumption. In a near-integer channel, it shows the worst fractional spur below -59 dBc. Under considerable supply or temperature variations, the worst spur still remains below -51.7 dBc without any background calibration tracking.","Arithmetic; Capacitors; Clocks; Digital-to-time converter (DTC); fractional spur; Microelectronics; Phase locked loops; phase-locked loop (PLL); process voltage and temperature (PVT); Switches; time-mode arithmetic unit (TAU); Voltage","en","journal article","","","","","","","","","","","Electronics","","",""
"uuid:467b3504-4163-44eb-9b88-1eb9010f4dbe","http://resolver.tudelft.nl/uuid:467b3504-4163-44eb-9b88-1eb9010f4dbe","Phased Array With Pattern Shaping and Scan Loss Reduction for Millimeter Waves","van Schelven, R.M. (NXP); Syed, Waqas (NXP); Carluccio, G. (NXP); Doris, Kostas (NXP); de Graauw, Anton (NXP); Neto, A. (TU Delft Tera-Hertz Sensing); Cavallo, D. (TU Delft Tera-Hertz Sensing)","","2023","In this work, we investigate antenna architectures to implement dual-mode operation in phased array designs. Planar slot antenna elements are used in array configuration, in combination with artificial dielectrics layers (ADLs) located in the close proximity of the array, to achieve pattern shaping. The artificial dielectric superstrate supports the propagation of leaky waves that can be optimized to enhance the gain in a specific angular region or to enlarge the array field of view. By controlling the amplitude and phase of the antenna elements, the radiation patterns can be combined to realize either wide or narrow beams. This concept present advantages for both millimeter-wave (mm-wave) communication and radar applications. A design of a four-element array fabricated in standard printed circuit board (PCB) technology validates the feasibility of the dual-mode operation. The measured results also show good agreement with simulations.","Artificial dielectric layers; leaky waves; millimeter waves; pattern diversity; pattern shaping; phased array","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-31","","","Tera-Hertz Sensing","","",""
"uuid:28c5224d-e7fb-427c-95e5-112bab4e9d33","http://resolver.tudelft.nl/uuid:28c5224d-e7fb-427c-95e5-112bab4e9d33","Design of experiments: A statistical tool for PIV uncertainty quantification","Adatrao, S. (TU Delft Aerodynamics); van der Velden, S. (Student TU Delft); van der Meulen, Mark-Jan (Royal Netherlands Aerospace Centre NLR); Cruellas Bordes, Marc (German-Dutch Wind Tunnels (DNW)); Sciacchitano, A. (TU Delft Aerodynamics)","","2023","A statistical tool called design of experiments (DOEs) is introduced for uncertainty quantification in particle image velocimetry (PIV). DOE allows to quantify the total uncertainty as well as the systematic uncertainties arising from various experimental factors. The approach is based on measuring a quantity (e.g. time-averaged velocity or Reynolds stresses) several times by varying the levels of the experimental factors which are known to affect the value of the measured quantity. Then, using Analysis of Variances, the total variance in the measured quantity is computed and hence the total uncertainty. Moreover, the analysis provides the individual variances for each of the experimental factors, leading to the estimation of the systematic uncertainties from each factor and their contributions to the total uncertainty. The methodology is assessed for planar PIV measurements of the flow over a NACA0012 airfoil at 15 degrees angle of attack considering five experimental factors, namely camera aperture, inter-frame time separation, interrogation window size, laser sheet thickness and seeding density. Additionally, the methodology is applied to the investigation by stereoscopic PIV of the flow at the outlet of a ducted Boundary Layer Ingesting propulsor. The total uncertainty in the time-averaged velocity as well as the constituent systematic uncertainties due to the experimental factors, namely camera aperture, inter-frame time separation, interrogation window size and stereoscopic camera angle, are quantified.","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:1b2be59d-96be-4a86-a3b4-487653ced666","http://resolver.tudelft.nl/uuid:1b2be59d-96be-4a86-a3b4-487653ced666","AnyoneNet: Synchronized Speech and Talking Head Generation for Arbitrary Persons","Wang, X. (TU Delft Multimedia Computing; Xi’an Jiaotong University; Northwestern Polytechnical University); Xie, Qicong (Northwestern Polytechnical University); Xie, Lei (Northwestern Polytechnical University); Zhu, Jihua (Xi’an Jiaotong University); Scharenborg, O.E. (TU Delft Multimedia Computing)","","2023","Automatically generating videos in which synthesized speech is synchronized with lip movements in a talking head has great potential in many human-computer interaction scenarios. In this paper, we present an automatic method to generate synchronized speech and talking-head videos on the basis of text and a single face image of an arbitrary person as input. In contrast to previous text-driven talking head generation methods, which can only synthesize the voice of a specific person, the proposed method is capable of synthesizing speech for any person. Specifically, the proposed method decomposes the generation of synchronized speech and talking head videos into two stages, i.e., a text-to-speech (TTS) stage and a speech-driven talking head generation stage. The proposed TTS module is a face-conditioned multi-speaker TTS model that gets the speaker identity information from face images instead of speech, which allows us to synthesize a personalized voice on the basis of the input face image. To generate the talking head videos from the face images, a facial landmark-based method that can predict both lip movements and head rotations is proposed. Extensive experiments demonstrate that the proposed method is able to generate synchronized speech and talking head videos for arbitrary persons, in which the timbre of the synthesized voice is in harmony with the input face, and the proposed landmark-based talking head method outperforms the state-of-the-art landmark-based method on generating natural talking head videos.","speech synthesis; talking head generation; avatar; facial landmark","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-01","","","Multimedia Computing","","",""
"uuid:f48a4197-15d8-497b-8464-87b8ea942e17","http://resolver.tudelft.nl/uuid:f48a4197-15d8-497b-8464-87b8ea942e17","Exploring effects of introducing a ban on handheld phone use for cyclists – Pre-post results from the Netherlands and Denmark","Brandt, R. K. (Technical University of Denmark); Haustein, S. (Technical University of Denmark); Hagenzieker, Marjan (TU Delft Transport and Planning); Møller, M. (Technical University of Denmark)","","2023","Cyclists’ phone use can cause distractions and impose risks towards traffic safety. To prevent phone-related distractions, the Netherlands introduced a ban on handheld (HH) phone use for cyclists in July 2019. The effects of traffic rules on phone use and their underlying mechanisms are, however, uncertain. Comparing survey results from the Netherlands before (N = 553) and after (N = 484) the ban, using Denmark (before N = 568, after N = 519) as comparison group, this study explores whether introducing a ban is associated with changes in phone use, traffic rule beliefs, perceived risk, sense of guilt, and perceived annoyance. Comparison of phone function use before and after the Dutch ban revealed a significant decrease in the proportion using HH phone for conversation, while there was no change for other functions. In Denmark, proportions remained stable for all functions. Changes in the Netherlands possibly correspond to specific phone functions characteristics, e.g., how effortless one can pause and resume the function. The results additionally identified an increase in correct traffic rule identification, sense of guilt for HH phone use, and perceived annoyance, while there was no significant change in perceived risk of HH phone use. The study found that banning HH phone use was associated with increase in correct rule identification, but only to limited changes in HH phone use. Banning HH phone use might have greater effects in changing behaviours over time as a result of social mechanisms related to changes in sense of guilt and perceived annoyance.","Cyclist safety; Cyclists’ phone use; Preventive measures; Safety legislation; Traffic laws","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:82defedc-e3ad-4a3f-b0fd-a7c7f6020e02","http://resolver.tudelft.nl/uuid:82defedc-e3ad-4a3f-b0fd-a7c7f6020e02","Circulant Shift-based Beamforming for Secure Communication with Low-resolution Phased Arrays","Patel, Kartik (The University of Texas at Austin); Myers, N.J. (TU Delft Team Nitin Myers); Heath, Robert W. (University of North Carolina)","","2023","Millimeter wave (mmWave) technology can achieve high-speed communication due to the large available spectrum. Furthermore, the use of directional beams in mmWave system provides a natural defense against physical layer security attacks. In practice, however, the beams are imperfect due to mmWave hardware limitations such as the low-resolution of the phase shifters. These imperfections in the beam pattern introduce an energy leakage that can be exploited by an eavesdropper. To defend against such eavesdropping attacks, we propose a directional modulation-based defense technique where the transmitter applies random circulant shifts of a beamformer. We show that the use of random circulant shifts together with appropriate phase adjustment induces (APN) in the directions different from that of the target receiver. Our method corrupts the phase at the eavesdropper without affecting the communication link of the target receiver. We also experimentally verify the APN induced due to circulant shifts, using channel measurements from a 2-bit mmWave phased array testbed. Using simulations, we study the performance of the proposed defense technique against a greedy eavesdropping strategy in a vehicle-to-infrastructure scenario. The proposed technique achieves better defense than the antenna subset modulation, without compromising on the communication link with the target receiver.","Antenna arrays; Array signal processing; Eavesdropping; Millimeter wave communication; Phase shifters; Phased arrays; Symbols","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-06","","","Team Nitin Myers","","",""
"uuid:a5b08d01-0cd6-49d3-b942-c74f2b9e50e7","http://resolver.tudelft.nl/uuid:a5b08d01-0cd6-49d3-b942-c74f2b9e50e7","Hybrid Space Vector Modulation Scheme for the Multiport Hybrid Converter","Stecca, M. (TU Delft DC systems, Energy conversion & Storage); Abdelhakim, Ahmed (Abb Research Sweden); Soeiro, Thiago B. (TU Delft DC systems, Energy conversion & Storage; University of Twente); Canales, Francisco (ABB Switzerland Ltd.); Bauer, P. (TU Delft DC systems, Energy conversion & Storage); Palensky, P. (TU Delft Intelligent Electrical Power Grids)","","2023","This work proposes a hybrid space vector modulation (HSVM) scheme for multiport hybrid converters (MHCs). Moreover, the impact of shifting the auxiliary currents from the main ones is proposed and investigated in order to enhance the converter efficiency. The proposed operational schemes have been implemented in a three-phase 5 kW MHC prototype. It is shown that the proposed HSVM scheme can improve the MHC efficiency by 0.3% at full load with respect to space vector modulation. At partial loads, the improvement is even more significant, reaching +0.7% at 30% of the rated power. A further 0.15% increase in efficiency at full power can be achieved by a 180$^{\circ }$ phase shifting of the auxiliary currents with respect to the main terminal currents, reaching a peak efficiency of 98.5%.","Hybrid space vector modulation (HSVM); multiport hybrid converter (MHC); voltage source converter (VSC)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-27","","","DC systems, Energy conversion & Storage","","",""
"uuid:2106c2f5-8a86-47f6-9f9e-10283bda8bab","http://resolver.tudelft.nl/uuid:2106c2f5-8a86-47f6-9f9e-10283bda8bab","Quantifying frequency containment reserve using cross-entropy frequency-constrained contingency-state-analysis model","Yuan, Yiping (Xi’an Jiaotong University); Liu, Zhou (Siemens Gamesa Renewable Energy); Chen, Zhe (Aalborg University); Hoej Jensen, Kim (Siemens Gamesa Renewable Energy); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2023","With the increasing penetration of converter-interfaced generators, the frequency containment reserve (FCR) from conventional generators keeps going down, leading to a potential risk of frequency instability under contingencies. Consequently, Converter-interfaced generators are required to provide FCR and participate in the corrective rescheduling. Nevertheless, how to assess the FCR and quantify the adequacy of FCR under contingencies is a big challenge in modern new power system. To address this challenge, a cross-entropy-based frequency-constrained contingency-state-analysis (FC-CSA) model is proposed in this paper. Notably, both frequency control (FC) of units (i.e., conventional synchronous generators and converter-interfaced generators), and under frequency load shedding (UFLS) are incorporated in the primary frequency response. Then a unified system frequency response (SFR) function representing frequency dynamic is derived. This SFR function is extracted and reformulated as a group of mixed-integer linear constraints and participates in the traditional CSA model. Moreover, a set of frequency dynamic indexes, i.e., Expectation of UFLS risk, Expectation of FCR from conventional and converter-interfaced generators, is extended to depict the FCR that the power system requires. These indexes are calculated by the FC-CSA in a cross-entropy-based monte carlo simulation (CE-MCs). Case studies on a modified IEEE 6-bus test system and IEEE 118-bus test system are carried out to demonstrate the effectiveness of the proposed FC-CSA model.","Contingency-State-Analysis; Cross-Entropy-based Monte Carlo simulation; Frequency Containment Reserve; Frequency Control; Under-frequency Load Shedding","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-20","","","Intelligent Electrical Power Grids","","",""
"uuid:5b2a7880-d105-49df-9e84-e40bbb942bf6","http://resolver.tudelft.nl/uuid:5b2a7880-d105-49df-9e84-e40bbb942bf6","Self-Calibration of Acoustic Scalar and Vector Sensor Arrays","Ramamohan, Krishnaprasad Nambur (Microflown Technologies, Arnhem); Chepuri, Sundeep Prabhakar (Indian Institute of Science India); Comesana, Daniel Fernandez (Microflown Technologies, Arnhem); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2023","In this work, we consider the self-calibration problem of joint calibration and direction-of-Arrival (DOA) estimation using acoustic sensor arrays. Unlike many previous iterative approaches, we propose solvers that can be readily used for both linear and non-linear arrays for jointly estimating the sensor gain, phase errors, and the source DOAs. We derive these algorithms for both the conventional element-space and covariance data models. We focus on sparse and regular arrays formed using scalar sensors as well as vector sensors. The developed algorithms are obtained by transforming the underlying non-linear calibration model into a linear model, and subsequently by using convex relaxation techniques to estimate the unknown parameters. We also derive identifiability conditions for the existence of a unique solution to the self-calibration problem. To demonstrate the effectiveness of the developed techniques, numerical experiments, and comparisons to the state-of-The-Art methods are provided. Finally, the results from an experiment that was performed in an anechoic chamber using an acoustic vector sensor array are presented to demonstrate the usefulness of the proposed self-calibration techniques.","Acoustics; Calibration; Direction-of-arrival estimation; Manifolds; Measurement uncertainty; Sensor arrays; Signal processing algorithms","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-24","","","Signal Processing Systems","","",""
"uuid:9a764d0f-3f7d-4dd7-97a6-0cf2641304c7","http://resolver.tudelft.nl/uuid:9a764d0f-3f7d-4dd7-97a6-0cf2641304c7","Uniaxial tensile response and tensile constitutive model of ultra-high performance concrete containing coarse aggregate (CA-UHPC)","Shi, Z. (TU Delft Steel & Composite Structures; Tongji University); Su, Q. (Tongji University; Shanghai Engineering Research Center of High Performance Composite Bridges); Kavoura, Dr. Florentia (TU Delft Steel & Composite Structures); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2023","To establish the tensile constitutive model of ultra-high performance concrete containing coarse aggregate (CA-UHPC), monotonic and cyclic uniaxial tensile tests for CA-UHPC with fiber volume fractions of 2.5% and 2.0% were conducted. Test results showed that CA-UHPC exhibits approximately linear stress-strain relation up to the tensile strength, and tensile softening response composed of the smeared- and localized-cracking stages, regardless of the tested fiber contents. Based on the monotonic test data, the tensile stress-crack opening model of CA-UHPC was established, and the model was further simplified into tri-linear relation. Based on the cyclic test results, tensile damage evolution laws according to the strain equivalence principle and the energy equivalence principle were developed, respectively. Finally, the proposed tensile constitutive model and the calibrated tensile damage evolution laws were demonstrated to effectively predict the mechanical response of CA-UHPC members under both monotonic tension and cyclic tension through numerical simulations.","CA-UHPC; dog-bone-shaped specimen; uniaxial tensile test; tensile stress-crack opening model; tensile damaga variable; finite element model","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Steel & Composite Structures","","",""
"uuid:a9eb38a0-04db-4585-a9ec-e846beeeb882","http://resolver.tudelft.nl/uuid:a9eb38a0-04db-4585-a9ec-e846beeeb882","An Introduction to the Application of Marine Controlled-Source Electromagnetic Methods for Natural Gas Hydrate Exploration","Li, Y. (TU Delft Applied Geophysics and Petrophysics; Beijing University of Technology; Peking University); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics); Werthmüller, D. (TU Delft Geoscience and Engineering); Wang, Lipeng (Beihang University); Lu, Hailong (Beijing Normal University)","","2023","Natural gas hydrates have been an unconventional source of energy since the beginning of this century. Gas-hydrate-filled reservoirs show higher resistivity values compared with water-filled sediments. Their presence can be detected using marine controlled-source electromagnetic methods. We classify acquisition configurations into stationary and moving receiver configurations, which are described in terms of the design group, the operational details, and where they have been used successfully in the field for natural gas hydrate exploration. All configurations showed good numerical results for the detection of a 700 m long gas hydrate reservoir buried 200 m below the seafloor, but only the stationary configurations provided data that can be used to estimate the horizontal boundaries of the resistive part of the reservoir when the burial depth is known from seismic data. We discuss the operational steps of the configurations and provide the steps on how to choose a suitable configuration. Different CSEM configurations were used together with seismic data to estimate the edge of the gas hydrate reservoir and the total volume of the gas hydrates, to optimize the drilling location, to increase production safety, and to improve geological interpretations. It seems that CSEM has become a reliable method to aid in the decision-making process for gas hydrate reservoir appraisal and development.","marine electromagnetic; natural gas hydrates; exploration; resistivity","en","journal article","","","","","","","","","","Geoscience and Engineering","Applied Geophysics and Petrophysics","","",""
"uuid:e1856943-8197-402f-90d7-11d6547d2334","http://resolver.tudelft.nl/uuid:e1856943-8197-402f-90d7-11d6547d2334","Exploiting high-resolution data to investigate the characteristics of water consumption at the end-use level: A Dutch case study","Mazzoni, Filippo (University of Ferrara); Alvisi, Stefano (University of Ferrara); Franchini, Marco (University of Ferrara; KWR Water Research Institute); Blokker, E.J.M. (TU Delft Sanitary Engineering)","","2023","In the water industry, an accurate estimation of end-use water consumption is helpful for the implementation of efficient water systems and water-saving technologies. This study aimed to explore the characteristics of water consumption at nine households north of Amsterdam (the Netherlands), subjected to water consumption monitoring at high temporal resolution (i.e. 1 s). Overall, 36,297 water-use events monitored over about 447 days were automatically segmented into 44,115 individual events by means of a new rule-based filtering algorithm, and then labelled by expert analysts. A multi-stage analysis was then conducted in order to evaluate daily per capita end-use water consumption, daily end-use profiles, average end-use parameter average, and their statistical distributions. The results achieved provide insight into the features of end-use consumption, confirming that the largest components are typically related to showers/bathtubs, toilets, and washing machines, whereas different end-use parameter distributions can emerge.","Combined event segmentation; Daily profiles; End-use parameters; Individual event labelling; Water consumption; Water end uses","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:a352f021-7661-4ceb-b713-ae824761d57c","http://resolver.tudelft.nl/uuid:a352f021-7661-4ceb-b713-ae824761d57c","Mining of Deep-Seabed Nodules Using a Coandă-Effect-Based Collector","Alhaddad, S.M.S. (TU Delft Offshore and Dredging Engineering); Mehta, D. (TU Delft Sanitary Engineering); Helmons, R.L.J. (TU Delft Offshore and Dredging Engineering; Norwegian University of Science and Technology (NTNU))","","2023","We present an effective design of a hydraulic, polymetallic nodule collector, which fundamentally depends on the Coandă effect in harvesting nodules. The design was first developed based on 2D numerical simulations conducted using a computational fluid dynamics tool, ANSYS FLUENT. Following that, the design was tested in full-scale experiments, which provided insights into the collection efficiency of the collector and confirmed its functionality and effectiveness. The latter means, in the context of deep sea mining, high effective pick-up of nodules, with minimum sediment disturbance. Our observations indicate that our design hardly disturbs the tested sediment bed. The experimental results show that a higher jet velocity leads to a higher pick-up efficiency. Two forward velocities were tested and the higher forward velocity led to a lower pick-up efficiency. It is revealed that the available time for the nodules to respond to the pressure gradient under the collector is of great importance; if the available time is not sufficient, the nodules will not be picked-up even if the pressure gradient is adequate. The clearance under the rear cowl of the collection duct is found to play a major influential role in the collection process; a smaller bottom clearance results in a higher pick-up efficiency.","Deep sea mining; Polymetallic Nodules; Hydraulic collector; Coandă effect; Nodule pick-up device","en","journal article","","","","","","","","","","","Offshore and Dredging Engineering","","",""
"uuid:cfb4ec7d-0459-4076-a63b-26ec8afa4a65","http://resolver.tudelft.nl/uuid:cfb4ec7d-0459-4076-a63b-26ec8afa4a65","Associations between self-reported IEQ stressors of students' homes and self-reported rhinitis, stuffy nose, migraine and headache in student profiles","Bluyssen, P.M. (TU Delft Indoor Environment); Zhang, D. (TU Delft Indoor Environment); Ortiz, Marco A. (TU Delft Indoor Environment)","","2023","Recent studies have shown that both personal and building-related factors may affect the health and comfort of occupants in their homes. It is also known that people differ in their needs and can therefore respond differently to these stressors. Therefore, based on the large database from the survey conducted yearly from 2016 to 2020 among the first-year students of the faculty of Architecture and the Built environment at the Delft University of Technology, this study aimed to explore the associations between self-reported rhinitis/stuffy nose/migraine/headache, and the indoor environment of the students' homes, taking into account potential confounders and profiles. Two-steps cluster analysis resulted in three profiles of students based on their IEQ-related perceptions: Cluster 1 with the highest reported percentage of symptoms and the lowest reported percentage of diseases; Cluster 2 with moderate reported symptoms and diseases; and Cluster 3 with the lowest percentage of reported symptoms and the highest percentage of reported diseases. Logistic regression modelling showed that risk factors contributing to having rhinitis, stuffy nose, migraine and/or headache, differ per cluster, and showed little overlap with the all-respondents group. Moreover, when there is an overlap, the associated risk factor might increase the risk for one cluster, while for another it decreases the risk, indicating differences in response between the different clusters; and therefore, the importance of clustering instead of considering all respondents as one.","Profiles of students; Patterns of risk factors; Rhinitis; Stuffy nose; Migraine; Headache","en","journal article","","","","","","","","","","","Indoor Environment","","",""
"uuid:ccf34aaa-accf-4c76-838a-50eec058fea3","http://resolver.tudelft.nl/uuid:ccf34aaa-accf-4c76-838a-50eec058fea3","Using co-creation methods for research integrity guideline development: How, what, why and when?","Labib, Krishma (VU University Medical Centre); Pizzolato, Daniel (Katholieke Universiteit Leuven); Stappers, P.J. (TU Delft Design Conceptualization and Communication); Evans, Natalie (VU University Medical Centre); Lechner, Iris (Vrije Universiteit Amsterdam); Widdershoven, Guy (VU University Medical Centre); Bouter, Lex (VU University Medical Centre; Vrije Universiteit Amsterdam); Diericks, Chris (Katholieke Universiteit Leuven); Bergema, Katinka (Zwaluw); Tijdink, Joeri (VU University Medical Centre; Vrije Universiteit Amsterdam)","","2023","Existing research integrity (RI) guideline development methods are limited in including various perspectives. While co-creation methods could help to address this, there is little information available to researchers and practitioners on how, why and when to use co-creation for developing RI guidelines, nor what the outcomes of co-creation methods are. In this paper, we aim to address this gap. First, we discuss how co-creation methods can be used for RI guideline development, based on our experience of developing RI guidelines. We elaborate on steps including preparation of the aims and design; participant sensitization; organizing and facilitating workshops; and analyzing data and translating them into guidelines. Secondly, we present the resulting RI guidelines, to show what the outcome of co-creation methods are.Thirdly, we reflect on why and when researchers might want to use co-creation methods for developing RI guidelines. We discuss that stakeholder engagement and inclusion of diverse perspectives are key strengths of co-creation methods. We also reflect that co-creation methods have the potential to make guidelines implementable if followed by additional steps such as revision working groups. We conclude that co-creation methods are a valuable approach to creating new RI guidelines when used together with additional methods.","co-creation; guideline development; online research methods; research integrity; research policy","en","journal article","","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:5f70b04d-3893-4b3a-a1ae-587076959822","http://resolver.tudelft.nl/uuid:5f70b04d-3893-4b3a-a1ae-587076959822","Assessment of the spatiotemporal prediction capabilities of machine learning algorithms on Sea Surface Temperature data: A comprehensive study","Kartal, S. (TU Delft Atmospheric Remote Sensing; Çukurova University)","","2023","Spatiotemporal time series prediction plays a crucial role in a wide range of applications. However, in most of the studies, spatial information was ignored and predictions were carried out either on a few points or on average values. In this study, 37 different configurations of 4 traditional ML models and 3 Neural Network (NN) based models were utilized to provide a comprehensive comparison and evaluate the spatiotemporal data prediction capabilities of the ML models. Additionally, to reveal the importance of spatial data for the time series prediction process, the best configuration of each ML model was evaluated with and without using spatial information. The utilized models were: (i) Linear Regression (LR), (ii) K-Nearest Neighbors (KNN), (iii) Decision-Trees (DT), (iv) Support Vector Machine (SVM), (v) Multi-Layer Perceptron (MLP), (vi) Long Short-Term Memory (LSTM), and (vii) Gated Recurrent Unit (GRU). The study was performed on the Sea Surface Temperature (SST) data collected by satellite radiometers via infrared measurements. The models were evaluated according to their one-month ahead spatiotemporal SST prediction performance over the southern coasts of Turkey, and the effects of spatial information on model performance were presented. Results reveal that the spatial information increased the prediction performance by approximately 25%, in terms of RMSE. Additionally, acquired results show that the LSTM model outperforms all other ML models and gives the smallest prediction errors in all metrics.","Machine Learning; Prediction; Sea Surface Temperature; Time series satellite data","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:ffbfdafd-758d-4ada-993a-c1a330732f95","http://resolver.tudelft.nl/uuid:ffbfdafd-758d-4ada-993a-c1a330732f95","Robustness Analysis of Platoon Control for Mixed Types of Vehicles","Wang, Yixia (University of Chinese Academy of Sciences); Lin, Shu (University of Chinese Academy of Sciences); Wang, Yibing (Zhejiang University); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control); Xu, Jungang (University of Chinese Academy of Sciences)","","2023","Currently, with the development of driving technologies, driverless vehicles gradually are becoming more and more available. Therefore, there would be a long period of time during which self-driving vehicles and human-driven vehicles coexist. However, for a mixed platoon, it is hard to control the formation due to the existence of the manual vehicles resulting in weak robustness and slow consensus rate on this system of platoons because of uncertainties caused by human factors for manual vehicles. In order to solve this problem, we establish models of mixed platoons with mixed types of connected and automated vehicles (CAVs), human-driven vehicles (HDVs) and HDVs without the vehicle awareness device (HDVWs). We subsequently design <inline-formula> <tex-math notation=""LaTeX"">$\mathcal{H}_\infty$</tex-math> </inline-formula> controllers for the mixed platoons to realize the formation consensus. In addition, we use the <inline-formula> <tex-math notation=""LaTeX"">$\mathcal{H}_\infty$</tex-math> </inline-formula> norm of mixed platoons as the control objective investigating the robustness of the control algorithms in alleviating the platoon uncertainties. Furthermore, conditions are proved to maintain the stability of the mixed platoons, and the stability is analyzed based on the variation of the penetration rate of the manual vehicles. Finally, we formulate conditions for parameters according to the definition of string stability to avoid the collisions of vehicles. The results in this study are tested with simulations and suggest that the presented controllers can ensure the consensus of mixed platoons under uncertainties.","
equivalent thermal resistances were obtained equal to 1.180 m2K/W, 1.218 m2K/W, 1.10 m2K/W respectively as described previously which reflected a good agreement between the numerical and experimental results.Finally, the obtained results reflected the high thermal performance of the studied starch/beet-pulp composites.","Beet-pulp; Starch; Hollowed brick; Thermal performance; COMSOL Multiphysics 2D; NF EN ISO norm 6946 (2017); Thermal imaging bi-climatic chamber","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:990604d2-56ea-4215-9fd3-655282964f2c","http://resolver.tudelft.nl/uuid:990604d2-56ea-4215-9fd3-655282964f2c","Kernel-based identification with frequency domain side-information","Khosravi, M. (TU Delft Team Tamas Keviczky); Smith, Roy S. (ETH Zürich)","","2023","This paper discusses the problem of system identification when frequency domain side-information is available. We mainly consider the case where the side-information is provided as the H∞-norm of the system being bounded by a given scalar. This framework allows considering different forms of frequency domain side-information, such as the dissipativity of the system. We propose a nonparametric identification approach for estimating the impulse response of the system under the given side-information. The estimation problem is formulated as a constrained optimization in a stable reproducing kernel Hilbert space, where suitable constraints are considered for incorporating the desired frequency domain features. The resulting optimization has an infinite-dimensional feasible set with an infinite number of constraints. We show that this problem is a well-defined convex program with a unique solution. We propose a heuristic that tightly approximates this unique solution. The proposed approach is equivalent to solving a finite-dimensional convex quadratically constrained quadratic program. The efficiency of the discussed method is verified by several numerical examples.","Frequency domain properties; Kernel-based methods; Optimization; Side-information; System identification","en","journal article","","","","","","","","","","","Team Tamas Keviczky","","",""
"uuid:ef9ec5cb-a68c-4ec0-a520-96662f42e43e","http://resolver.tudelft.nl/uuid:ef9ec5cb-a68c-4ec0-a520-96662f42e43e","Sediment Erosion Generated by a Coandă-Effect-Based Polymetallic-Nodule Collector","Alhaddad, S.M.S. (TU Delft Offshore and Dredging Engineering); Helmons, R.L.J. (TU Delft Offshore and Dredging Engineering; Norwegian University of Science and Technology (NTNU))","","2023","To date, hydraulic collection is the most widely considered technology in polymetallic-nodule mining, since there is no direct contact between hydraulic collectors and ocean floor. To construct a hydraulic collector that results in the least sediment disturbance, it is critical to develop an insightful understanding of the interaction between the collector and sediment bed. To this end, we conducted a set of small-scale experiments in which several operational conditions were tested, delivering the first quantitative data for sediment erosion resulting from a hydraulic collector driving over a sand bed. This paper presents and discusses the experimental results and observations. It is found that the collector’s forward velocity is inversely proportional to the bed-sediment erosion depth, since the bed is exposed to the flow for a longer time when the collector drives slower and vice versa. In contrast, an increased jet velocity leads to a larger erosion depth. Furthermore, when the collector underside is nearer to the sediment bed, a larger sediment layer is exposed to the water flow, resulting in a larger erosion depth. Finally, the experimental results show that collector water jets strike the sediment bed under an inclined angle, destabilizing the upper sediment layer and consequently dragging sediment particles along toward the collection duct and behind the collector head. This study improves the predictability of sediment erosion created by Coandă-effect-based collectors, which is a crucial asset to optimize the collector design and decrease the extent of the associated sediment plumes.","Sediment erosion; Deep sea mining; Polymetallic nodules; Hydraulic collector; Coandă effect; Jetting","en","journal article","","","","","","","","","","","Offshore and Dredging Engineering","","",""
"uuid:a68507af-4881-4529-85b4-92e3760cb087","http://resolver.tudelft.nl/uuid:a68507af-4881-4529-85b4-92e3760cb087","Correction to: Are green buildings more liveable than conventional buildings? An examination from the perspective of occupants (Journal of Housing and the Built Environment, (2022), 10.1007/s10901-022-09983-9)","Xu, Y. (TU Delft Technology, Policy and Management; School of Public Administration; Hunan University); Luo, D. (TU Delft Management in the Built Environment; TU Delft Housing Systems; Hunan University); Qian, QK (TU Delft Management in the Built Environment; TU Delft Design & Construction Management); Chan, Edwin H.W. (Hunan University; The Hong Kong Polytechnic University)","","2023","In the original publication of the article, the first affiliation “School of Public Administration, Hunan University, Changsha, China” was incorrectly repeated as fourth affiliation. The fourth affiliation should be “Building and Real Estate Dept. Hong Kong Polytechnic University, Hong Kong SAR”. The original article has been corrected.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-31","Technology, Policy and Management","Management in the Built Environment","Housing Systems","","",""
"uuid:0a41b907-9477-4cf3-aa1e-2ac979547522","http://resolver.tudelft.nl/uuid:0a41b907-9477-4cf3-aa1e-2ac979547522","The moral source of collective irrationality during COVID-19 vaccination campaigns","Voinea, Cristina (University of Bucharest); Marin, L. (TU Delft Ethics & Philosophy of Technology); Vica, Constantin (University of Bucharest)","","2023","Many hypotheses have been advanced to explain the collective irrationality of COVID-19 vaccine hesitancy, such as partisanship and ideology, exposure to misinformation and conspiracy theories or the effectiveness of public messaging. This paper presents a complementary explanation to epistemic accounts of collective irrationality, focusing on the moral reasons underlying people’s decisions regarding vaccination. We argue that the moralization of COVID-19 risk mitigation measures contributed to the polarization of groups along moral values, which ultimately led to the emergence of collective irrational behaviors. Collective irrationality arises from groups explicitly or implicitly endorsing values that ultimately harm both themselves and those around. The role of social media platforms in amplifying this polarization and contributing to the emergence of collective irrationality is also examined. Finally, potential strategies for addressing the moral sources of collective irrationality are discussed.","Collective irrationality; moral reasons; covid-19; vaccine hesitancy; Social media","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-28","","","Ethics & Philosophy of Technology","","",""
"uuid:c20961ee-e424-4ae0-a079-243f34960195","http://resolver.tudelft.nl/uuid:c20961ee-e424-4ae0-a079-243f34960195","Reimagining Infrastructure Megaproject Delivery: An Australia—New Zealand Perspective","Ninan, Dr Johan (TU Delft Integral Design & Management); Clegg, Stewart (University of Sydney); Burdon, Steve (University of Technology Sydney); Clay, John (University of Technology Sydney)","","2023","Infrastructure megaprojects are increasing in size and number worldwide. Widespread shortcomings such as cost overruns, delays, litigious threats, and community opposition are now so pervasive there is a clear mandate to rethink the way we plan, deliver, and operate our infrastructure. In this context, we situate this research to understand how megaprojects can be set up for success. Data were collected from responses to a questionnaire survey, interviews, and case studies. The questionnaire was fielded by multiple agencies involved in infrastructure projects, such as engineers, construction organizations, and government delivery agencies. For qualitative insights, we conducted 30 interviews with participants from these agencies and studied cases that successfully implemented the themes identified. The results highlight the three themes with the highest impact in delivering projects successfully: (1) Improved integrated planning, business cases, and front-end engineering design; (2) efficient use of contracts; and (3) strengthening government and political engagement. This research contrasts the literature on megaproject success with data collected from questionnaires, case studies, and interviews. Collecting solutions that have solved issues effectively is an innovative aspect of our methodology, with these findings holding considerable value for ecosystem practitioners. Thus, we contribute by highlighting eight cost-effective, relevant, and efficient ways for reimagining infrastructure megaproject delivery.","infrastructure; megaprojects; Australia-New Zealand; project success","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:2c99d723-5903-4f39-b2bf-feb40daf3ec7","http://resolver.tudelft.nl/uuid:2c99d723-5903-4f39-b2bf-feb40daf3ec7","Improving mathematics assessment readability: Do large language models help?","Patel, Nirmal (Playpower Labs Gujarat); Nagpal, Pooja (Central Square Foundation); Shah, Tirth (Playpower Labs Gujarat); Sharma, Aditya (Playpower Labs Gujarat); Malvi, Shrey (Playpower Labs Gujarat); Lomas, J.D. (TU Delft Design Aesthetics)","","2023","Background: Readability metrics provide us with an objective and efficient way to assess the quality of educational texts. We can use the readability measures for finding assessment items that are difficult to read for a given grade level. Hard-to-read math word problems can put some students at a disadvantage if they are behind in their literacy learning. Despite their math abilities, these students can perform poorly on difficult-to-read word problems because of their poor reading skills. Less readable math tests can create equity issues for students who are relatively new to the language of assessment. Less readable test items can also affect the assessment's construct validity by partially measuring reading comprehension. Objectives: This study shows how large language models help us improve the readability of math assessment items. Methods: We analysed 250 test items from grades 3 to 5 of EngageNY, an open-source curriculum. We used the GPT-3 AI system to simplify the text of these math word problems. We used text prompts and the few-shot learning method for the simplification task. Results and Conclusions: On average, GPT-3 AI produced output passages that showed improvements in readability metrics, but the outputs had a large amount of noise and were often unrelated to the input. We used thresholds over text similarity metrics and changes in readability measures to filter out the noise. We found meaningful simplifications that can be given to item authors as suggestions for improvement. Takeaways: GPT-3 AI is capable of simplifying hard-to-read math word problems. The model generates noisy simplifications using text prompts or few-shot learning methods. The noise can be filtered using text similarity and readability measures. The meaningful simplifications AI produces are sound but not ready to be used as a direct replacement for the original items. To improve test quality, simplifications can be suggested to item authors at the time of digital question authoring.","GPT-3; mathematics assessment; readability; text simplification","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design Aesthetics","","",""
"uuid:8b46e520-ad50-4c26-b3fb-7b8190ac4812","http://resolver.tudelft.nl/uuid:8b46e520-ad50-4c26-b3fb-7b8190ac4812","Missions as boundary objects for transformative change: Understanding coordination across policy, research, and stakeholder communities","Janssen, Matthijs J. (Universiteit Utrecht; Dialogic); Wesseling, Joeri (Universiteit Utrecht); Torrens, Jonas (Eindhoven University of Technology); Weber, K. Matthias (AIT Austrian Institute of Technology; Gustave Eiffel University); Penna, C.C.R. (TU Delft Economics of Technology and Innovation); Klerkx, Laurens (Wageningen University & Research; Universiteit Utrecht; University of Talca)","","2023","Recent times have seen the rediscovery and adaptation of mission-oriented innovation policies (MIPs) for driving transformative change. While such policies seek to mobilise and align stakeholders, little is known about how missions feature in policy coordination processes. We argue that to facilitate the still troublesome operationalisation of MIPs, it is essential to understand missions as ‘boundary objects’ that have some shared meanings among the participants they convene, yet are open enough to be interpreted differently by distinct actors gathering in four interconnected policy arenas—i.e. a strategic, programmatic, implementation, and performance arena. By studying the European Commission’s Horizon Europe missions, we unravel how missions as boundary objects enable and disable the coordination of heterogeneous communities. The resulting analytical perspective highlights three key mechanisms for coordinating mission meanings across communities and arenas: convergence–divergence, passage, and reflexive learning. We conclude with research avenues for studying missions as boundary objects for facilitating concerted action.","","en","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:a0e1a879-2ea9-44e5-ba01-daff02dc5189","http://resolver.tudelft.nl/uuid:a0e1a879-2ea9-44e5-ba01-daff02dc5189","Runtime Verification for Programmable Switches","Shukla, Apoorv (Huawei Technologies Deutschland GmbH); Hudemann, Kevin (SAP AG); Vagi, Zsolt (Swisscom AG); Hugerich, Lily (Technical University of Berlin); Smaragdakis, G. (TU Delft Cyber Security); Hecker, Artur (Huawei Technologies Deutschland GmbH); Schmid, Stefan (Technical University of Berlin); Feldmann, Anja (Max Planck Institut für Informatik)","","2023","We introduce a runtime verification framework for programmable switches that complements static analysis. To evaluate our approach, we design and develop P6, a runtime verification system that automatically detects, localizes, and patches software bugs in P4 programs. Bugs are reported via a violation of pre-specified expected behavior that is captured by P6. P6 is based on machine learning-guided fuzzing that tests P4 switch non-intrusively, i.e., without modifying the P4 program for detecting runtime bugs. This enables an automated and real-time localization and patching of bugs. We used a P6 prototype to detect and patch existing bugs in various publicly available P4 application programs deployed on two different switch platforms, namely, behavioral model (bmv2) and Tofino. Our evaluation shows that P6 significantly outperforms bug detection baselines while generating fewer packets and patches bugs in large P4 programs, e.g., switch.p4 without triggering any regressions.","P4; Programmable networks; verification","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-11","","","Cyber Security","","",""
"uuid:368629d3-34bb-4fcb-abdb-7abf4b3ec002","http://resolver.tudelft.nl/uuid:368629d3-34bb-4fcb-abdb-7abf4b3ec002","Modelling the legal spaces of 3D underground objects in 3D land administration systems","Ramlakhan, Rohit; Kalogianni, E. (TU Delft Digital Technologies); van Oosterom, P.J.M. (TU Delft Digital Technologies); Atazadeh, Behnam (University of Melbourne)","","2023","One of the significant challenges in current 2D Land Administration Systems (LAS) is defining and registering the Rights, Restrictions and Responsibilities (RRRs) attached to the underground objects. A 3D LAS can facilitate a better understanding, as well as a more efficient registration and clear visualisation of the RRRs than a 2D LAS, through 3D digital modelling of the legal ownership of underground objects below the surface. To register the objects below the surface in a 3D LAS, 3D physical data as well as 3D legal data shall either be registered and integrated into one model, or the physical and legal models shall be linked effectively. In the context of this paper, the IFC (ISO 16739:2018) is used to register the 3D physical data, while the Land Administration Domain Model (LADM, ISO 19152:2012) is used to structure the legal data. To achieve the link of the respective legal and physical data, the classes of the LADM are mapped to the elements of the IFC. A standardised workflow is presented in this paper where the inclusion of the legal, organisational and technical aspects of modelling the legal ownership results in a comprehensive approach to solve the challenges that currently prevent the registration of the RRRs of 3D objects below the surface in LASs. This paper also provides a general method for mapping the LADM classes to the IFC entities. Two case studies were conducted to assess the technical aspect of the workflow, where the RRRs of objects below the surface and the parcels above the surface were registered and visualised on the 3D geospatial visualisation platform CesiumJS.","3D land administration systems; IFC; LADM; legal spaces; RRRs; underground objects","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-02","","","Digital Technologies","","",""
"uuid:023f770e-5e15-4e86-90fd-32720849c974","http://resolver.tudelft.nl/uuid:023f770e-5e15-4e86-90fd-32720849c974","Driver Profile and Driving Pattern Recognition for Road Safety Assessment: Main Challenges and Future Directions","Tselentis, D. (TU Delft Safety and Security Science); Papadimitriou, E. (TU Delft Safety and Security Science)","","2023","This study reviews the Artificial Intelligence and Machine Learning approaches developed thus far for driver profile and driving pattern recognition, representing a set of macroscopic and microscopic behaviors respectively, to enhance the understanding of human factors in road safety, and therefore reduce the number of crashes. It provides a definition of the two scientific fields in terms of safety, and identifies the most efficient approaches used regarding methodology, data collection and driving metrics. Results show that K-means and Neural Networks are the most commonly used methodologies for driver profile identification, and Dynamic Time Warping for driving pattern detection. Most studies discovered driver profiles related to aggressiveness, considering mainly speed and acceleration as driving metrics. Based on the gaps and challenges identified, this paper provides a new framework for combining microscopic and macroscopic driving behavior analysis, instead of examining them separately as is the state-of-theart. Such combined results can potentially improve the development of traffic risk models, which could be exploited in applications that monitor drivers in real-time and provide feedback. These models will represent human behavior more accurately, which can eventually lead to the recognition of 'optimal' human driving patterns that Automated Vehicles (AV) could 'mimic' to become safer.","Artificial Intelligence; Behavioral sciences; Driver Profiles; Driving Behavior; Driving Patterns; Machine Learning; Measurement; Microscopy; Naturalistic Driving Data; Pattern recognition; Road safety; Safety; Vehicles","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:9eb4eae9-717a-4494-a58d-24377f89f22a","http://resolver.tudelft.nl/uuid:9eb4eae9-717a-4494-a58d-24377f89f22a","Coronavirus Disease 2019 and Airborne Transmission: Science Rejected, Lives Lost. Can Society Do Better?","Morawska, Lidia (Queensland University of Technology); Bahnfleth, William (The Pennsylvania State University); Bluyssen, P.M. (TU Delft Environmental & Climate Design); Boerstra, Atze (REHVA); Buonanno, Giorgio (University of Cassino and Southern Lazio); Dancer, Stephanie J. (Edinburgh Napier University); Floto, Andres (University of Cambridge); Franchimon, Francesco (Franchimon ICM); Hogeling, Jaap (ISSO)","","2023","This is an account that should be heard of an important struggle: the struggle of a large group of experts who came together at the beginning of the COVID-19 pandemic to warn the world about the risk of airborne transmission and the consequences of ignoring it. We alerted the World Health Organization about the potential significance of the airborne transmission of SARS-CoV-2 and the urgent need to control it, but our concerns were dismissed. Here we describe how this happened and the consequences. We hope that by reporting this story we can raise awareness of the importance of interdisciplinary collaboration and the need to be open to new evidence, and to prevent it from happening again. Acknowledgement of an issue, and the emergence of new evidence related to it, is the first necessary step towards finding effective mitigation solutions.","airborne transmission; airborne infection spread; coronavirus; COVID-19; SARS-CoV-2 virus","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:449a893c-e03d-43c9-a594-1e34e808bbec","http://resolver.tudelft.nl/uuid:449a893c-e03d-43c9-a594-1e34e808bbec","Empirical fragility and ROC curves for masonry buildings subjected to settlements","Prosperi, A. (TU Delft Applied Mechanics); Korswagen, Paul A. (TU Delft Applied Mechanics); Korff, M. (TU Delft Geo-engineering); Schipper, H.R. (TU Delft Applied Mechanics); Rots, J.G. (TU Delft Applied Mechanics)","","2023","In the Netherlands, the potential damage to the building stock due to subsidence phenomena has recently received increased awareness. However, evaluating and predicting damage to buildings in subsiding areas is a complex task that requires associating the vulnerability of exposed structures with the intensity of the subsidence hazard. Considering the widespread presence of subsidence-related damage to the built heritage, the focus of this study is to provide empirical-based insights to assess and forecast subsidence damage to masonry buildings. A rich dataset with manual levelling measurements was collected comprising 386 surveyed masonry buildings, mainly low-rise (terraced) houses built before 1950. Of the total set of buildings, 122 cases rest on shallow foundations and 264 on piled foundations. For each building, the recorded damage is related to the settlement, calculated from the bed-joint levelling measurements, using four different intensity parameters, namely differential settlement, rotation, relative rotation and deflection ratio. These four parameters are appraised in their capacity to effectively predict the intensity of the damage. The Receiver Operating Characteristic (ROC) method is used to evaluate the relative efficacy of the selected hazard parameters. The rotation, the relative rotation (angular distortion) and the deflection ratio are observed as the most accurate when predicting the intensity of damage, while the differential settlement appears less accurate. Additionally, the dataset was used to generate empirical fragility curves where the probability of damage is described as a function of the aforementioned parameters. Thresholds were set to distinguish between the light damage and the functional and structural damage state. At a relative rotation of 1/500 masonry buildings on shallow foundations were observed to reach or exceed light damage with a probability of 13%, and functional and structural damage with 5%. The availability of the bed joint levelling measurements made it possible to classify eight recurrent settlement profiles, including both symmetric and asymmetric profiles, associated with both the overall deformation and the rigid rotations of the surveyed buildings.","Masonry buildings; Damage assessment; Fragility curves; ROC curve; Settlement trough","en","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:2b59e111-8f2c-4d3f-9f1e-86ee8f09cb7c","http://resolver.tudelft.nl/uuid:2b59e111-8f2c-4d3f-9f1e-86ee8f09cb7c","Participation as a Tool for the Sustainable Redesign of Vacant Heritage: The Case of Politiebureau Groningen Centrum","Liu, Chi (Student TU Delft); Gonçalves, Joana (TU Delft Heritage & Architecture); Quist, W.J. (TU Delft Heritage & Architecture)","","2023","This paper explores the opportunities for locals’ participation as a tool for the sustainable redevelopment of vacant heritage. It focuses on the Politiebureau Groningen Centrum (The Netherlands) as a case study to apply a novel approach to engage community participation in architectural redesign. It fills the academic gap on participation in heritage building redesign lacking diversity in stakeholder perspectives and overcomes some of the current participatory design tools’ downsides, identified in the previous literature: lack of transparent communication and high requirement for participants. This research employs a combination of methods structured by sets of divergent and convergent phases. Cognitive mapping, semi-structured interviewing, and a 2,5D model game were tested in the research for inquiry and redesign testing, the two key participatory stages. The research outcomes include participants’ perceptions and remembrance of the site for generating redesign scenarios, the common ground in their scenario preferences, and their contrasting attitudes toward the overall material and the specific elements. The 2,5D model game tool turns out effective in transparently delivering the redesign possibilities to participants and lowering the requirements of time, language skill, and learning capacity, thus being easily repeatable for other sites and participants to boost social and community values. Future research recommendations are given on applying the approach to larger samples covering all the minorities to get in-depth knowledge on the community’s collective perspectives in relation to their sociodemographic characteristics and validate the hypothesis on their preferences toward materials and elements.","sustainability; heritage redevelopment; participatory design; public engagement; community value; social value; Faro Convention; HUL; heritage; cognitive mapping","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:2a922c63-48af-44b9-b49f-4f816bc0b950","http://resolver.tudelft.nl/uuid:2a922c63-48af-44b9-b49f-4f816bc0b950","Role of Grain Size and Recrystallization Texture in the Corrosion Behavior of Pure Iron in Acidic Medium","Kar, S. (Student TU Delft); Yilmaz, A. (TU Delft Team Yaiza Gonzalez Garcia); Traka, K. (TU Delft Team Maria Santofimia Navarro); Sietsma, J. (TU Delft Team Kevin Rossi); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia)","","2023","This work investigates the role of grain size and recrystallization texture in the corrosion behavior of pure iron in 0.1 M sulfuric acid solution. Annealing heat treatment was applied to obtain samples with different average grain sizes (26, 53 and 87 µm). Optical microscopy, X-ray diffraction and electron backscatter diffraction techniques were used to characterize the microstructure. The EBSD data analysis showed ferrite phase with no inclusions and very low geometrically necessary dislocation density, indicating strain-free grains constituting all samples. The crystallographic texture analysis of the samples revealed that the 26 µm grain size sample had a high volume fraction of {111} oriented grains parallel to the sample surface, while other samples exhibited nearly random crystallographic texture. The electrochemical results from potentiodynamic polarization and electrochemical impedance spectroscopy showed a decrease in corrosion resistance from 87 µm to 53 µm grain size sample and then an increase for the 26 µm grain size sample. This increase was attributed to the dominant effect of recrystallization texture on the corrosion behavior of the sample. The cathodic hydrogen evolution reaction kinetics was found to play a decisive role in the corrosion behavior of iron.","grain size; crystallographic texture; iron; corrosion; hydrogen evolution reaction; EIS; EBSD","en","journal article","","","","","","","","","","","Team Yaiza Gonzalez Garcia","","",""
"uuid:64b5ceaf-cd4b-4f78-95a3-63d119d4c490","http://resolver.tudelft.nl/uuid:64b5ceaf-cd4b-4f78-95a3-63d119d4c490","A Methodology to Develop Agent-Based Models for Policy Support Via Qualitative Inquiry","Nespeca, V. (TU Delft System Engineering); Comes, M. (TU Delft Transport and Logistics); Brazier, F.M. (TU Delft System Engineering)","","2023","Qualitative research is a powerful means to capture human interactions and behavior. Although there are different methodologies to develop models based on qualitative research, a methodology is missing that enables to strike a balance between the comparability across cases provided by methodologies that rely on a common and context-independent framework and the flexibility to study any policy problem provided by methodologies that focus on capturing a case study without relying on a common framework. Additionally, a rigorous methodology is missing that enables the development of both theoretical and empirical models for supporting policy formulation and evaluation with respect to a specific policy problem. In this article, the authors propose a methodology targeting these gaps for ABMs in two stages. First, a novel conceptual framework centered on a particular policy problem is developed based on existing theories and qualitative insights from one or more case studies. Second, empirical or theoretical ABMs are developed based on the conceptual framework and generic models. This methodology is illustrated by an example application for disaster information management in Jakarta, resulting in an empirical descriptive agent-based model.","Research Design; Simulation Methodology; Empirical Agent-Based Models; Information Diffusion; Information Management; Crisis Management; OA-Fund TU Delft","en","journal article","","","","","","","","","","","System Engineering","","",""
"uuid:0fd8c9e5-43df-4535-a10b-19c490f53919","http://resolver.tudelft.nl/uuid:0fd8c9e5-43df-4535-a10b-19c490f53919","An Advanced Data Processing Algorithm for Extraction of Polarimetric Radar Signatures of Moving Automotive Vehicles Using the H/A/α Decomposition Technique","Bosma, Detmer (TU Delft Microwave Sensing, Signals & Systems); Krasnov, O.A. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","A dedicated signal and data processing chain is proposed for a fully polarimetric Doppler surveillance S-band radar to extract the polarimetric signatures of moving targets. To extract the target’s polarimetric features, detection, clustering, and tracking steps are realized for a multi-target environment in the range-Doppler domain. A dedicated data fusion method for all four polarimetric radar channel signals is implemented to take full advantage of the additional polarimetric information and improve the detection performance. While tracking each particular target, polarization information is collected and used to describe their polarization scattering characteristics. Using the polarimetric H/A/α decomposition technique, the polarimetric features of moving automotive targets are extracted and investigated. The developed processing chain has been applied to the signals scattered from vehicles moving in a highway. By employing both time averaging and spatial averaging of the statistical coherency matrix, the polarimetric signatures of both moving vehicles and static clutter have been presented in the two-dimensional H/α plane. It has been found that the spatial averaging approach results in polarimetric signatures of moving vehicles that give the opportunity to directly and without consideration of the motion of the targets compare the polarization features of moving targets and static clutter. Therefore, this method can be used to improve the performance of target detection or target classification.","polarimetric radar; polarimetric signature; H/A/α decomposition; polarimetric fusion; target detection; multi-target tracking","en","journal article","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:c09ad209-9363-4ffc-bc1b-6daf47511fb0","http://resolver.tudelft.nl/uuid:c09ad209-9363-4ffc-bc1b-6daf47511fb0","Assessment of two quasi-static approaches to mimic repeated impact response and damage behaviour of CFRP laminates","Huo, L. (TU Delft Structural Integrity & Composites); Verstraeten, A.J.M. (Student TU Delft); Alderliesten, R.C. (TU Delft Structural Integrity & Composites)","","2023","Full impact damage tolerance assessment requires the ability to properly mimic the repeated impact response and damage behaviour of composite materials using quasi-static approximations. To this aim, this paper reports an experimental investigation evaluating two quasi-static methods for mimicking repeated impact response and damage behaviour of Carbon Fibre Reinforced Polymer (CFRP) composite laminates. In this study, an 8.45-J single impact was repeated 225 times and mimicked with 225 times 6.51-J quasi-static (energy equivalent) indentations and with 225 quasi-static (force equivalent) indentations following the recorded impact peak force variation. Results show that the loading rate and the inertial effect are the two major factors affecting the responses of the composite laminates under out-of-plane concentrated loading. Both the energy- and force-equivalent quasi-static indentations failed to reproduce the impact responses greatly associated with high loading rate and inertial effect. The force-equivalent quasi-static indentations were performed in a semi-automatic way and induced damage states more similar to those of the repeated impacts than those of the energy-equivalent quasi-static indentations, whereas the latter can be better automated and has better reproducibility compared to that of the repeated impact responses, as it is less dependent on high loading rate and inertial effect.","","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:a312afd9-dd0e-473b-b626-bdcc210c84d6","http://resolver.tudelft.nl/uuid:a312afd9-dd0e-473b-b626-bdcc210c84d6","lidarwind: A Python package for retrieving wind profiles from Doppler lidar observations","Dias Neto, J. (TU Delft Atmospheric Remote Sensing); Castelão, Guilherme (Scripps Institution of Oceanography)","","2023","lidarwind is an open-source Python project to retrieve wind speed and direction profiles from Doppler lidar observations from the WindCube-200s, and it was developed to be easy to use. It can retrieve wind profiles from the 6-beam and DBS scanning strategies and allow users to set the signal-to-noise ratio threshold to reduce the noise. It also calculates the Reynolds stress tensor matrix elements from the 6-beam observations. lidarwind is a result of an effort to create an environment where it would be flexible and easy to process the observations from the WindCube Doppler lidar.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:e7a06aba-6b82-4478-a9b4-311e589e818f","http://resolver.tudelft.nl/uuid:e7a06aba-6b82-4478-a9b4-311e589e818f","Intercontinental validation of a clinical prediction model for predicting 90-day and 2-year mortality in an Israeli cohort of 2033 patients with a femoral neck fracture aged 65 or above","Oosterhoff, J.H.F. (TU Delft Information and Communication Technology; Harvard Medical School; Amsterdam UMC); Karhade, Aditya V. (Harvard Medical School); Groot, Olivier Q. (Harvard Medical School); Schwab, Joseph H. (Harvard Medical School); Heng, Marilyn (University of Miami Miller School of Medicine); Klang, Eyal (Sheba Medical Center); Prat, Dan (Sheba Medical Center)","","2023","Purpose: Mortality prediction in elderly femoral neck fracture patients is valuable in treatment decision-making. A previously developed and internally validated clinical prediction model shows promise in identifying patients at risk of 90-day and 2-year mortality. Validation in an independent cohort is required to assess the generalizability; especially in geographically distinct regions. Therefore we questioned, is the SORG Orthopaedic Research Group (SORG) femoral neck fracture mortality algorithm externally valid in an Israeli cohort to predict 90-day and 2-year mortality? Methods: We previously developed a prediction model in 2022 for estimating the risk of mortality in femoral neck fracture patients using a multicenter institutional cohort of 2,478 patients from the USA. The model included the following input variables that are available on clinical admission: age, male gender, creatinine level, absolute neutrophil, hemoglobin level, international normalized ratio (INR), congestive heart failure (CHF), displaced fracture, hemiplegia, chronic obstructive pulmonary disease (COPD), history of cerebrovascular accident (CVA) and beta-blocker use. To assess the generalizability, we used an intercontinental institutional cohort from the Sheba Medical Center in Israel (level I trauma center), queried between June 2008 and February 2022. Generalizability of the model was assessed using discrimination, calibration, Brier score, and decision curve analysis. Results: The validation cohort included 2,033 patients, aged 65 years or above, that underwent femoral neck fracture surgery. Most patients were female 64.8% (n = 1317), the median age was 81 years (interquartile range = 75–86), and 80.4% (n = 1635) patients sustained a displaced fracture (Garden III/IV). The 90-day mortality was 9.4% (n = 190) and 2-year mortality was 30.0% (n = 610). Despite numerous baseline differences, the model performed acceptably to the validation cohort on discrimination (c-statistic 0.67 for 90-day, 0.67 for 2-year), calibration, Brier score, and decision curve analysis. Conclusions: The previously developed SORG femoral neck fracture mortality algorithm demonstrated good performance in an independent intercontinental population. Current iteration should not be relied on for patient care, though suggesting potential utility in assessing patients at low risk for 90-day or 2-year mortality. Further studies should evaluate this tool in a prospective setting and evaluate its feasibility and efficacy in clinical practice. The algorithm can be freely accessed: https://sorg-apps.shinyapps.io/hipfracturemortality/. Level of evidence: Level III, Prognostic study.","Femoral neck fracture; Geriatric trauma; Hip fracture; Machine learning; Mortality; Prediction model","en","journal article","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:8f3788d8-4501-4f95-86a2-32d3ee19cc42","http://resolver.tudelft.nl/uuid:8f3788d8-4501-4f95-86a2-32d3ee19cc42","A Streamline-Guided Dehomogenization Approach for Structural Design","Wang, Junpeng (Technische Universität München); Westermann, Rüdiger (Technische Universität München); Wu, J. (TU Delft Materials and Manufacturing)","","2023","We present a novel dehomogenization approach for the efficient design of high-resolution load-bearing structures. The proposed approach builds upon a streamline-based parametrization of the design domain, using a set of space-filling and evenly spaced streamlines in the two mutually orthogonal direction fields that are obtained from homogenization-based topology optimization. Streamlines in these fields are converted into a graph, which is then used to construct a quad-dominant mesh whose edges follow the direction fields. In addition, the edge width is adjusted according to the density and anisotropy of the optimized orthotropic cells. In a number of numerical examples, we demonstrate the mechanical performance and regular appearance of the resulting structural designs and compare them with those from classic and contemporary approaches.","Topology optimization; dehomogenization","en","journal article","","","","","","","","2023-06-12","","","Materials and Manufacturing","","",""
"uuid:ee148827-c765-4afc-bade-fbef69a2f773","http://resolver.tudelft.nl/uuid:ee148827-c765-4afc-bade-fbef69a2f773","A Tractable Failure Probability Prediction Model for Predictive Maintenance Scheduling of Large-Scale Modular-Multilevel-Converters","Fu, J. (TU Delft Team Bart De Schutter; Dalian Maritime University); Peyghami, Saeed (Aalborg University); Nunez, Alfredo (TU Delft Railway Engineering); Blaabjerg, Frede (Aalborg University); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2023","Predictive maintenance scheduling of modular-multilevel-converters (MMCs) requires estimations of the failure probabilities of MMCs during a period of time in the future. Particularly, the predicted future failure probabilities are influenced by two main factors, the mission profiles of the MMCs and the maintenance decisions on the MMCs during the prediction period. This paper proposes a failure probability prediction model (FPM) for MMCs by considering these two factors. First, the expectations of the failure probabilities of the components for all the scenarios of mission profiles are obtained. Second, in predictive maintenance scheduling problems, the decisions to perform the maintenance actions are represented by binary variables. When the number of submodules is very large, using the binomial probability form currently used in reliability engineering to express the 'r-out-of-n' failure probability of arms of the MMCs is intractable. Thus, this paper proposes a tractable form (T-form) in FPM by observing that the submodules on one arm are homogeneous. Furthermore, an approximation method, i.e., clustering and assignment (C&A), is proposed to reduce the computation times for calculating the parameters needed by the proposed T-form. Then, we perform a case study that assesses the accuracy and computation time of the C&A approach. The results show that the accuracy of the C&A approach is high and that the computation time is reduced significantly compared with the accurate method. We also show that the computation time for solving the predictive maintenance scheduling problem can be reduced hugely by using the T-form instead of the binomial probability form.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-01","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:c25f7d5a-cbde-46d2-b20d-10342d59c5a3","http://resolver.tudelft.nl/uuid:c25f7d5a-cbde-46d2-b20d-10342d59c5a3","The Impact of Different Bow Shapes on Large Yacht Comfort","Begovic, Ermina (Università degli Studi di Napoli Federico II); Della Valentina, Enrico (Maritime Research Institute Netherlands (MARIN)); Mauro, F. (TU Delft Ship Design, Production and Operations); Nabergoj, Radoslav (Nasdis PDS d.o.o.); Rinauro, Barbara (Università degli Studi di Napoli Federico II)","","2023","The importance of comfort during transfer and stationing becomes a key performance parameter for large yacht design, on the same level as propulsive issues. Such a matter extends questions in terms of form and service demand to the motion behaviour of the unit in waves. Relevant studies refer to outdated hull forms not specific to modern large yachts. In this study, five hull forms with different bow concepts represent the most common design solutions for yachts at constant draught and displacement. The preliminary ranking on the effect of alternative bows on comfort requires the definition of internationally accepted comfort standards. Here, the AWI 22834 guidelines for large yachts provide the service and environmental conditions and criteria for the comfort analysis, being the only reference specific to yachts. The calculations employ a strip-theory-based numerical model to provide results of easy understanding for designers during the early design stage. The obtained ranking among the design solutions on a reference large yacht favours the option nested with a bulb, contradicting the expectations in favour of a vertical bow concept. The discussion and conclusions provide a way forward for additional analyses and investigations aimed at proposing suitable multicriterial design guidelines for large yachts. However, the results also show the unsuitability of AWI environmental and encounter conditions for hull form ranking.","hull forms; ship design; large yachts; comfort analysis; ship motions","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:1c8a23df-baf8-4bf7-8b1b-126896917e59","http://resolver.tudelft.nl/uuid:1c8a23df-baf8-4bf7-8b1b-126896917e59","Generalised Isentropic Relations in Thermodynamics","Nederstigt, P. (Student TU Delft); Pecnik, Rene (TU Delft Energy Technology)","","2023","Isentropic processes in thermodynamics are fundamental to our understanding of numerous physical phenomena across different scientific and engineering fields. They provide a theoretical reference case for the evaluation of real thermodynamic processes and observations. Yet, as analytical relations for isentropic transformations in gas dynamics are limited to ideal gases, the inability to analytically describe isentropic processes for non-ideal gases is a fundamental shortcoming. This work presents generalised isentropic relations in thermodynamics based on the work by Kouremenos et al., where three isentropic exponents γPv, γTv and γPT are introduced to replace the ideal gas isentropic exponent γ to incorporate the departure from the non-ideal gas behaviour. The general applicability of the generalised isentropic relations is presented by exploring its connections to existing isentropic models for ideal gases and incompressible liquids. Generalised formulations for the speed of sound, the Bernoulli equation, compressible isentropic flow transformations, and isentropic work are presented thereafter, connecting previously disjoint theories for gases and liquids. Lastly, the generalised expressions are demonstrated for practical engineering examples, and their accuracy is discussed.","isentropic relations; real gas thermodynamics; speed of sound; compressible fluid flows; compressibility; isentropic work","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:20988ba6-6877-4e5d-a6ff-4ed282bf2593","http://resolver.tudelft.nl/uuid:20988ba6-6877-4e5d-a6ff-4ed282bf2593","Templated Synthesis of Diamond Nanopillar Arrays Using Porous Anodic Aluminium Oxide (AAO) Membranes","Zhang, C. (TU Delft Micro and Nano Engineering; Harbin Institute of Technology); Liu, Z. (TU Delft Micro and Nano Engineering); Li, Chun (Harbin Institute of Technology); Buijnsters, J.G. (TU Delft Micro and Nano Engineering)","","2023","Diamond nanostructures are mostly produced from bulk diamond (single- or polycrystalline) by using time-consuming and/or costly subtractive manufacturing methods. In this study, we report the bottom-up synthesis of ordered diamond nanopillar arrays by using porous anodic aluminium oxide (AAO). Commercial ultrathin AAO membranes were adopted as the growth template in a straightforward, three-step fabrication process involving chemical vapor deposition (CVD) and the transfer and removal of the alumina foils. Two types of AAO membranes with distinct nominal pore size were employed and transferred onto the nucleation side of CVD diamond sheets. Subsequently, diamond nanopillars were grown directly on these sheets. After removal of the AAO template by chemical etching, ordered arrays of submicron and nanoscale diamond pillars with ~325 nm and ~85 nm diameters were successfully released.","template-assisted growth; diamond nanopillars; anodic aluminium oxide (AAO); chemical vapor deposition (CVD); stress modeling","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:cbcfe207-29ca-4568-8c1d-51b853c4add6","http://resolver.tudelft.nl/uuid:cbcfe207-29ca-4568-8c1d-51b853c4add6","Association between prenatal alcohol exposure and children's facial shape:: a prospective population-based cohort study","Liu, X. (Erasmus MC); Kayser, Manfred (Erasmus MC); Kushner, S.A. (Erasmus MC); Tiemeier, H (Harvard T.H. Chan School of Public Health); Rivadeneira, F (Erasmus MC); Jaddoe, Vincent (Erasmus MC); Niessen, W.J. (TU Delft ImPhys/Vos group; TU Delft ImPhys/Computational Imaging; Erasmus MC); Wolvius, E.B. (Erasmus MC); Roshchupkin, G.V. (Erasmus MC)","","2023","STUDY QUESTION: Is there an association between low-to-moderate levels of prenatal alcohol exposure (PAE) and children's facial shape? SUMMARY ANSWER: PAE before and during pregnancy, even at low level (<12 g of alcohol per week), was found associated with the facial shape of children, and these associations were found attenuated as children grow older. WHAT IS KNOWN ALREADY: High levels of PAE during pregnancy can have significant adverse associations with a child's health development resulting in recognizably abnormal facial development. STUDY DESIGN, SIZE, DURATION: This study was based on the Generation R Study, a prospective cohort from fetal life onwards with maternal and offspring data. We analyzed children 3-dimensional (3D) facial images taken at ages 9 (n = 3149) and 13 years (n = 2477) together with the data of maternal alcohol consumption. PARTICIPANTS/MATERIALS, SETTING, METHODS: We defined six levels of PAE based on the frequency and dose of alcohol consumption and defined three tiers based on the timing of alcohol exposure of the unborn child. For the image analysis, we used 3D graph convolutional networks for non-linear dimensionality reduction, which compressed the high-dimensional images into 200 traits representing facial morphology. These 200 traits were used for statistical analysis to search for associations with PAE. Finally, we generated heatmaps to display the facial phenotypes associated with PAE. MAIN RESULTS AND THE ROLE OF CHANCE: The results of the linear regression in the 9-year-old children survived correction for multiple testing with false discovery rate (FDR). In Tier 1 where we examined PAE only before pregnancy (exposed N = 278, unexposed N = 760), we found three traits survived FDR correction. The lowest FDR-P is 1.7e-05 (beta = 0.021, SE = 0.0040) in Trait #29; In Tier 2b where we examine any PAE during first trimester (exposed N = 756; unexposed N = 760), we found eight traits survived FDR correction. The lowest FDR-P is 9.0e-03 (beta = -0.013, SE = 0.0033) in Trait #139. Moreover, more statistically significant facial traits were found in higher levels of PAE. No FDR-significant results were found in the 13-year-old children. We map these significant traits back to the face, and found the most common detected facial phenotypes included turned-up nose tip, shortened nose, turned-out chin, and turned-in lower-eyelid-related regions. LIMITATIONS, REASONS FOR CAUTION: We had no data for alcohol consumption more than three months prior to pregnancy and thus do not know if maternal drinking had chronic effects. The self-reported questionnaire might not reflect accurate alcohol measurements because mothers may have denied their alcohol consumption. WIDER IMPLICATIONS OF THE FINDINGS: Our results imply that facial morphology, such as quantified by the approach we proposed here, can be used as a biomarker in further investigations. Furthermore, our study suggests that for women who are pregnant or want to become pregnant soon, should quit alcohol consumption several months before conception and completely during pregnancy to avoid adverse health outcomes in the offspring. STUDY FUNDING/COMPETING INTEREST(S): This work was supported by Erasmus Medical Centre, Rotterdam, the Erasmus University Rotterdam, and the Netherlands Organization for Health Research. V.W.V.J. reports receipt of funding from the Netherlands Organization for Health Research (ZonMw 90700303). W.J.N. is a founder, a scientific lead, and a shareholder of Quantib BV. TRIAL REGISTRATION NUMBER: N/A.","child health; 3D facial shape analysis; explainable artificial intelligence; epidemiology; prenatal alcohol exposure","en","journal article","","","","","","","","","","","ImPhys/Vos group","","",""
"uuid:b292c0ed-db16-465d-8531-2e8a89bc613f","http://resolver.tudelft.nl/uuid:b292c0ed-db16-465d-8531-2e8a89bc613f","Field performance monitoring of pervious concrete pavements","Singh, Avishreshth (TU Delft Pavement Engineering); Sampath, Prasanna Venkatesh (Indian Institute of Technology Tirupati); Biligiri, Krishna Prapoorna (Indian Institute of Technology Tirupati)","","2023","Pervious concrete pavements (PCP) have been successfully constructed in low-to-medium volume roads attributed to their sustainability benefits. Several studies have investigated the hydrological performance of PCPs, but limited attention has been given to the structural and environmental aspects. Thus, the objective of this study was to monitor the structural, hydrological and environmental performance of two PCP parking lots built using in-situ and ready-mix methods. Structural distresses were classified based on the distress identification manual, while the infiltration tests were performed every three months for three years to quantify the clogging rate. Joints/edges formed the weakest zones, while inefficient maintenance caused 98% clogging within 18 months. Further, in-situ mixing was 17% cheaper and 0.74% carbon-intensive than ready-mix technology. Overall, this research is envisioned to pave way for the development of guidelines that classify distresses and severity levels specific to PCPs, which also cover adequate maintenance recommendations for field implementation.","functional deficiencies; Pervious concrete pavements; real-time field performance; structural distresses","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-14","","","Pavement Engineering","","",""
"uuid:368b36f6-7e8c-44f2-a4db-675540de6a54","http://resolver.tudelft.nl/uuid:368b36f6-7e8c-44f2-a4db-675540de6a54","Parametric Analysis of a Double Shaft, Batch-Type Paddle Mixer Using the Discrete Element Method (DEM)","Emmerink, J.V. (Student TU Delft); Hadi, A.H. (TU Delft Transport Engineering and Logistics); Jovanova, J. (TU Delft Transport Engineering and Logistics); Cleven, Chris (Dinnissen BV); Schott, D.L. (TU Delft Transport Engineering and Logistics)","","2023","To improve the understanding of the mixing performance of double shaft, batch-type paddle mixers, the discrete element method (DEM) in combination with a Plackett–Burman design of experiments simulation plan is used to identify factor significance on the system’s mixing performance. Effects of several factors, including three material properties (particle size, particle density and composition), three operational conditions (initial filling pattern, fill level and impeller rotational speed) and three geometric parameters (paddle size, paddle angle and paddle number), were quantitatively investigated using the relative standard deviation (RSD). Four key performance indicators (KPIs), namely the mixing quality, mixing time, average mixing power and energy required to reach a steady state, were defined to evaluate the performance of the double paddle mixer. The results show that the material property effects are not as significant as those of the operational conditions and geometric parameters. In particular, the geometric parameters were observed to significantly influence the energy consumption, while not affecting the mixing quality and mixing time, showing their potential towards designing more sustainable mixers. Furthermore, the analysis of granular temperature revealed that the centre area between the two paddles has a high diffusivity, which can be correlated to the mixing time.","double paddle mixer; discrete element method (DEM); granular materials; solid mixing; Plackett–Burman design; granular temperature","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:be5bb59c-648c-43ab-afe9-01a6ca63e461","http://resolver.tudelft.nl/uuid:be5bb59c-648c-43ab-afe9-01a6ca63e461","Housing policies by young people, not for young people: Experiences from a co-creation project in Amsterdam","Hoekstra, J.S.C.M. (TU Delft Urban Development Management); Gentili, M. (TU Delft Urban Development Management)","","2023","For young adults on the Amsterdam housing market the accessibility of housing has been decreasing for years, due to soaring house prices and rents, the shrinkage and residualization of the social rental sector, and the precarization of the labor market. Consequently, many young people struggle to secure an affordable and adequate dwelling and are stuck in insecure and chaotic housing pathways. Current housing policies in Amsterdam are struggling to effectively respond to these challenges. In an effort to better understand and address the specific housing problems of young people, the Municipality of Amsterdam, housing association Lieven de Key, resident organization !Woon, Delft University of Technology and a group of local young people have started a co-creation process within the framework of the H2020 UPLIFT project. The goal of this co-creation process is to unravel the real-life experiences of young people and to co-create new or improved policy initiatives with them. This paper examines the results of said policy co-creation process in order to evaluate its methodology as well as its impact on the participating actors - young people in particular - and on the policymaking approach. We analyze the benefits and limits of this type of participatory practice in addressing housing issues and try to draw conclusions on its applicability in a larger context.","policy co-creation; Amsterdam (Netherlands); housing; inequality; young adults","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:e60f8926-a584-4337-896f-50319ccb4d7d","http://resolver.tudelft.nl/uuid:e60f8926-a584-4337-896f-50319ccb4d7d","Promoting the Application of Off-Site Construction in China’s Residential Building Industry from the Angle of Ecosystem","Xie, Fangyun (Party School of the Chongqing Committee); Fu, Xinyue (Student TU Delft); Huang, R. (TU Delft Design & Construction Management)","","2023","Off-site construction (OSC) is an innovative construction method. However, OSC is not widely applied in residential buildings due to many barriers. Therefore, this paper aims to unravel this puzzle and interpret the slow development of OSC. The paper develops a new analytical lens based on the ecosystem concept. By deconstructing some ecosystem concepts, the paper conceptualizes China’s residential building industry ecosystem (RBI-ECO) and proposes three hypotheses. The results show that the current structure of RBI-ECO does not support OSC development. Firstly, the performance of different types of enterprises is imbalanced. Secondly, there is a lack of cooperation between enterprises except for daily communication of projects. Moral risks, competition, and a fragmented supply chain are the primary causes. These barriers in RBI-ECO limit the implementation of OSC. In order to promote the application of OSC, the government can implement mandatory policies and concrete measures, establish a designer-led mechanism, motivate enterprises to transform into comprehensive enterprises, and enhance learning and education for OSC enterprise managers.","off-site construction (OSC); residential building industry ecosystem (RBI-ECO); survey; semi-structured interview; recommendations","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:e18ed056-7486-4b27-8023-098f3f0e1bf3","http://resolver.tudelft.nl/uuid:e18ed056-7486-4b27-8023-098f3f0e1bf3","Life-Cycle Assessment of a Multi-Megawatt Airborne Wind Energy System","van Hagen, L.J.A. (Student TU Delft); Petrick, Kristian (Airborne Wind Europe); Wilhelm, Stefan (Ampyx Power); Schmehl, R. (TU Delft Wind Energy)","","2023","A key motivation for airborne wind energy is its potential to reduce the amount of material required for the generation of renewable energy. On the other hand, the materials used for airborne systems’ components are generally linked to higher environmental impacts. This study presents comparative life-cycle analyses for future multi-megawatt airborne wind energy systems and conventional wind turbines, with both technologies operating in the same farm configuration and under matching environmental conditions. The analyses quantify the global warming potential and cumulative energy demand of the emerging and established wind energy technologies. The cumulative energy demand is subsequently also used to determine the energy payback time and the energy return on investment. The selected airborne wind energy system is based on the design of Ampyx Power, using a fixed-wing aircraft that is tethered to a generator on the ground. The conventional wind turbine is primarily based on the NREL 5 MW reference turbine. The results confirm that an airborne wind energy system uses significantly less material and generates electricity at notably lower impacts than the conventional wind turbine. Furthermore, the impacts of the wind turbine depend strongly on the local environmental conditions, while the impacts of the airborne wind energy system show only a minimal dependency. Airborne wind energy is most advantageous for operation at unfavourable environmental conditions for conventional systems, where the turbines require a large hub height.","airborne wind energy; wind farm; life-cycle assessment; renewable energy; sustainability","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:00574d8c-fd0c-4772-b52e-01f7ac6ea90d","http://resolver.tudelft.nl/uuid:00574d8c-fd0c-4772-b52e-01f7ac6ea90d","Mitigation of Quasiparticle Loss in Superconducting Qubits by Phonon Scattering","Bargerbos, A. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft); Splitthoff, L.J. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft); Pita-Vidal, Marta (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft); Wesdorp, J.J. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft); Liu, Yu (University of Copenhagen); Krogstrup, Peter (University of Copenhagen); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; Kavli institute of nanoscience Delft); Andersen, C.K. (TU Delft Andersen Lab; Kavli institute of nanoscience Delft); Grünhaupt, L. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft)","","2023","Quantum error correction will be an essential ingredient in realizing fault-tolerant quantum computing. However, most correction schemes rely on the assumption that errors are sufficiently uncorrelated in space and time. In superconducting qubits, this assumption is drastically violated in the presence of ionizing radiation, which creates bursts of high-energy phonons in the substrate. These phonons can break Cooper pairs in the superconductor and, thus, create quasiparticles over large areas, consequently reducing qubit coherence across the quantum device in a correlated fashion. A potential mitigation technique is to place large volumes of normal or superconducting metal on the device, capable of reducing the phonon energy to below the superconducting gap of the qubits. To investigate the effectiveness of this method, we fabricate a quantum device with four nominally identical nanowire-based transmon qubits. On the device, half of the niobium-titanium-nitride ground plane is replaced with aluminum (Al), which has a significantly lower superconducting gap. We deterministically inject high-energy phonons into the substrate by voltage biasing a galvanically isolated Josephson junction. In the presence of the small-gap material, we find a factor of 2–5 less degradation in the injection-dependent qubit lifetimes and observe that the undesired excited qubit state population is mitigated by a similar factor. We furthermore turn the Al normal with a magnetic field, finding no change in the phonon protection. This suggests that the efficacy of the protection in our device is not limited by the size of the superconducting gap in the Al ground plane. Our results provide a promising foundation for protecting superconducting-qubit processors against correlated errors from ionizing radiation.","","en","journal article","","","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:a0585594-1aa8-4a76-976f-f2d06c8eb5b8","http://resolver.tudelft.nl/uuid:a0585594-1aa8-4a76-976f-f2d06c8eb5b8","Harnessing elastic energy to overcome singularity issues in four-bar mechanisms with a crank link","van den Doel, Gregor J.; Herder, J.L. (TU Delft Precision and Microsystems Engineering); Farhadi Machekposhti, D. (TU Delft Mechatronic Systems Design)","","2023","The ability to convert reciprocating, i.e., alternating, actuation into rotary motion using linkages is hindered fundamentally by their poor torque transmission capability around kinematic singularity configurations. Here, we harness the elastic potential energy of a linear spring attached to the coupler link of four-bar mechanisms to manipulate force transmission around the kinematic singularities. We developed a theoretical model to explore the parameter space for proper force transmission in slider-crank and rocker-crank four-bar kinematics. Finally, we verified the proposed model and methodology by building and testing a macro-scale prototype of a slider-crank mechanism. We expect this approach to enable the development of small-scale rotary engines and robotic devices with closed kinematic chains dealing with serial kinematic singularities, such as linkages and parallel manipulators.","Elastic potential energy; Four-bar linkages; Kinematic singularity; Mechanisms","en","journal article","","","","","","","","","","Precision and Microsystems Engineering","Mechatronic Systems Design","","",""
"uuid:5ed9a8ca-85c2-4d5a-a8cd-1a157da9db4d","http://resolver.tudelft.nl/uuid:5ed9a8ca-85c2-4d5a-a8cd-1a157da9db4d","Liminal design: A conceptual framework and three-step approach for developing technology that delivers transcendence and deeper experiences","Liedgren, Johan (Independent researcher); Desmet, P.M.A. (TU Delft Human-Centered Design); Gaggioli, Andrea (IRCCS Istituto Auxologico Italiano; Universita Cattolica del Sacro Cuore)","","2023","As ubiquitous technology is increasingly mediating our relationships with the world and others, we argue that the sublime is struggling to find room in product design primarily aimed at commercial and transactional goals such as speed and efficiency. We suggest a new category of products to promote deeper and more meaningful experiences, specifically those offering liminality, transcendence, and personal transformation. This paper introduces a conceptual framework and three-step design approach looking at narrative participation in design through abstractions to promote, hold and deepen more complex emotions. We explore implications from a theoretical point of view and suggest product examples for how the model might be applied in practice.","liminality; technology; awe; experience; user; design transcendence; narrative","en","journal article","","","","","","","","","","Human-Centered Design","","","",""
"uuid:3fe02b0e-b40c-4b23-8773-ff67e5a6f65c","http://resolver.tudelft.nl/uuid:3fe02b0e-b40c-4b23-8773-ff67e5a6f65c","Analyzing Emerging Challenges for Data-Driven Predictive Aircraft Maintenance Using Agent-Based Modeling and Hazard Identification","Lee, J. (TU Delft Air Transport & Operations); Mitici, M.A. (Universiteit Utrecht); Blom, H.A.P. (TU Delft Air Transport & Operations); Bieber, Pierre (Office National d'Etudes et de Recherches Aerospatiales); Freeman, Floris (KLM Royal Dutch Airlines)","","2023","The increasing use of on-board sensor monitoring and data-driven algorithms has stimulated the recent shift to data-driven predictive maintenance for aircraft. This paper discusses emerging challenges for data-driven predictive aircraft maintenance. We identify new hazards associated with the introduction of data-driven technologies into aircraft maintenance using a structured brainstorming conducted with a panel of maintenance experts. This brainstorming is facilitated by a prior modeling of the aircraft maintenance process as an agent-based model. As a result, we identify 20 hazards associated with data-driven predictive aircraft maintenance. We validate these hazards in the context of maintenance-related aircraft incidents that occurred between 2008 and 2013. Based on our findings, the main challenges identified for data-driven predictive maintenance are: (i) improving the reliability of the condition monitoring systems and diagnostics/prognostics algorithms, (ii) ensuring timely and accurate communication between the agents, and (iii) building the stakeholders’ trust in the new data-driven technologies.
1 continuity requirements for modeling gradients in flexoelectricity are met by non-uniform rational B-splines (NURBS) basis functions used in XIGA. In this work, XIGA-based computational model is developed and implemented to study the fracture behavior of the piezoelectric-flexoelectric domain. An in-house MATLAB code is developed for the same. Several numerical examples are studied to ensure the efficacy and efficiency of the implemented model, and crack behavior is presented in the form of an electro-mechanical J-integral. The analysis is carried out to investigate how cracks behave for different flexoelectric coefficients under different electrical and mechanical loading combinations. J-integral is also analyzed against crack parameters such as crack orientation and length. It is observed that boundary loads and flexoelectric material constants significantly influence J-integral. Results also show a considerable amount of fracture toughening in the presence of flexoelectricity. The peak value of J-integral is found to be reduced with an increase in the flexoelectric coefficient. A significant reduction in J-integral, as much as 45%, is observed when the flexoelectric constant varied from 0.5 to 2 µCm−1.","flexoelectricity; fracture mechanics; isogeometric analysis; piezoelectricity; XIGA","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-06","","","Transport Engineering and Logistics","","",""
"uuid:db46875d-2b12-42a5-8861-277b46f57f2d","http://resolver.tudelft.nl/uuid:db46875d-2b12-42a5-8861-277b46f57f2d","Very long baseline interferometry observations of the high-redshift blazar candidate J0141–5427","Gabanyi, K.E. (Eötvös Loránd University); Belladitta, S. (INAF Istituto di Radioastronomia); Frey, S. (Eötvös Loránd Research Network); Orosz, G. (Joint Institute for VLBI ERIC); Gurvits, L. (TU Delft Astrodynamics & Space Missions; Joint Institute for VLBI ERIC; CSIRO Astronomy and Space Sciences); Rozgonyi, Krisztina (University of Vienna); An, T. (Shanghai Astronomical Observatory, Shanghai); Cao, H (Huanggang Normal University); Paragi, Z (Joint Institute for VLBI ERIC); Perger, Krisztina (Eötvös University; Konkoly Observatory Hungarian Academy of Sciences)","","2023","Active galactic nuclei (AGN) have been observed as far as redshift. They are crucial in investigating the early Universe as well as the growth of supermassive black holes at their centres. Radio-loud AGN with their jets seen at a small viewing angle are called blazars and show relativistic boosting of their emission. Thus, their apparently brighter jets are easier to detect in the high-redshift Universe. DES J014132.4-542749.9 is a radio-luminous but X-ray weak blazar candidate at. We conducted high-resolution radio interferometric observations of this source with the Australian Long Baseline Array at and GHz. A single, compact radio-emitting feature was detected at both frequencies with a flat radio spectrum. We derived the milliarcsecond-level accurate position of the object. The frequency dependence of its brightness temperature is similar to that of blazar sources observed at lower redshifts. Based on our observations, we can confirm its blazar nature. We compared its radio properties with those of two other similarly X-ray-weak and radio-bright AGN, and found that they show very different relativistic boosting characteristics.","active galactic nuclei; very long baseline interferometry; galaxies: high-redshift","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:9bff63fe-e0b2-4376-9762-c8947edf0ebd","http://resolver.tudelft.nl/uuid:9bff63fe-e0b2-4376-9762-c8947edf0ebd","Identification of internal damages in reinforced concrete slabs using probability density field of acoustic emission events","Zhang, F. (TU Delft Concrete Structures); Yang, Y. (TU Delft Concrete Structures); Hendriks, M.A.N. (TU Delft Concrete Structures; Norwegian University of Science and Technology (NTNU))","","2023","To assess the structural capacity of reinforced concrete structures, identifying the internal cracks is important. Acoustic emission (AE) is promising to estimate the location of internal cracks. However, the localization is influenced by many factors like arrival time picking error, presence of crack, etc., resulting in localization error. The error cannot be entirely removed. Considering the inevitable localization error, a probabilistic method was recently developed by the authors. The method estimates the probability of the location of AE events, creating a probability density field of AE events (pdAE field). This method can possibly improve the identification of internal damages. This paper evaluates the performance the pdAE field in identifying internal damages in a reinforced concrete slab. The slab was loaded to failure by a point load. Compared to the conventional localization results, the pdAE field showed a clearer internal crack pattern. Moreover, calculation of the pdAE field was time efficient, thus was suitable for real-time monitoring. With these benefits, the pdAE field indicated the failure of the slab before it occurred.","acoustic emission source localizaton; probability density field; damage identification; internal damages; reinforced concrete structures; Acoustic Emission; source localization","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:00c05443-126a-4040-a080-81b4e6205780","http://resolver.tudelft.nl/uuid:00c05443-126a-4040-a080-81b4e6205780","Cloud manufacturing for industrialized construction: Opportunities and challenges for a new manufacturing model","Čustović, I. (TU Delft Design & Construction Management); Cao, Jianpeng (ETH Zürich); Hall, Daniel M. (TU Delft Design & Construction Management; ETH Zürich)","","2023","More widespread use of industrialized construction (IC) is hampered by the high capital cost of advanced production facilities paired with low profit margins. A novel service-oriented cloud manufacturing (CMfg) model could in theory increase utilization and profitability of distributed production facilities. However, little research has investigated how IC can benefit from the CMfg model. This paper examines opportunities and challenges of applying CMfg for IC. First, an adapted model of CMfg for construction is developed based on a literature review. Second, four possible scenarios for applying this adapted CMfg model are designed. Finally, an evaluation is performed through a survey among 25 practitioners and 12 in-depth interviews with industry experts. The paper assesses the desirability and categorizes the benefits and barriers of such a CMfg platform for IC. The results suggest that CMfg could enhance the design quality, support IC suitability assessment for project developers and lower financial risks for off-site manufacturers.","Cloud manufacturing; Industrialized construction; Off-site construction; AEC; Industry 4.0","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:f673c794-ad32-410d-9f14-ed680b93b4fd","http://resolver.tudelft.nl/uuid:f673c794-ad32-410d-9f14-ed680b93b4fd","Influence of neighbouring damage on delamination growth in multiple indented composites","Huo, L. (TU Delft Structural Integrity & Composites); Kassapoglou, C. (TU Delft Aerospace Structures & Computational Mechanics); Alderliesten, R.C. (TU Delft Structural Integrity & Composites)","","2023","To improve current design approaches for composite structures, it is required to further investigate the damage interaction effects of composite materials under multiple out-of-plane concentrated loads. It is first necessary to comprehend the dependence of the effective delamination threshold, which describes whether a delamination grows on pre-existing delamination damage in composite laminates. A combined experimental and numerical study is presented, in which two sequential out-of-plane quasi-static indentations are applied to fully clamped composite laminated panels, with changing distances between the two indentation locations changing. The results show that the second indentation delamination is more likely to propagate, particularly in the straight-line direction from the second indentation site to the first one, which can be interpreted as a decrease in the effective delamination threshold associated with microcracks ahead of the delamination front. The relevant percentage reduction is 37% and is independent of the imposed indentation load. As a crucial take-away, designers should be mindful that the damage interaction effects could result in greater damage than the sum of the individual cases.","Polymer-matrixcomposites; Quasi-staticindentation; Delaminationgrowth; Delaminationlink-up","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:36d62513-a2c9-47c5-8c4e-2e166391971d","http://resolver.tudelft.nl/uuid:36d62513-a2c9-47c5-8c4e-2e166391971d","Hydrogen Embrittlement of Inconel 718 Manufactured by Laser Powder Bed Fusion Using Sustainable Feedstock: Effect of Heat Treatment and Microstructural Anisotropy","Mohandas, N.K. (TU Delft Team Vera Popovich); Giorgini, Alex (F3nice); Vanazzi, Matteo (F3nice); Riemslag, A.C. (TU Delft Team Vera Popovich); Scott, S.P. (TU Delft Team Vera Popovich); Popovich, V. (TU Delft Team Vera Popovich)","","2023","This study investigated the in-situ gaseous (under 150 bar) hydrogen embrittlement behaviour of additively manufactured (AM) Inconel 718 produced from sustainable feedstock. Here, sustainable feedstock refers to the Inconel 718 powder produced by vacuum induction melting inert gas atomisation of failed printed parts or waste from CNC machining. All Inconel 718 samples, namely AM-as-processed, AM-heat-treated and conventional samples showed severe hydrogen embrittlement. Additionally, it was found that despite its higher yield strength (1462 ± 8 MPa) and the presence of δ phase, heat-treated AM Inconel 718 demonstrates 64% lower degree of hydrogen embrittlement compared to the wrought counterpart (Y.S. 1069 ± 4 MPa). This was linked to the anisotropic microstructure induced by the AM process, which was found to cause directional embrittlement unlike the wrought samples showing isotropic embrittlement. In conclusion, this study shows that AM Inconel 718 produced from recycled feedstock shows better hydrogen embrittlement resistance compared to the wrought sample. Furthermore, the unique anisotropic properties, seen in this study for Inconel 718 manufactured by laser powder bed fusion, could be considered further in component design to help minimise the degree of hydrogen embrittlement.","Inconel 718; hydrogen embrittlement; additive manufacturing; recycled powder; laser powder bed fusion; anisotropy","en","journal article","","","","","","","","","","","Team Vera Popovich","","",""
"uuid:188ee1cc-65bd-4eee-aebc-da4cdd25bf80","http://resolver.tudelft.nl/uuid:188ee1cc-65bd-4eee-aebc-da4cdd25bf80","Rheology control of limestone calcined clay cement pastes by modifying the content of fine-grained metakaolin","Chen, Y. (TU Delft Materials and Environment); Zhang, Y. (TU Delft Materials and Environment); He, S. (TU Delft Materials and Environment); Liang, M. (TU Delft Materials and Environment); Zhang, Yamei (Southeast University); Schlangen, E. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2023","Limestone-calcined clay-cement (LC3), as one of the most promising sustainable cements, has been under development over the past decade. However, many uncertainties remain regarding its rheological behaviors, such as the metakaolin content of calcined clay. This study aims to investigate the effect of increasing the content of fine-grained metakaolin in calcined clay on the rheology of LC3 pastes. Rheological behaviors and early-age hydration of studied mixtures were characterized using flow curve, constant shear rate, small amplitude oscillatory shear and isothermal calorimetry tests. Results show that increasing the content of fine-grained metakaolin decreased flowability but promoted structural build-up and early-age hydration. These phenomena can be attributed to the decrease of mean interparticle distance caused by the increased amount of fine-grained metakaolin, which may enhance colloidal interactions, C-S-H nucleation and direct contact between particles. Overall, modifying the fine-grained metakaolin content is a feasible approach to control the rheology of LC3 pastes.","limestone-calcined clay-cement; metakaolin; rheology; storage modulus; structural build-up; yield stress","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:a34236b8-ab61-475b-839a-9bd75816e4cf","http://resolver.tudelft.nl/uuid:a34236b8-ab61-475b-839a-9bd75816e4cf","Force-based assessment of tissue handling skills in simulation training for robot-assisted surgery","Rahimi, A. Masie (Amsterdam UMC; Amsterdam Skills Centre for Health Sciences; Cancer Center Amsterdam); Hardon, S.F. (TU Delft Medical Instruments & Bio-Inspired Technology; Amsterdam UMC); Willuth, E. (University of Heidelberg); Lang, F. (University of Heidelberg); Haney, Caelan M. (University of Heidelberg); Felinska, Eleni A. (University of Heidelberg); Kowalewski, Karl Friedrich (University of Heidelberg); Müller-Stich, Beat P. (University of Heidelberg); Horeman, T. (TU Delft Medical Instruments & Bio-Inspired Technology); Nickel, F. (University of Heidelberg); Daams, Freek (Amsterdam UMC)","","2023","Introduction: Although robotic-assisted surgery is increasingly performed, objective assessment of technical skills is lacking. The aim of this study is to provide validity evidence for objective assessment of technical skills for robotic-assisted surgery. Methods: An international multicenter study was conducted with participants from the academic hospitals Heidelberg University Hospital (Germany, Heidelberg) and the Amsterdam University Medical Centers (The Netherlands, Amsterdam). Trainees with distinctly different levels of robotic surgery experience were divided into three groups (novice, intermediate, expert) and enrolled in a training curriculum. Each trainee performed six trials of a standardized suturing task using the da Vinci Surgical System. Using the ForceSense system, five force-based parameters were analyzed, for objective assessment of tissue handling skills. Mann–Whitney U test and linear regression were used to analyze performance differences and the Wilcoxon signed-rank test to analyze skills progression. Results: A total of 360 trials, performed by 60 participants, were analyzed. Significant differences between the novices, intermediates and experts were observed regarding the total completion time (41 s vs 29 s vs 22 s p = 0.003), mean non zero force (29 N vs 33 N vs 19 N p = 0.032), maximum impulse (40 Ns vs 31 Ns vs 20 Ns p = 0.001) and force volume (38 N3 vs 32 N3 vs 22 N3p = 0.018). Furthermore, the experts showed better results in mean non-zero force (22 N vs 13 N p = 0.015), maximum impulse (24 Ns vs 17 Ns p = 0.043) and force volume (25 N3 vs 16 N3p = 0.025) compared to the intermediates (p ≤ 0.05). Lastly, learning curve improvement was observed for the total task completion time, mean non-zero force, maximum impulse and force volume (p ≤ 0.05). Conclusion: Construct validity for force-based assessment of tissue handling skills in robot-assisted surgery is established. It is advised to incorporate objective assessment and feedback in robot-assisted surgery training programs to determine technical proficiency and, potentially, to prevent tissue trauma.","Force measurements; Objective assessment; Robot tissue manipulation; Robotic surgery training; Robotic-assisted surgery; Simulation training","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:152c8398-d6f9-478f-8cc9-bd2d2aa2819c","http://resolver.tudelft.nl/uuid:152c8398-d6f9-478f-8cc9-bd2d2aa2819c","Dynamic characteristics of skin reaction force in different body postures","Marsidi, Nick (Leiden University Medical Center; Ziekenhuisgroep Twente); Scheepens, Karlijn M.J. (Leiden University Medical Center; Student TU Delft); Goeman, Jelle J. (Leiden University Medical Center); Horeman, T. (TU Delft Medical Instruments & Bio-Inspired Technology); Genders, Roel E. (Leiden University Medical Center; Roosevelt kliniek)","","2023","Mechanical stress influences scarring of a surgical wound. Several lines have been proposed for the best excision direction. It is unknown if these lines still apply when the body posture changes. The objective is to measure the skin reaction force in four directions and determine the direction of least force. Secondary objective is to determine if the reaction force varies in a different body posture. Skin reaction force was measured with the compressiometer in 30 participants on four different locations (forearm/upper arm/shoulder blade/lower back) in four directions (0°–45°–90°–135°) and two body postures. The direction of least skin reaction force changed with a different body posture and was significant for the forearm (p < 0.01) and shoulder blade (p = 0.05) The skin reaction force in all four direction changed significantly in a different body posture, except the 45° line in the upper arm and shoulder blade. Our results demonstrate that the skin reaction force in four directions in four locations varies with change in body posture. Focus should therefore not only lay on choosing the right direction, but also on managing skin tension postoperatively.","","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:5acda8cb-62da-49c1-807a-02cc3b78224c","http://resolver.tudelft.nl/uuid:5acda8cb-62da-49c1-807a-02cc3b78224c","Correction to Mapping the flat glass value-chain: a material flow analysis and energy balance of UK production (Glass Structures & Engineering, (2022), 10.1007/s40940-022-00195-9)","Hartwell, R.C. (University of Cambridge); Coult, Graham (Eckersley O'Callaghan); Overend, M. (TU Delft Architectural Technology)","","2023","This article has been amended to correct the in-text figure and table referencing and to provide clarification in Table 1 that automotive flat glass production does not take place in the UK. The Supplementary Information has been updated to correct reported units and clarify production processes. The original article has been corrected.","","en","journal article","","","","","","","","","","","Architectural Technology","","",""
"uuid:095a0808-c5b3-4517-8a7b-73036455ecad","http://resolver.tudelft.nl/uuid:095a0808-c5b3-4517-8a7b-73036455ecad","Archaeological Podocarpus tar supports the cognitive complexity of Neanderthals","Kozowyk, P.R.B. (TU Delft Team Joris Dik)","","2023","","","en","journal article","","","","","","","","","","","Team Joris Dik","","",""
"uuid:b5c214a5-112c-4003-921a-e6d0693dd74c","http://resolver.tudelft.nl/uuid:b5c214a5-112c-4003-921a-e6d0693dd74c","Trade for catch-up: examining how global value chains participation affects productive efficiency","Ndubuisi, G.O. (TU Delft Economics of Technology and Innovation; Universiteit Maastricht; German Institute of Development and Sustainability); Owusu, Solomon (Universiteit Maastricht; German Institute of Development and Sustainability; University of Oxford)","","2023","A substantial part of production and trade now takes place through global value chains (GVC), making it an essential conduit of knowledge spillover and technology transfer. Yet, extant studies examining how countries become productively efficient and catch-up to the global efficiency frontier through international trade have ignored the possible role of GVC in fast-tracking this process. This paper provides the first empirical evidence that fills this knowledge gap. We propose a two-stage empirical strategy to this end. First, we use a data envelopment analysis (DEA) approach to compute a measure of productive efficiency—defined herewith as a country’s relative productive efficiency to the global productive efficiency frontier. Second, we use the productive efficiency index as an outcome variable in a reduced-form equation that controls for GVC participation and its interaction with country characteristics that influence the gains from GVC participation. In addition to using the panel fixed effect method, we estimate the reduced-form equation with the difference-GMM to address endogeneity issues, and the Tobit and Fractional Response models to address the bounded nature of the productive efficiency index. We find strong evidence suggesting that GVC participation enables technology-lagging countries to become more productively efficient as well as catch-up to the global efficiency frontier. We also find that the productive efficiency and catch-up gains from GVC participation accrue more strongly to countries that have a high human capital stock, a well-functioning financial market, maintain stable macroeconomic conditions, and specialize in downstream activities in the value chain.","Catch-up; F00; F01; F14; Global value chains; Production networks; Productive efficiency; Upstream specialization","en","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:84bd18e2-e9c1-4d83-8ba8-dfdf92ca6f3a","http://resolver.tudelft.nl/uuid:84bd18e2-e9c1-4d83-8ba8-dfdf92ca6f3a","Severity-based Hierarchical ECG Classification using Neural Networks","Diware, S.S. (TU Delft Computer Engineering); Dash, Sudeshna (ASML); Gebregiorgis, A.B. (TU Delft Computer Engineering); Joshi, Rajiv V. (IBM Thomas J. Watson Research Centre); Strydis, C. (TU Delft Computer Engineering; Erasmus MC); Hamdioui, S. (TU Delft Quantum & Computer Engineering); Bishnoi, R.K. (TU Delft Computer Engineering)","","2023","Timely detection of cardiac arrhythmia characterized by abnormal heartbeats can help in the early diagnosis and treatment of cardiovascular diseases. Wearable healthcare devices typically use neural networks to provide the most convenient way of continuously monitoring heart activity for arrhythmia detection. However, it is challenging to achieve high accuracy and energy efficiency in these smart wearable healthcare devices. In this work, we provide architecture-level solutions to deploy neural networks for cardiac arrhythmia classification. We have created a hierarchical structure after analyzing various neural network topologies where only required network components are activated to improve energy efficiency while maintaining high accuracy. In our proposed architecture, we introduce a severity-based classification approach to directly help the users of the wearable healthcare device as well as the medical professionals. Additionally, we have employed computation-in-memory based hardware to improve energy efficiency and area consumption by leveraging in-situ data processing and scalability of emerging memory technologies such as resistive random access memory (RRAM). Simulation experiments conducted using the MIT-BIH arrhythmia dataset show that the proposed architecture provides high accuracy while consuming average energy of 0.11 $\mu$J per heartbeat classification and 0.11 mm2 area, thereby achieving 25× improvement in average energy consumption and 12× improvement in area compared to the state-of-the-art.","ECG; arrhythmia; severity-based classification; neural networks; computation-in-memory; resistive random access memory (RRAM)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-07","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:850096e5-bdf2-4215-8969-9f1c53928b50","http://resolver.tudelft.nl/uuid:850096e5-bdf2-4215-8969-9f1c53928b50","Approximating piecewise nonlinearities in dynamic systems with sigmoid functions: advantages and limitations","Martinelli, Cristiano (University of Strathclyde); Coraddu, A. (TU Delft Ship Design, Production and Operations); Cammarano, Andrea (University of Glasgow)","","2023","In the industry field, the increasingly stringent requirements of lightweight structures are exposing the ultimately nonlinear nature of mechanical systems. This is extremely true for systems with moving parts and loose fixtures which show piecewise stiffness behaviours. Nevertheless, the numerical solution of systems with ideal piecewise mathematical characteristics is associated with time-consuming procedures and a high computational burden. Smoothing functions can conveniently simplify the mathematical form of such systems, but little research has been carried out to evaluate their effect on the mechanical response of multi-degree-of-freedom systems. To investigate this problem, a slightly damped mechanical two-degree-of-freedom system with soft piecewise constraints is studied via numerical continuation and numerical integration procedures. Sigmoid functions are adopted to approximate the constraints, and the effect of such approximation is explored by comparing the results of the approximate system with the ones of the ideal piecewise counter-part. The numerical results show that the sigmoid functions can correctly catch the very complex dynamics of the proposed system when both the above-mentioned techniques are adopted. Moreover, a reduction in the computational burden, as well as an increase in numerical robustness, is observed in the approximate case.","Basins of attraction; Non-smooth systems; Nonlinear dynamics; Numerical continuation; Period doubling isolated solutions; Piecewise stiffness; Sigmoid functions","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:7a88d8da-6d4a-4798-a6ec-fc147519a30d","http://resolver.tudelft.nl/uuid:7a88d8da-6d4a-4798-a6ec-fc147519a30d","Optimizing single-molecule experimental approaches for the study of complex protein assemblies on DNA","Dekker, N.H. (TU Delft BN/Nynke Dekker Lab; Kavli institute of nanoscience Delft)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-10","","","BN/Nynke Dekker Lab","","",""
"uuid:666992b1-6316-4437-8d9a-a1d928b0ac2b","http://resolver.tudelft.nl/uuid:666992b1-6316-4437-8d9a-a1d928b0ac2b","The effect of trust on the choice for crowdshipping services","Cebeci, M.S. (TU Delft Transport and Planning); Tapia, Rodrigo Javier (TU Delft Transport and Planning; Significance); Kroesen, M. (TU Delft Transport and Logistics); de Bok, M.A. (TU Delft Transport and Planning; Significance); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics)","","2023","The fast growth of e-commerce in urban areas has led to a surge in last-mile transportation demand and an associated increase of external effects: congestion, noise and visual pollution. This paper analyses a new urban freight transport service that has a potential to reduce this footprint: crowdshipping. Crowdshipping is a service where a package is delivered via a traveller who is already making a personal trip for other purposes. The decision of whether or not to use crowdshipping is known to be subject to various service, time and price conditions, including trust in a correct delivery. The effect of trust has not been investigated explicitly, however. We conduct a stated choice experiment and estimate a hybrid choice model with trust as a situation-specific latent variable. The research design allows us to explore how the relevant attributes influence service adoption via trust. We find a significant influence of established choice attributes on service adoption, except for the delivery company’s reputation and the possibility of damage. In addition, all attributes except delivery time have a significant influence on trust. We conclude that trust has a partially mediating effect on the adoption of the service except delivery time, and a fully mediating effect on adoption via reputation and damage.","Crowdshipping; Trust; Stated Preference; Hybrid Choice Model","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:6f2803be-9fa4-4e09-9ce0-77896cdb2ffe","http://resolver.tudelft.nl/uuid:6f2803be-9fa4-4e09-9ce0-77896cdb2ffe","Design and Implementation of a Low-Cost Air Quality Network for the Aburra Valley Surrounding Mountains: Pollutants","Yarce Botero, A. (TU Delft Mathematical Physics; Universidad EAFIT); Lopez Restrepo, S. (TU Delft Mathematical Physics; Universidad EAFIT); Sebastian Rodriguez, Juan (Universidad EAFIT; Simple Space); Valle, Diego (Simple Space); Galvez-Serna, Julian (Simple Space; Queensland University of Technology); Montilla, Elena (Universidad EAFIT); Botero, Francisco (Universidad EAFIT); Henzing, Bas (TNO); Heemink, A.W. (TU Delft Mathematical Physics)","","2023","The densest network for measuring air pollutant concentrations in Colombia is in Medellin, where most sensors are located in the heavily polluted lower parts of the valley. Measuring stations in the higher elevations on the mountains surrounding the valley are not available, which limits our understanding of the valley’s pollutant dynamics and hinders the effectiveness of data assimilation studies using chemical transport models such as LOTOS-EUROS. To address this gap in measurements, we have designed a new network of low-cost sensors to be installed at altitudes above 2000 m.a.s.l. The network consists of custom-built, solar-powered, and remotely connected sensors. Locations were strategically selected using the LOTOS-EUROS model driven by diverse meteorology-simulated fields to explore the effects of the valley wind representation on the transport of pollutants. The sensors transmit collected data to internet gateways for posterior analysis. Various tests to verify the critical characteristics of the equipment, such as long-range transmission modeling and experiments with an R score of 0.96 for the best propagation model, energy power system autonomy, and sensor calibration procedures, besides case exposure to dust and water experiments, to ensure IP certifications. An inter-calibration procedure was performed to characterize the sensors against reference sensors and describe the observation error to provide acceptable ranges for the data assimilation algorithm (<10% nominal). The design, installation, testing, and implementation of this air quality network, oriented towards data assimilation over the Aburrá Valley, constitute an initial experience for the simulation capabilities toward the system’s operative capabilities. Our solution approach adds value by removing the disadvantages of low-cost devices and offers a viable solution from a developing country’s perspective, employing hardware explicitly designed for the situation.","low-cost sensors; data assimilation; air quality; In-situ sensing; LOTOS-EUROS chemical transport model; low-cost air quality monitoring Systems (LCAQSs); SimpleSpace","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:da5f35cc-aea9-470a-b026-b1f6c91a2583","http://resolver.tudelft.nl/uuid:da5f35cc-aea9-470a-b026-b1f6c91a2583","Seismic acquisition design based on full-wavefield migration","Revelo Obando, B.A. (TU Delft Applied Geophysics and Petrophysics); Blacquière, G. (TU Delft Applied Geophysics and Petrophysics)","","2023","The ultimate goal in survey design is to obtain the acquisition parameters that enable acquiring the most affordable data that fulfills certain image quality requirements. We propose a method that allows optimization of the receiver geometry for a fixed source distribution. The former is parameterized with a receiver density function that determines the number of receivers per unit area. We optimize this receiverdensity function through an iterative gradient descent scheme that minimizes the difference between the image obtained with the current acquisition geometry and a reference image. The reference image is obtained from prior subsurface information that is assumed to be available. We tested the method with different subsurface models. The results show that the acquisition geometry is optimized according to the complexity of each subsurface model. The receivers are moved towards the areas where more data is needed for obtaining better imaging.","acquisition; imaging; optimization; survey design","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-08","","","Applied Geophysics and Petrophysics","","",""
"uuid:b36b8866-7c36-495f-ae2c-c4a0068e03d9","http://resolver.tudelft.nl/uuid:b36b8866-7c36-495f-ae2c-c4a0068e03d9","Representer Theorem for Learning Koopman Operators","Khosravi, M. (TU Delft Team Khosravi)","","2023","In this work, we consider the problem of learning the Koopman operator for discrete-time autonomous systems. The learning problem is formulated as a generic constrained regularized empirical loss minimization in the infinite-dimensional space of linear operators. We show that a representer theorem holds for the introduced learning problem under certain but general conditions, which allows convex reformulation of the problem in a specific finite-dimensional space without any approximation and loss of precision. We discuss the inclusion of various forms of regularization and constraints in the learning problem, such as the operator norm, the Frobenius norm, the operator rank, the nuclear norm, and the stability. Subsequently, we derive the corresponding equivalent finite-dimensional problem. Furthermore, we demonstrate the connection between the proposed formulation and the extended dynamic mode decomposition. We present several numerical examples to illustrate the theoretical results and verify the performance of regularized learning of the Koopman operators.","Hilbert space; Kernel; Koopman operators; Learning; Minimization; Nonlinear dynamical systems; Numerical stability; Representer Theorem; Stability criteria; Trajectory","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-06","","","Team Khosravi","","",""
"uuid:b9ec826f-4914-4a2f-bcc4-be69a5967b1a","http://resolver.tudelft.nl/uuid:b9ec826f-4914-4a2f-bcc4-be69a5967b1a","Kernel-based Impulse Response Identification with Side-Information on Steady-State Gain","Khosravi, M. (TU Delft Team Khosravi); Smith, R. S. (ETH Zürich)","","2023","In this article, we consider the problem of system identification when side-information is available on the steady-state gain (SSG) of the system. We formulate a general nonparametric identification method as an infinite-dimensional constrained convex program over the reproducing kernel Hilbert space (RKHS) of stable impulse responses. The objective function of this optimization problem is the empirical loss regularized with the norm of RKHS, and the constraint is considered for enforcing the integration of the SSG side-information. The proposed formulation addresses both the discrete-time and continuous-time cases. We show that this program has a unique solution obtained by solving an equivalent finite-dimensional convex optimization. This solution has a closed-form when the empirical loss and regularization functions are quadratic and exact side-information is considered. We perform extensive numerical comparisons to verify the efficiency of the proposed identification methodology.","Estimation; Finite impulse response filters; Kernel; Kernel-based identification method; Mathematical models; Numerical stability; Optimization; Side-information; Steady-state; Steady-state gain","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-07","","","Team Khosravi","","",""
"uuid:1a59b4a5-4ace-4843-983d-695eb7fb1d73","http://resolver.tudelft.nl/uuid:1a59b4a5-4ace-4843-983d-695eb7fb1d73","Discussion: Effect of soil models on the prediction of tunnelling-induced deformations of structures","Giardina, Giorgia (TU Delft Geo-engineering); Losacco, Nunzio (University of Bari); Dejong, Matthew J. (University of California); Viggiani, Giulia M.B. (University of Cambridge); Mair, Robert J. (University of Cambridge); Shirlaw, J. Nick (Golder Associates (HK) Ltd WSP-Golder, Honk Kong); Boone, Storer J. (Ground Rules Engineering Inc., London)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-02","","","Geo-engineering","","",""
"uuid:2f9bb960-a56c-41a2-9b5a-50bb45681dc4","http://resolver.tudelft.nl/uuid:2f9bb960-a56c-41a2-9b5a-50bb45681dc4","Formation and evolution of carbonaceous asteroid Ryugu: Direct evidence from returned samples","Nakamura, T. (Tohoku University); Matsumoto, M. (Tohoku University); Amano, K. (Tohoku University); Enokido, Y. (Tohoku University); Zolensky, M. E. (NASA Johnson Space Center); Mikouchi, T. (University of Tokyo); Genda, H. (Tokyo Institute of Technology); Zolotov, M. Y. (School of Earth and Space Exploration); Dr Potin, S.J.M. (TU Delft Spaceborne Instrumentation; Observatoire de Paris)","","2023","Samples of the carbonaceous asteroid Ryugu were brought to Earth by the Hayabusa2 spacecraft. We analyzed 17 Ryugu samples measuring 1 to 8 millimeters. Carbon dioxide-bearing water inclusions are present within a pyrrhotite crystal, indicating that Ryugu's parent asteroid formed in the outer Solar System. The samples contain low abundances of materials that formed at high temperatures, such as chondrules and calcium- and aluminum-rich inclusions. The samples are rich in phyllosilicates and carbonates, which formed through aqueous alteration reactions at low temperature, high pH, and water/rock ratios of <1 (by mass). Less altered fragments contain olivine, pyroxene, amorphous silicates, calcite, and phosphide. Numerical simulations, based on the mineralogical and physical properties of the samples, indicate that Ryugu's parent body formed ~2 million years after the beginning of Solar System formation.","","en","journal article","","","","","","","","","","","Spaceborne Instrumentation","","",""
"uuid:e5273899-a91e-49e8-a9d6-acd6ae561005","http://resolver.tudelft.nl/uuid:e5273899-a91e-49e8-a9d6-acd6ae561005","The C1 wedge connection in towers for wind turbine structures, tensile behaviour of a segment test","Cheng, L. (TU Delft Steel & Composite Structures); Yang, Fei (Chang'an University); Winkes, Jasper Stefan (C1 Connections BV); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2023","This paper shows a part of the analysis of the development of the second generation of the C1 wedge connections for use in offshore wind turbine supporting towers. The novelty of this connection is that bolt failure is avoided under static and fatigue loads. This study aims to investigate the tensile behaviour of the connection by combining the findings of experiments and finite element (FE) analysis. Two specimens subjected to uniaxial and cyclic tensile loading tested until failure are used for illustration. Advanced quasi-static FE analysis results, considering the most detailed geometry and using an explicit dynamic solver, are compared to the experimental results. The FE analysis results agree well with the experimental results. Based on the FE model, a parametric study is carried out to analyse the influence of the bolt grade, friction coefficient between contact surfaces, and preloading force level on mechanical behaviour. Failure modes, bolt force development, and the evolution of gap opening between contacted segments are analysed. Results demonstrate that the tensile fracture of the C1 wedge connection mainly appears in the lower segment. All the investigated parameters have a negligible effect on the connection's ultimate resistance and failure mode. However, the friction coefficient between contact surfaces and bolt preload level significantly affects the connection's local deformation capacity and the response of the bolt stress range. The FE simulation provides practical guidance for designing this connection without bolt failure.","Bolted connection; C1 wedge connection; Experimental study; FE modelling; Tensile behaviour","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:38b5034a-7016-45d4-ab9a-0d53fd04cd03","http://resolver.tudelft.nl/uuid:38b5034a-7016-45d4-ab9a-0d53fd04cd03","Reaction moments matter when designing lower-extremity robots for tripping recovery","Jabeen, S. (TU Delft Biomechatronics & Human-Machine Control); Baines, P.M. (TU Delft Biomechatronics & Human-Machine Control); Harlaar, J. (TU Delft Biomechatronics & Human-Machine Control; Erasmus MC); Vallery, H. (TU Delft Biomechatronics & Human-Machine Control; Erasmus MC); Berry, Andrew (TU Delft Biomechatronics & Human-Machine Control; Erasmus MC)","","2023","Balance recovery after tripping often requires an active adaptation of foot placement. Thus far, few attempts have been made to actively assist forward foot placement for balance recovery employing wearable devices. This study aims to explore the possibilities of active forward foot placement through two paradigms of actuation: assistive moments exerted with the reaction moments either internal or external to the human body, namely 'joint' moments and 'free' moments, respectively. Both paradigms can be applied to manipulate the motion of segments of the body (e.g., the shank or thigh), but joint actuators also exert opposing reaction moments on neighbouring body segments, altering posture and potentially inhibiting tripping recovery. We therefore hypothesised that a free moment paradigm is more effective in assisting balance recovery following tripping. The simulation software SCONE was used to simulate gait and tripping over various ground-fixed obstacles during the early swing phase. To aid forward foot placement, joint moments and free moments were applied either on the thigh to augment hip flexion or on the shank to augment knee extension. Two realizations of joint moments on the hip were simulated, with the reaction moment applied to either the pelvis or the contralateral thigh. The simulation results show that assisting hip flexion with either actuation paradigm on the thigh can result in full recovery of gait with a margin of stability and leg kinematics closely matching the unperturbed case. However, when assisting knee extension with moments on the shank, free moment effectively assist balance but joint moments with the reaction moment on the thigh do not. For joint moments assisting hip flexion, placement of the reaction moment on the contralateral thigh was more effective in achieving the desired limb dynamics than placing the reaction on the pelvis. Poor choice of placement of reaction moments may therefore have detrimental consequences for balance recovery, and removing them entirely (i.e., free moment) could be a more effective and reliable alternative. These results challenge conventional assumptions and may inform the design and development of a new generation of minimalistic wearable devices to promote balance during gait.","","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:2c301c79-3b16-448e-991b-97ffec7546dd","http://resolver.tudelft.nl/uuid:2c301c79-3b16-448e-991b-97ffec7546dd","A new setup to study the influence of plant growth on the consolidation of dredged cohesive sediment","Barciela Rial, M. (TU Delft Environmental Fluid Mechanics; HAN University of Applied Sciences); Saaltink, Remon M. (Universiteit Utrecht; ARCADIS Nederland); van Kessel, Thijs (Deltares); Chassagne, C. (TU Delft Environmental Fluid Mechanics); Dekker, Stefan C. (Universiteit Utrecht; Open Universiteit, Heerlen); de Boer, Hugo J. (Universiteit Utrecht); Griffioen, Jasper (Universiteit Utrecht; TNO); Wassen, Martin J. (HAN University of Applied Sciences); Winterwerp, J.C. (TU Delft Environmental Fluid Mechanics)","","2023","Dredged cohesive sediment is progressively being used for wetland construction. However, little is known about the effect of plant growth during the self-weight consolidation of this sediment. In order to check the feasibility of such a study, a new experimental setup has been constructed. As an example, the effect of Phragmites australis on the consolidation and drainage of dredged sediment from Lake Markermeer, the Netherlands was investigated. The changes in pore water pressures at 10 cm depth intervals during a 129-day period in a column with and without plants were measured, while the water level was fixed at a constant level. Water loss via evaporation and plant transpiration was measured using Mariotte bottles and the photosynthetic processes — including plant transpiration — were measured with a LI-COR photosynthesis system. The results show that several processes initiated by Phragmites australis interfere with the physical processes involved in sediment drainage and consolidation. Phragmites australis effectively altered the pore pressure gradient via water extraction, especially between 40 and 60 cm from the bottom of the column. In this zone, daily cycles in pore pressures were observed which could directly be linked to the diurnal cycle of stomatal gas exchange. On average, water loss via evaporation and transpiration of leaves of Phragmites australis amounted to 3.9 mm day−1, whereas evaporation of bare soil amounted on average to 0.6 mm day−1. The depth-averaged hydraulic conductivity increased on average by 40% in presence of Phragmites australis. This pilot experiment confirms that the pressures sensors coupled with the new set-up enable to study pore pressure development over time and to link the effect of plant growth with alterations in water pressures profiles. A more systematic study with this set-up will in the future enable to quantify the effects of plant growth on consolidation.","consolidation; wetland; dredged sediment; plant growth; pore pressure; cohesive; drainage","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:f02746b8-10a8-45bc-b8fd-3a6efae89e4c","http://resolver.tudelft.nl/uuid:f02746b8-10a8-45bc-b8fd-3a6efae89e4c","Microstructure estimation and validation of ER110S-G steel structures produced by wire and arc additive manufacturing","Mishra, V. (TU Delft Computational Design and Mechanics); Babu, A. (TU Delft Team Marcel Hermans); Schreurs, R. (Student TU Delft); Wu, K. (Student TU Delft); Hermans, M.J.M. (TU Delft Team Marcel Hermans); Ayas, C. (TU Delft Computational Design and Mechanics)","","2023","Wire and Arc Additive Manufacturing (WAAM) emerged as a manufacturing process for large scale structures with extensive form and design freedom. WAAM can be fully exploited once the relation between the transient thermal history and its relation to microstructure development and resultant mechanical properties is established. This relation can be further used for computational design tools such as Topology Optimization. This paper presents a model to predict the relation between the thermal history and solid-state phase transformations in a widely applicable High Strength Low Alloy steel ER110S-G. The transient thermal history of parts manufactured by WAAM is modelled using finite element analysis. The modelled thermal history is validated with thermocouple measurements. Our results show that a critical cooling cycle is responsible for the solid-state phase transformation in an AM part. The cooling rate of this particular cooling cycle is superimposed onto an experimentally constructed Continuous Cooling Transformation (CCT) diagram to determine the local solid-state phase fractions. The predicted phase fractions in three wall samples with different design and processing conditions of AM parts are used to predict the hardness. The predicted hardness is 10% higher than the measured hardness of AM samples. The effect of tempering is also considered in the model through JMAK equation. The results show that the tempering is caused in regions with high martensite content and it lowers the hardness by 8 − 10%. The micrographs of the AM parts show that the microstructural features are same for the AM parts with similar critical cooling rates.","High Strength Low Alloy (HSLA) steel; Solid state phase transformation; Thermal validation; Wire and Arc Additive Manufacturing (WAAM)","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:a39c46af-5c30-4c39-a171-063f1ba31964","http://resolver.tudelft.nl/uuid:a39c46af-5c30-4c39-a171-063f1ba31964","Analogical Assessment of Train-Induced Vibration and Radiated Noise in a Proposed Theater","Liu, X. (China Academy of Railway Sciences; Shenzhen Vibration and Noise Control Engineering Laboratory for Urban Rail Transit); Xiao, Yuchun (Bureau of Public Works of Shenzhen Municipality); Jiang, Huihuang (China Academy of Railway Sciences); Guo, Y. (TU Delft Railway Engineering); Yu, Mengwen (China Academy of Railway Sciences; Shenzhen Vibration and Noise Control Engineering Laboratory for Urban Rail Transit); Tan, Wanzhong (China Academy of Railway Sciences; Shenzhen Vibration and Noise Control Engineering Laboratory for Urban Rail Transit)","","2023","This study presents the analogical assessment of the train-induced vibration and radiated noise in a proposed theater. The theater is to be constructed in a region with crowded metro lines, and the assessment is implemented in an analogical building with comparable structural type and metro condition. Prior to the assessment, the comparability of the analogical building with the theater is validated using the train-induced ground vibration. With the same horizontal distance from the metro line, the train-induced vibration level in the analogical building is 9 dB higher than that in the construction site of the theater. Such results indicate that the lack of soil layers may lead to a dramatic increase in train-induced vibration in the building. In the staircase of the analogical building, the train-induced radiated noise reached 55 dB (A), which is 10 dB (A) higher than the daytime allowable level. As the most important indicator, the noise rating number in the cinema of the analogical building is NR-43, which put forward an enormous challenge on the construction of the theater with a denoise demand of 23 dB. The analogical method applied in this study provides an effective and practical way for the assessment of train-induced vibration and radiated noise in proposed vibration-sensitive buildings. The assessment results that provide necessary reference and support for the anti-vibration design will help guarantee the stage effect of the theater.","train-induced vibration; radiated noise; analogical assessment; vibration acceleration level; A-weighted sound pressure; noise rating number; condition assessment","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:89f83324-0a56-4598-9de3-862105b5c272","http://resolver.tudelft.nl/uuid:89f83324-0a56-4598-9de3-862105b5c272","What factors contribute to the acceptance of artificial intelligence? A systematic review","Kelly, Sage (Queensland University of Technology); Kaye, Sherrie Anne (Queensland University of Technology); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science)","","2023","Artificial Intelligence (AI) agents are predicted to infiltrate most industries within the next decade, creating a personal, industrial, and social shift towards the new technology. As a result, there has been a surge of interest and research towards user acceptance of AI technology in recent years. However, the existing research appears dispersed and lacks systematic synthesis, limiting our understanding of user acceptance of AI technologies. To address this gap in the literature, we conducted a systematic review following the Preferred Reporting Items for Systematic Reviews and meta-Analysis guidelines using five databases: EBSCO host, Embase, Inspec (Engineering Village host), Scopus, and Web of Science. Papers were required to focus on both user acceptance and AI technology. Acceptance was defined as the behavioural intention or willingness to use, buy, or try a good or service. A total of 7912 articles were identified in the database search. Sixty articles were included in the review. Most studies (n = 31) did not define AI in their papers, and 38 studies did not define AI for their participants. The extended Technology Acceptance Model (TAM) was the most frequently used theory to assess user acceptance of AI technologies. Perceived usefulness, performance expectancy, attitudes, trust, and effort expectancy significantly and positively predicted behavioural intention, willingness, and use behaviour of AI across multiple industries. However, in some cultural scenarios, it appears that the need for human contact cannot be replicated or replaced by AI, no matter the perceived usefulness or perceived ease of use. Given that most of the methodological approaches present in the literature have relied on self-reported data, further research using naturalistic methods is needed to validate the theoretical model/s that best predict the adoption of AI technologies.","AI; Human factors; Machine learning; Psychosocial models; Social robotics; User acceptance","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:2de89733-b611-4e11-9403-9b97d9a7fa63","http://resolver.tudelft.nl/uuid:2de89733-b611-4e11-9403-9b97d9a7fa63","A Novel High-temperature Pressure Sensor Based on Graphene Coated by Si3N4","Zeng, Simei (Beijing Jiaotong University); Tang, Chenggang (Beijing Jiaotong University); Hong, H. (TU Delft Electronic Components, Technology and Materials); Yuan, Fang (Beijing Jiaotong University); Li, Yuning (Beijing Jiaotong University); Wang, Yuqiang (Beijing Jiaotong University); Kong, Lingbing (Beijing Jiaotong University); Sun, Jingye (Beijing Jiaotong University); Zhu, Mingqiang (Beijing Jiaotong University); Deng, Tao (Beijing Jiaotong University)","","2023","The high-temperature pressure sensors have wide applications in aerospace, petroleum, geothermal exploration, automotive electronics, and other fields. However, the traditional silicon-based pressure sensors are restricted to pressure measurement under 120~{\circ }\text{C} and cannot be satisfied to measure the pressure of various gases or liquids in high temperature and other harsh environments. This article proposes a novel high-temperature pressure sensor based on graphene, in which a rectangular cavity is applied to improve the piezoresistive characteristics of the sensor. The unique of this sensor is that the graphene is coated by the silicon nitride (Si3N4) membrane, which could avoid the oxidation of graphene in high temperature and increase the temperature tolerance range. The sensor was placed at various temperatures ( 50~{\circ }\text{C} - 420~{\circ }\text{C} ) to explore the temperature characteristics, achieving a maximal temperature coefficient of resistance (TCR) of 0.322% {\circ }\text{C}{-{1}}. Moreover, the sensor with a 64 \times 9\,\,\mu \text{m}{{2}} cavity has a high pressure sensitivity of 5.32\times 10{-{4}} kPa {-{1}} , enabling a wide range from 100 kPa to 10 Pa. Experimental results indicate that the proposed sensor possesses superior pressure sensitivity, a wide pressure detection range, and a high-temperature tolerance of 420~{\circ }\text{C} , which provides new insight into fabricating high-temperature pressure sensors based on graphene and creates more applications in different fields.","Graphene; high-temperature; Si3N4; piezoresistive characteristic","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-01","","","Electronic Components, Technology and Materials","","",""
"uuid:ed82f87d-e58e-4dcf-9932-24468af6e9fd","http://resolver.tudelft.nl/uuid:ed82f87d-e58e-4dcf-9932-24468af6e9fd","Perceived Conversation Quality in Spontaneous Interactions","Raman, C.A. (TU Delft Pattern Recognition and Bioinformatics); Prabhu, Navin Raj (Student TU Delft); Hung, H.S. (TU Delft Pattern Recognition and Bioinformatics)","","2023","The quality of daily spontaneous conversations is of importance towards both our well-being as well as the development of interactive social agents. Prior research directly studying the quality of social conversations has operationalized it in narrow terms, associating greater quality to less small talk. Other works taking a broader perspective of interaction experience have indirectly studied quality through one of the several overlapping constructs such as rapport or engagement, in isolation. In this work we bridge this gap by proposing a holistic conceptualization of conversation quality, building upon the collaborative attributes of cooperative conversation floors. Taking a multilevel perspective of conversation, we develop and validate two instruments for perceived conversation quality (PCQ) at the individual and group levels. Specifically, we motivate capturing external raters' gestalt impressions of participant experiences from thin slices of behavior, and collect annotations of PCQ on the publicly available MatchNMingle dataset of in-the-wild mingling conversations. Finally, we present an analysis of behavioral features that are predictive of PCQ. We find that for the conversations in MatchNMingle, raters tend to associate smaller group sizes, equitable speaking turns with fewer interruptions, and time taken for synchronous bodily coordination with higher PCQ.","Perceived Conversation Quality; Spontaneous Interactions; Social and Behavioral Sciences; Group Interactions","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-07-08","","","Pattern Recognition and Bioinformatics","","",""
"uuid:62b6a9a6-5e4f-4753-a046-d6fe1dbac2e6","http://resolver.tudelft.nl/uuid:62b6a9a6-5e4f-4753-a046-d6fe1dbac2e6","Research on Sustainable Development Model of Chinese Artist Village","Luo, Yun (Chongqing University); Xiang, Pengcheng (Chongqing University); Li, B. (TU Delft Real Estate Management)","","2023","As a development form of the creative industry, the Artist Village is a high concern of the Chinese government, and it has achieved rapid developments in China in recent years. However, many Artist Villages come to an untimely end a short period after their birth and fail to realize sustainable development. In this study, an empirical analysis on 80 Artist Villages in China was carried out. A field investigation and research on eight Artist Villages was implemented. Attention was paid to thoroughly analyze three typical Artist Villages of Guangzhou Xiaozhou Artist Village, Chongqing Gujianshan Artist Village and Beijing Songzhuang Artist Village. It has been found from studies that the development of Artist Villages in China has experienced initiation, development and maturity stages. The development of Artist Villages in China generally has many problems, such as an insufficient endogenous impetus, the excessive intervention of government, market capital “squeezing out” the original artists, and so on. This paper proposed a sustainable development model for Artist Villages during urbanization in China based on the findings and conclusions of this study. This study not only enriches research contents in this field, but can also provide meaningful references for the sustainable development of Artist Villages in China.","Artist Village; sustainable development; development model; urbanization; 3T principle","en","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:6d6b0848-eab1-4964-a32c-5099250dd8f9","http://resolver.tudelft.nl/uuid:6d6b0848-eab1-4964-a32c-5099250dd8f9","Until death do us part? In-depth insights into Dutch consumers’ considerations about product lifetimes and lifetime extension","van den Berge, R.B.R. (TU Delft Marketing and Consumer Research); Magnier, L.B.M. (TU Delft Marketing and Consumer Research); Mugge, R. (TU Delft Marketing and Consumer Research; Universiteit van Amsterdam)","","2023","Long-lasting electronic products contribute to a sustainable society; however, both expected and actual lifetimes are in decline. This research provides in-depth insights into consumers’ considerations about product lifetimes, barriers to extending lifetimes, and responses to a product lifetime label. Results of interviews (n = 22) with Dutch consumers suggest a positive view on long-lasting products. Nevertheless, their products’ value depreciated during their lifetimes. Consumers consider themselves unable to estimate how long products should last, which can be detrimental as low expectations tend to negatively influence actual lifetimes. Also, use intensity and consumers’ care(less) behavior influence the lifetime. To extend product lifetimes, consumers often disregard the option of repairing malfunctioning products. They have limited knowledge and ability, and believe repair provides poor value for money. Lifetime extension can also be hindered by market-related factors, such as convenient replacement services, new technological developments, and (attractive) deals. We suggest a product lifetime label should contain relevant and reliable information; furthermore, we recommend including (extended) warranty information. When information about repairability is included, potential negative responses should be considered. Finally, raising awareness about the environmental impact of short-lived products via a label may have a positive effect but requires more research attention","","en","journal article","","","","","","","","","","","Marketing and Consumer Research","","",""
"uuid:8b70c6a2-9351-493e-ae40-46e7fa26f38a","http://resolver.tudelft.nl/uuid:8b70c6a2-9351-493e-ae40-46e7fa26f38a","Over What Range Should Reliabilists Measure Reliability?","Buijsman, S.N.R. (TU Delft Ethics & Philosophy of Technology)","","2023","Process reliabilist accounts claim that a belief is justified when it is the result of a reliable belief-forming process. Yet over what range of possible token processes is this reliability calculated? I argue against the idea that all possible token processes (in the actual world, or some other subset of possible worlds) are to be considered using the case of a user acquiring beliefs based on the output of an AI system, which is typically reliable for a substantial local range but unreliable when all possible inputs are considered. I show that existing solutions to the generality problem imply that these cases cannot be solved by a more fine-grained typing of the belief-forming process. Instead, I suggest that reliability is evaluated over a range restricted by the content of the actual belief and by the similarity of the input to the actual input.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Ethics & Philosophy of Technology","","",""
"uuid:f5333d16-88d0-4c51-88f7-598c0674160d","http://resolver.tudelft.nl/uuid:f5333d16-88d0-4c51-88f7-598c0674160d","Values in challenging times: strategic crisis management in the EU","Prainsack, Barbara (University of Vienna); do Céu Patrão Neves, Maria (University of the Azores); Sahlin, Nils Eric (Lund University); Biller-Andorno, Nikola (University of Zürich); Laukyte, Migle (Pompeu Fabra University); Łuków, Paweł (University of Warsaw); Nys, Herman (Katholieke Universiteit Leuven); van den Hoven, M.J. (TU Delft Ethics & Philosophy of Technology); Mallia, Pierre (University of Malta)","","2023","","","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:ac5b19f7-531f-4639-bb69-9673271f198d","http://resolver.tudelft.nl/uuid:ac5b19f7-531f-4639-bb69-9673271f198d","Shape Sensing of Flexible Robots Based on Deep Learning","Ha, Xuan Thao (Katholieke Universiteit Leuven; Scuola Superiore Sant’Anna); Wu, D. (TU Delft Medical Instruments & Bio-Inspired Technology; Katholieke Universiteit Leuven); Ourak, Mouloud (Katholieke Universiteit Leuven); Borghesan, Gianni (Katholieke Universiteit Leuven; Flanders Make); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Menciassi, Arianna (Scuola Superiore Sant’Anna); Poorten, Emmanuel Vander (Katholieke Universiteit Leuven)","","2023","In this article, a deep learning method for the shape sensing of continuum robots based on multicore fiber bragg grating (FBG) fiber is introduced. The proposed method, based on an artificial neural network (ANN), differs from traditional approaches, where accurate shape reconstruction requires a tedious characterization of many characteristic parameters. A further limitation of traditional approaches is that they require either multiple fibers, whose location relative to the centerline must be precisely known (calibrated), or a single multicore fiber whose position typically coincides with the neutral line. The proposed method addresses this limitation and, thus, allows shape sensing based on a single multicore fiber placed off-center. This helps in miniaturizing and leaves the central channel available for other purposes. The proposed approach was compared to a recent state-of-the-art model-based shape sensing approach. A two-degree-of-freedom benchtop fluidics-driven catheter system was built to validate the proposed ANN. The proposed ANN-based shape sensing approach was evaluated on a 40-mm-long steerable continuum robot in both 3-D free-space and 2-D constrained environments, yielding an average shape sensing error of 0.24 and 0.49 mm, respectively. With these results, the superiority of the proposed approach compared to the recent model-based shape sensing method was demonstrated.","Bending; Bragg gratings; Catheters; catheters; deep learning; Gratings; neural networks; optical fiber testing; Robot sensing systems; Robots; Shape; shape measurement; surgical instrument; Training","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-14","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:5319cf4c-0265-4746-bee6-2f855380634f","http://resolver.tudelft.nl/uuid:5319cf4c-0265-4746-bee6-2f855380634f","Numerical Study of Gas Flow in Super Nanoporous Materials Using the Direct Simulation Monte-Carlo Method","Shariati, Vahid (Ferdowsi University of Mashhad); Roohi, Ehsan (Ferdowsi University of Mashhad; Xi’an Jiaotong University); Ebrahimi, Amin (TU Delft Team Marcel Hermans)","","2023","The direct simulation Monte Carlo (DSMC) method, which is a probabilistic particle-based gas kinetic simulation approach, is employed in the present work to describe the physics of rarefied gas flow in super nanoporous materials (also known as mesoporous). The simulations are performed for different material porosities (0.5≤ϕ≤0.9), Knudsen numbers (0.05≤Kn≤1.0), and thermal boundary conditions (constant wall temperature and constant wall heat flux) at an inlet-to-outlet pressure ratio of 2. The present computational model captures the structure of heat and fluid flow in porous materials with various pore morphologies under rarefied gas flow regime and is applied to evaluate hydraulic tortuosity, permeability, and skin friction factor of gas (argon) flow in super nanoporous materials. The skin friction factors and permeabilities obtained from the present DSMC simulations are compared with the theoretical and numerical models available in the literature. The results show that the ratio of apparent to intrinsic permeability, hydraulic tortuosity, and skin friction factor increase with decreasing the material porosity. The hydraulic tortuosity and skin friction factor decrease with increasing the Knudsen number, leading to an increase in the apparent permeability. The results also show that the skin friction factor and apparent permeability increase with increasing the wall heat flux at a specific Knudsen number.","direct simulation Monte Carlo (DSMC); super nanoporous (mesoporous) materials; rarefied gas flow; thermal boundary conditions; hydraulic tortuosity; permeability","en","journal article","","","","","","","","","","","Team Marcel Hermans","","",""
"uuid:f63d935d-e77a-4aae-93cb-ba81ef7aed7c","http://resolver.tudelft.nl/uuid:f63d935d-e77a-4aae-93cb-ba81ef7aed7c","Benchmarking Outlier Detection Methods for Detecting IEM Patients in Untargeted Metabolomics Data","Bongaerts, Michiel (Erasmus MC); Kulkarni, Purva (Radboud University Medical Center); Zammit, Alan (Radboud University Medical Center); Bonte, Ramon (Erasmus MC); Kluijtmans, Leo A. J. (Radboud University Medical Center); Blom, Henk J. (Erasmus MC); Engelke, Udo F. H. (Radboud University Medical Center); Tax, D.M.J. (TU Delft Pattern Recognition and Bioinformatics); Ruijter, George J.G. (Erasmus MC); Reinders, M.J.T. (TU Delft Pattern Recognition and Bioinformatics)","","2023","Untargeted metabolomics (UM) is increasingly being deployed as a strategy for screening patients that are suspected of having an inborn error of metabolism (IEM). In this study, we examined the potential of existing outlier detection methods to detect IEM patient profiles. We benchmarked 30 different outlier detection methods when applied to three untargeted metabolomics datasets. Our results show great differences in IEM detection performances across the various methods. The methods DeepSVDD and R-graph performed most consistently across the three metabolomics datasets. For datasets with a more balanced number of samples-to-features ratio, we found that AE reconstruction error, Mahalanobis and PCA reconstruction error also performed well. Furthermore, we demonstrated the importance of a PCA transform prior to applying an outlier detection method since we observed that this increases the performance of several outlier detection methods. For only one of the three metabolomics datasets, we observed clinically satisfying performances for some outlier detection methods, where we were able to detect 90% of the IEM patient samples while detecting no false positives. These results suggest that outlier detection methods have the potential to aid the clinical investigator in routine screening for IEM using untargeted metabolomics data, but also show that further improvements are needed to ensure clinically satisfying performances.","untargeted metabolomics; outlier detection; anomaly detection; one-class methods; IEM; inborn errors of metabolism","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:c4d42d9b-8ddd-4338-a913-0fc6a5230fa3","http://resolver.tudelft.nl/uuid:c4d42d9b-8ddd-4338-a913-0fc6a5230fa3","Phase-Coded FMCW for Coherent MIMO Radar","Kumbul, U. (TU Delft Microwave Sensing, Signals & Systems); Petrov, N. (TU Delft Microwave Sensing, Signals & Systems; NXP Semiconductors); Silveira Vaucher, C. (TU Delft Electronics; NXP Semiconductors); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","The phase-coded linear-frequency-modulated continuous-wave (PC-FMCW) waveform with a low sampling processing strategy is studied for coherent multiple-input multiple-output (MIMO) radar. The PC-FMCW MIMO structure, which jointly uses both fast-time and slow-time coding, is proposed to reduce sidelobe levels while preserving high range resolution, unambiguous velocity, good Doppler tolerance, and low sampling needs. The sensing performance and practical aspects of the introduced PC-FMCW MIMO structure are evaluated theoretically and verified experimentally. The numerical simulations and experiments demonstrate that the proposed MIMO keeps the advantages of the linear-frequency-modulated continuous-wave (LFMCW) waveform, including computational efficiency and low sampling demands, while having the ability to provide low sidelobe levels with simultaneous transmission.","Linear frequency modulation (LFM); multipleinput multiple-output (MIMO); phase-modulated chirps; radar signal processing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:555c15cb-7adc-436b-9bb6-e6ec2157a9ed","http://resolver.tudelft.nl/uuid:555c15cb-7adc-436b-9bb6-e6ec2157a9ed","ViTaLS -A Novel Link-Layer Scheduling Framework for Tactile Internet over Wi-Fi","Gokhale, V. (TU Delft Embedded Systems); Kroep, H.J.C. (TU Delft Embedded Systems); Venkatesha Prasad, Ranga Rao (TU Delft Embedded Systems); Bellalta, Boris (Pompeu Fabra University); Dressler, Falko (Technical University of Berlin)","","2023","The pioneering field of tactile Internet (TI) will enable the transfer of human skills over long distances through haptic feedback. Realizing this demands a roundtrip latency of sub-5 ms. In this work, we investigate the capability of Wi-Fi 6 and existing TI scheduling/multiplexing schemes in meeting this stringent latency constraint. Taking the concrete example of the state-of-the-art video-haptic multiplexer (VH-multiplexer), we highlight the pitfalls of relying on the existing Wi-Fi 6 systems for TI communication. To circumvent this, we propose video-tactile latency scheduler (ViTaLS) - a novel link layer framework for tuning the video-tactile frame transmissions to suit their heterogeneous Quality of Service requirements. We present a mathematical model to characterize the packet transmission duration of ViTaLS. Using a custom simulator, we validate our model and measure the objective performance improvement of ViTaLS over VH-multiplexer. We also present ViTaLS-optimal - a variant of ViTaLS, for further 4 reducing the tactile latency. Objectively, we show that ViTaLS-optimal yields a latency improvement of up to 82 %. Based on experiments conducted on a real TI testbed, we subjectively demonstrate that ViTaLS-optimal outperforms the VH-multiplexer.","Tactile Internet; Wi-Fi 6; 802.11ax; ViTaLS; ultra-low latency","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Embedded Systems","","",""
"uuid:adf37e38-675b-4208-ae78-7b675978d6c3","http://resolver.tudelft.nl/uuid:adf37e38-675b-4208-ae78-7b675978d6c3","Exploring Stability and Accuracy Limits of Distributed Real-Time Power System Simulations via System-of-Systems Cosimulation","Barbierato, Luca (Politecnico di Torino); Pons, Enrico (Politecnico di Torino); Bompard, Ettore Francesco (Politecnico di Torino); Subramaniam Rajkumar, Vetrivel (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Electrical Sustainable Energy); Bottaccioli, Lorenzo (Politecnico di Torino); Patti, Edoardo (Politecnico di Torino)","","2023","Electromagnetic transients (EMT) is the most accurate, but computationally expensive method of analyzing power system phenomena. Thereby, interconnecting several real-time simulators can unlock scalability and system coverage, but leads to a number of new challenges, mainly in time synchronization, numerical stability, and accuracy quantification. This study presents such a cosimulation, based on digital real-time simulators (DRTS), connected via Aurora 8B/10B protocol. Such a setup allows to analyze complex and hybrid system-of-systems whose resulting numerical phenomena and artifacts have been poorly investigated and understood so far. We experimentally investigate the impact of IEEE 1588 precision time protocol synchronization assessing both time and frequency domains. The analysis of the experimental results is encouraging and show that numerical stability can be maintained even with complex system setups. Growing shares of inverter-based renewable power generation require larger and interconnected EMT system studies. This work helps to understand the phenomena connected to such DRTS advanced cosimulation setups.","Cosimulation; digital real-time simulators (DRTSs); numerical stability; power system assessments; system-of-systems (SoS)","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:9df91cb9-7af3-449d-b8a2-e25fbb59d83c","http://resolver.tudelft.nl/uuid:9df91cb9-7af3-449d-b8a2-e25fbb59d83c","Investigation of Unclamped Inductive Switch Characteristics in 4H-SiC MOSFETs With Different Cell Topologies","Wu, Huan (Chongqing University); Luo, Houcai (Chongqing University); Zhang, Jingping (Chongqing University); Zheng, Bofeng (Chongqing University); Lang, Lei (Chongqing University); Wang, Zeping (Chongqing University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Chen, Xianping (Chongqing University)","","2023","To investigate the unclamped inductive switch (UIS) characteristics, 1200 V silicon carbide (SiC) planar MOSFETs with four cell topologies of linear, current sharing linear, square, and hexagon are designed and manufactured. The experimental platform was built and tested. The results show that the single pulse avalanche energy density of the linear cell topology is 1.69 times higher than that of the square and 1.49 times that of the hexagon. Further, the UIS process is simulated by using physical simulation, which shows that the avalanche energy was concentrated near the corner of the P-base region in the UIS mode. From this, the avalanche energy distribution differences of the four cell topologies were analyzed and compared. A theoretical model of avalanche heating per unit area is proposed, which shows that the avalanche energy density is inversely proportional to the proportion of avalanche energy concentration region. This study may contribute to the cell topology design of SiC MOSFETs under the application scenario with high avalanche reliability requirements.","Avalanche; cell topologies; failure analysis; silicon carbide (SiC) planar MOSFET; unclamped inductive switch (UIS) test","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-10","","","Electronic Components, Technology and Materials","","",""
"uuid:ffb732e6-4bb0-4066-a243-7f0efedab9aa","http://resolver.tudelft.nl/uuid:ffb732e6-4bb0-4066-a243-7f0efedab9aa","Interpreting the effectiveness of antioxidants to increase the resilience of asphalt binders: A global interlaboratory study","Adwani, Dheeraj (The University of Texas at Austin); Sreeram, Anand (The University of Texas at Austin); Pipintakos, Georgios (Universiteit Antwerpen); Mirwald, Johannes (Technische Universität Wien); Wang, Yudi (University of Illinois at Urbana-Champaign); Hajj, Ramez (University of Illinois at Urbana-Champaign); Jing, R. (TU Delft Pavement Engineering); Bhasin, Amit (The University of Texas at Austin)","","2023","The design and use of antioxidant additives to reduce or slow down the aging of asphalt binders can bring about tremendous benefits to the asphalt industry. Despite many isolated and scattered research efforts showing mixed results, the application of this science to engineering-based solutions has been limited due to variability in results and conflicting data available. This work presents the results from a global interlaboratory study to test the effectiveness of promising antioxidant additives, namely kraft lignin, calcium hydroxide, zinc diethyldithiocarbamate and phenothiazine to increase the resilience of asphalt binders and provide insights towards understanding the complex intricacies between chemistry and rheology. Specifically, seven different binders from various geographical regions in the world i.e., Texas (USA), Vienna (Austria), Illinois (USA), Antwerp (Belgium), and Delft (Netherlands) were blended with the antioxidants at two proportions. Subsequently, the chemical and rheological properties of the blends were evaluated using Fourier transform infrared (FTIR) spectroscopy and dynamic shear rheometer (DSR). The results indicate that although some antioxidants may reduce oxidation based chemical indices, their effect on rheology is more complicated and possibly related to unique physicochemical interactions in each binder. From a macro-perspective, zinc diethyldithiocarbamate showed promising results with a good correlation between rheology and chemistry for the majority of the binders. These additives or other additives with the same working principles should be investigated further. Additionally, significant research efforts must also be directed towards approaches aimed at understanding mechanisms of interaction and relating results with specific binder compositions.","Antioxidants; Aging; Binder Chemistry; Resilience; Oxidation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Pavement Engineering","","",""
"uuid:5a4287a8-0ab9-4c0f-880c-345ca5000c2d","http://resolver.tudelft.nl/uuid:5a4287a8-0ab9-4c0f-880c-345ca5000c2d","Nonlinear Dynamic Inversion with Actuator Dynamics: An Incremental Control Perspective","Steffensen, Rasmus (Technische Universität München); Steinert, Agnes (Technische Universität München); Smeur, E.J.J. (TU Delft Control & Simulation)","","2023","In this paper, we derive a sensor-based nonlinear dynamic inversion (NDI) control law for a nonlinear system with first-order linear actuators, and compare it to incremental nonlinear dynamic inversion (INDI), which has gained popularity in recent years. It is shown that, for first-order actuator dynamics, INDI approximates the corresponding NDI control law arbitrarily well under the condition of sufficiently fast actuators. If the actuator bandwidth is low compared to changes in the states, the derived NDI control law has the following advantages compared to INDI: 1) compensation of state derivative terms, 2) well-defined error dynamics, and 3) exact tracking of a reference model, independent of error controller gains in nominal conditions. The comparison of the INDI control law with the well-established control design method NDI adds to the understanding of incremental control. It is additionally shown how to quantify the deficiency of the INDI control law with respect to the exact NDI law for actuators with finite bandwidth. The results are confirmed through simulation results of the roll motion of a fixed-wing aircraft.","","en","journal article","","","","","","","","2023-07-01","","","Control & Simulation","","",""
"uuid:8b219e52-0795-450d-ab88-7b9bc14f4899","http://resolver.tudelft.nl/uuid:8b219e52-0795-450d-ab88-7b9bc14f4899","A space–time-energy flow-based integer programming model to design and operate a regional shared automated electric vehicle (SAEV) system and corresponding charging network","Santos, Gonçalo Gonçalves Duarte (Universidade de Coimbra); Birolini, Sebastian (University of Bergamo); Correia, Gonçalo (TU Delft Transport and Planning)","","2023","Shared automated vehicles are expected to be part of the supply of transportation systems in the future. Parallel to this evolution, there is the rapid penetration of battery electric vehicles (BEVs). The limitations in battery capacity and charging speed of BEVs can influence the planning and operation of shared automated electric vehicle (SAEV) systems. The design of such systems needs to include these limitations so that their viability is properly estimated. In this paper, we develop a space–time-energy flow-based integer programming (IP) model in support of the strategic design of a regional SAEV system. The proposed approach optimizes the fleet (size and composition) and charging facilities (number and location), while explicitly accounting for vehicle operations in aggregated terms (including movements with users, relocations, and charging times). The model is used to assess the impact of vehicle range and different types of chargers in the optimal design of an interurban SAEV transport system in the center of Portugal. Results show a reduction in profit as the vehicle range increases. In regards to energy, it is observed that the adoption of long-range vehicles reduces the energy spent in relocations, and increases the amount of energy charged at a lower price. Additionally, it is found that a system with long-range vehicles does not take advantage of having fast chargers. Concerning the chargers’ optimal location, systems using short-range vehicles have more chargers close to the main commuter trips attracting cities, while systems with long-range vehicles have the chargers nearby the homes of users.","Integer programming; Flow-based model; Shared automated electric vehicles; Electric charging; Mathematical optimization","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:d2e3c6c3-ddc5-4c93-a5ce-2768a26f7d72","http://resolver.tudelft.nl/uuid:d2e3c6c3-ddc5-4c93-a5ce-2768a26f7d72","A numerical strength prediction approach for wood using element-wise local fiber directions from laser scanning","Seeber, Franziska (Technische Universität München); Sarnaghi, Ani Khaloian (Technische Universität München); Rais, Andreas (Technische Universität München); van de Kuilen, J.W.G. (TU Delft Bio-based Structures & Materials; Technische Universität München)","","2023","Mechanical properties of wood such as stiffness and strength vary locally especially due to heterogeneities and anisotropy. Analytical models and numerical simulations of wooden boards are able to represent varying material orientation e.g. with local fiber directions from laser scanning as input for the prediction of strength. Current Finite Element Models reconstructed the grain orientation by means of computationally demanding fluid analysis around obstacles like knots; whereas the available fiber pattern, captured by means of laser scanning, was passed solely into the detection of knots, but not directly processed for the inclusion of material fiber orientation. Therefore, the goal of this paper was the development of a numerical approach to directly include locally varying measured fiber orientation with orthotropic material properties and to predict the tensile strength of boards with reduced computational effort. Therefore, the stiffness was transformed element-wise according to the measured fiber deviations and the local fiber stress components were computed for the specific tensile load case. For the virtual strength prediction, numerical maximum stress values were compared to experimental tensile strength. Good agreements were observed with reduced computational effort compared to existing approaches between numerical and experimental results.","Laser scanning; FE model; 3D stresses; Virtual strength prediction","en","journal article","","","","","","","","","","","Bio-based Structures & Materials","","",""
"uuid:c01c9243-c6f7-4712-a5ca-57038b85a7b5","http://resolver.tudelft.nl/uuid:c01c9243-c6f7-4712-a5ca-57038b85a7b5","Indicators and methods for assessing acoustical preferences and needs of students in educational buildings: A review","Hamida, A.B. (TU Delft Environmental & Climate Design); Zhang, D. (TU Delft Environmental & Climate Design); Ortiz, Marco A. (TU Delft Indoor Environment); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","Sounds (e.g., human activity, nature, building systems) are one of the indoor environmental stimuli that may have positive and/or negative effects on students’ well-being and performance in educational buildings. Students in educational buildings have individual acoustical preferences and needs as portrayed by occupant-related indicators, for example perception. Acoustical guidelines for educational buildings are generally focused on acoustical performance in terms of dose-related (e.g., sound pressure level) and building-related indicators (e.g., sound absorbing walls), while occupant-related indicators (e.g., heart rate) are rarely mentioned. In contrast, previous studies such as indoor soundscape studies, do take into consideration occupant-related indicators, including physiological and psychological. Therefore, this study aimed at summarizing these indicators in a comprehensive overview that is essential for investigating the students’ acoustical preferences and needs in educational buildings. A literature review of relevant studies in the domain of indoor acoustics and soundscape was carried out. A number of key indicators (occupant-related, dose-related, building-related) and methods that are fundamental to be considered were identified. Only in a few studies, students’ acoustical preferences and needs were investigated by considering occupant-related indicators (both physiological and psychological). In addition, dose-related indicators of other indoor environmental quality (IEQ) factors and building-related indicators were rarely taken into account in previous studies.","Acoustical needs; Acoustical preferences; Building-related indicators; Dose-related indicators; Occupant-related indicators; Students","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:919ce08a-9233-4696-85d6-1982b8a5a73e","http://resolver.tudelft.nl/uuid:919ce08a-9233-4696-85d6-1982b8a5a73e","How to Alleviate Feelings of Crowding in a Working from Home Environment: Lessons Learned from the COVID-19 Pandemic","Park, S.Y. (TU Delft Spatial Planning and Strategy); Newton, C.E.L. (TU Delft Spatial Planning and Strategy); Lee, Rachel (TU Delft History, Form & Aesthetics)","","2023","The sudden adoption of working from home (WFH) during the COVID-19 pandemic has required the reconfiguration of home spaces to fit space for remote work into existing spaces already filled with other domestic functions. This resulted in blurring of home and work boundaries, the potential lack of space for telecommuting from home, and telecommuters’ feelings of crowding. Numerous studies have shown the negative effects of crowding feelings on workers’ responses. This study focused on the issue of crowding in the residential workspace. An online survey was conducted to investigate how features of the home workspace correlate with telecommuters’ feelings of crowding and how these feelings affect satisfaction, health, and productivity. As a result, we found that various environmental features of home workspaces (e.g., house size, purpose of workspace, accessible balcony, lighting, noise, etc.), as well as psychological aspects (e.g., individual control over space use), had significant effects on telecommuters’ feelings of crowdedness. It was also found that feelings of crowding in the WFH environment can directly and indirectly affect teleworkers’ satisfaction with work environments, well-being, and work performance. Based on the results, we offered various potential ways to alleviate overcrowding issues in the WFH context.","feelings of crowding; working from home; work environment; COVID-19; health; productivity","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:fe963c3c-24dd-43ec-b6a6-2b8e57a5b24c","http://resolver.tudelft.nl/uuid:fe963c3c-24dd-43ec-b6a6-2b8e57a5b24c","PSSNet: Planarity-sensible Semantic Segmentation of large-scale urban meshes","Gao, W. (TU Delft Urban Data Science); Nan, L. (TU Delft Urban Data Science); Boom, Bas (CycloMedia Technology); Ledoux, H. (TU Delft Urban Data Science)","","2023","We introduce a novel deep learning-based framework to interpret 3D urban scenes represented as textured meshes. Based on the observation that object boundaries typically align with the boundaries of planar regions, our framework achieves semantic segmentation in two steps: planarity-sensible over-segmentation followed by semantic classification. The over-segmentation step generates an initial set of mesh segments that capture the planar and non-planar regions of urban scenes. In the subsequent classification step, we construct a graph that encodes the geometric and photometric features of the segments in its nodes and the multi-scale contextual features in its edges. The final semantic segmentation is obtained by classifying the segments using a graph convolutional network. Experiments and comparisons on two semantic urban mesh benchmarks demonstrate that our approach outperforms the state-of-the-art methods in terms of boundary quality, mean IoU (intersection over union), and generalization ability. We also introduce several new metrics for evaluating mesh over-segmentation methods dedicated to semantic segmentation, and our proposed over-segmentation approach outperforms state-of-the-art methods on all metrics. Our source code is available at https://github.com/WeixiaoGao/PSSNet.","Over-segmentation; Semantic segmentation; Texture meshes; Urban scene understanding","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:c57e3666-b47b-4ec2-80b5-869456a3451a","http://resolver.tudelft.nl/uuid:c57e3666-b47b-4ec2-80b5-869456a3451a","Experimental investigation on the tensile behaviour of welded RHS high strength steel X-joints","Yan, R. (TU Delft Steel & Composite Structures); Mela, Kristo (Tampere University); El Bamby, H. (TU Delft Steel & Composite Structures); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2023","The newest version of prEN 1993-1-8 (2022) prescribes a material factor (Cf) to reduce the design resistance of welded joints made of high strength steel (HSS) mostly due to the lack of available experiments, given the less ductility of HSS compared to mild steel. Additionally, it is stated that the material design yield strength should not exceed 0.8 times the ultimate strength (fu) for the chord punching shear failure and the tensile brace failure. The mechanical background behind Cf and the 0.8fu restriction for different types of joints and loading conditions is vague. In this paper, the validity of Cf and the 0.8fu restriction is investigated experimentally by considering 18 welded rectangular hollow section X-joints tested in tension. A bi-linear model, which is suitable for an elasto-plastic global analysis considering the post-yielding stiffness, is proposed to characterize the nonlinear behaviour of the joint. The predicted resistance and failure mode, with and without considering the Cf and/or the 0.8fu restriction, are compared to the experimental results. In addition, the predicted resistance corresponding to the experimental failure mode is investigated. It is concluded, based on the tested joints in this paper and literature, that Cf and the 0.8fu restriction are not necessary for the design according to prEN1993-1-8. However, the predicted brace failure resistance is unconservative for tested joints that failed by brace failure if Cf for S700 or the 0.8fu restriction for all steel grades is not considered.","Welded tubular joints; High strength steel; Cold-formed rectangular hollow section; X-joint","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:b303ac20-37a6-4722-83d1-90874e97f378","http://resolver.tudelft.nl/uuid:b303ac20-37a6-4722-83d1-90874e97f378","Spiking Neural-Networks-Based Data-Driven Control","Liu, Y. (Student TU Delft); Pan, W. (TU Delft Robot Dynamics)","","2023","Machine learning can be effectively applied in control loops to make optimal control decisions robustly. There is increasing interest in using spiking neural networks (SNNs) as the apparatus for machine learning in control engineering because SNNs can potentially offer high energy efficiency, and new SNN-enabling neuromorphic hardware is being rapidly developed. A defining characteristic of control problems is that environmental reactions and delayed rewards must be considered. Although reinforcement learning (RL) provides the fundamental mechanisms to address such problems, implementing these mechanisms in SNN learning has been underexplored. Previously, spike-timing-dependent plasticity learning schemes (STDP) modulated by factors of temporal difference (TD-STDP) or reward (R-STDP) have been proposed for RL with SNN. Here, we designed and implemented an SNN controller to explore and compare these two schemes by considering cart-pole balancing as a representative example. Although the TD-based learning rules are very general, the resulting model exhibits rather slow convergence, producing noisy and imperfect results even after prolonged training. We show that by integrating the understanding of the dynamics of the environment into the reward function of R-STDP, a robust SNN-based controller can be learned much more efficiently than TD-STDP.","spiking neural network; reinforcement learning; control","en","journal article","","","","","","","","","","","Robot Dynamics","","",""
"uuid:a576fcee-2b86-4344-ae7d-602099a52088","http://resolver.tudelft.nl/uuid:a576fcee-2b86-4344-ae7d-602099a52088","Frequency Tracking Method and Compensation Parameters Optimization to Improve Capacitor Deviation Tolerance of the Wireless Power Transfer System","Wang, Yi (Beijing Jiaotong University); Yang, Zhongping (Beijing Jiaotong University); Lin, Fei (Beijing Jiaotong University); Dong, J. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","Compensation capacitors are naturally susceptible to manufacturing defects and aging effects, leading to the degraded performance of a wireless power transfer (WPT) system. This article focuses on the compensation parameters optimization during the design stage and control strategy during the operation phase to improve the inherent capacitor error tolerance of the WPT system. The Sobol sensitivity method is applied to rank the importance of deviations of three capacitors on the transfer characteristics, and then the method of tracking the secondary resonance frequency is proposed. The numerical method is applied to find the optimal compensation parameters, with the constraint that the output voltage change caused by the shift of the designed compensation condition is limited to be less than ±5%. Experimental results show that with the proposed frequency tracking method and compensation parameter optimization, the deviation tolerance index is decreased from 0.485 to 0.363, showing an improvement of 25.2%, and the minimum power factor is increased from 0.78 to 0.89. Besides, the characteristics of constant primary coil current and voltage gain are almost not affected.","Wireless power transfer; compensation errors; detuning tolerance; frequency tracking; parameter optimization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-04","","","DC systems, Energy conversion & Storage","","",""
"uuid:39bef206-6bf9-41cc-90e1-3f49bc06f8d7","http://resolver.tudelft.nl/uuid:39bef206-6bf9-41cc-90e1-3f49bc06f8d7","Fast Approximate Dynamic Programming for Input-Affine Dynamics","Sharifi Kolarijani, M.A. (TU Delft Team Peyman Mohajerin Esfahani); Mohajerin Esfahani, P. (TU Delft Team Peyman Mohajerin Esfahani)","","2023","We propose two novel numerical schemes for the approximate implementation of the dynamic programming (DP) operation concerned with finite-horizon optimal control of discrete-time systems with input-affine dynamics. The proposed algorithms involve discretization of the state and input spaces and are based on an alternative path that solves the dual problem corresponding to the DP operation. We provide error bounds for the proposed algorithms, along with a detailed analysis of their computational complexity. In particular, for a specific class of problems with separable data in the state and input variables, the proposed approach can reduce the typical time complexity of the DP operation from O(XU) to O(X+U) , where X and U denote the size of the discrete state and input spaces, respectively. This reduction in complexity is achieved by an algorithmic transformation of the minimization in DP operation to an addition via discrete conjugation.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-28","","","Team Peyman Mohajerin Esfahani","","",""
"uuid:ea217f47-e483-4876-bc44-f8c638e69f6e","http://resolver.tudelft.nl/uuid:ea217f47-e483-4876-bc44-f8c638e69f6e","Synoptic observations of sediment transport and exchange mechanisms in the turbid Ems Estuary: The EDoM campaign","van Maren, D.S. (TU Delft Environmental Fluid Mechanics; East China Normal University; Deltares); Maushake, Christian (Federal Waterways Engineering and Research Institute); Mol, Jan-Willem (Rijkswaterstaat); van Keulen, Daan (Wageningen University & Research); Jürges, Jens (Federal Waterways Engineering and Research Institute); Vroom, Julia (Deltares); Schuttelaars, H.M. (TU Delft Mathematical Physics); Gerkema, Theo (The University of Texas at Austin); Schulz, Kirstin (The University of Texas at Austin)","","2023","An extensive field campaign, the Ems-Dollard Measurements (EDoM), was executed in the Ems Estuary, bordering the Netherlands and Germany, aimed at better understanding the mechanisms that drive the exchange of water and sediments between a relatively exposed outer estuary and a hyper-turbid tidal river. More specifically, the reasons for the large up-estuary sediment accumulation rates and the role of the tidal river on the turbidity in the outer estuary were insufficiently understood. The campaign was designed to unravel the hydrodynamic and sedimentary exchange mechanisms, comprising two hydrographic surveys during contrasting environmental conditions using eight concurrently operating ships and 10 moorings measuring for at least one spring–neap tidal cycle. All survey locations were equipped with sensors measuring flow velocity, salinity, and turbidity (and with stationary ship surveys taking water samples), while some of the survey ships also measured turbulence and sediment settling properties. These observations have provided important new insights into horizontal sediment fluxes and density-driven exchange flows, both laterally and longitudinally. An integral analysis of these observations suggests that large-scale residual transport is surprisingly similar during periods of high and low discharge, with higher river discharge resulting in both higher seaward-directed fluxes near the surface and landward-directed fluxes near the bed. Sediment exchange seems to be strongly influenced by a previously undocumented lateral circulation cell driving residual transport. Vertical density-driven flows in the outer estuary are influenced by variations in river discharge, with a near-bed landward flow being most pronounced in the days following a period with elevated river discharge. The study site is more turbid during winter conditions, when the estuarine turbidity maximum (ETM) is pushed seaward by river flow, resulting in a more pronounced impact of suspended sediments on hydrodynamics. All data collected during the EDoM campaign, but also standard monitoring data (waves, water levels, discharge, turbidity, and salinity) collected by Dutch and German authorities are made publicly available at 4TU Centre for Research Data (https://doi.org/10.4121/c.6056564.v3; van Maren et al., 2022).","","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:a3934dd7-2803-4c8a-b7f2-c3537abb8126","http://resolver.tudelft.nl/uuid:a3934dd7-2803-4c8a-b7f2-c3537abb8126","Change lost: Corrosion of Roman copper alloy coins in changing and variable burial environments","Huisman, Luc Hans (Cultural Heritage Agency of the Netherlands; Rijksuniversiteit Groningen); Ackermann, Regula (Kantonsarchaologie); Claes, Liesbeth (Universiteit Leiden); van Eijck, L. (TU Delft RST/Neutron and Positron Methods in Materials); de Groot, Tessa (Cultural Heritage Agency of the Netherlands); Joosten, Ineke (Cultural Heritage Agency of the Netherlands); Kemmers, Fleur (Goethe University); Kerkhoven, Nils (Erfgoed Utrecht); Ngan-Tillard, D.J.M. (TU Delft Geo-engineering)","","2023","We studied the corrosion of Roman copper alloy coins that experienced alternations or progressive changes in their burial environment. We used coins that were still embedded in soil or in a concretion selectedfrom three professional excataved sites - Berlicum and Krommenie in the Netherlands and Kempraten in Switserland. mCT scanning and neutron scanning were used to record the 3-D properties of these coins prior to (destructive) analyses. It proved possible to tentatively identify the coins. Microscope observations and SEM-EDX analyses revealed complex corrosion processes, related to changing burial environments. In soil horizon with fluctuating groundwater levels in a region with upwelling reducing, iron-rich groundwater, the copper in a gunmetal coin is essentially replaced by iron oxides while tin remains and forms tin-oxide bands. Fluctuating redox conditions in marine-influenced environments was shown to transform a copper-alloy coin into strongly laminated copper sulphides with embedded gypsum crystals, with an outer surface of copper and copper-iron sulphides. Burial of bronze in a charcoal rich layer probably caused temporary highly alkaline soil conditions. This caused most of the copper to leach from this coin, leaving behind a laminated tin-dominated mass, with only a limited amount of (malachite) corrosion products remaining in the surrounding groundmass. In all three cases, corrosion processes tend to be anisotropic, probably because of cold-hammering of the coins during their manufacture. Such corrosion processes on massive copper alloy coins may produce features that may lead to their incorrect classification as subferrati, i.e. copper alloy coins with an iron core. Our results may help in future to distinguish strongly corroded massive coins from subferrati.","Coin; Corrosion; Gley; Sulphides; Tomography","en","journal article","","","","","","","","","","","RST/Neutron and Positron Methods in Materials","","",""
"uuid:7c178f2c-4c5b-4ebb-975d-335a715f9444","http://resolver.tudelft.nl/uuid:7c178f2c-4c5b-4ebb-975d-335a715f9444","A framework for designing AI systems that support community wellbeing","van der Maden, W.L.A. (TU Delft Design Aesthetics); Lomas, J.D. (TU Delft Design Aesthetics); Hekkert, P.P.M. (TU Delft Design Aesthetics)","","2023","Introduction: Designing artificial intelligence (AI) to support health and wellbeing is an important and broad challenge for technologists, designers, and policymakers. Drawing upon theories of AI and cybernetics, this article offers a design framework for designing intelligent systems to optimize human wellbeing. We focus on the production of wellbeing information feedback loops in complex community settings, and discuss the case study of My Wellness Check, an intelligent system designed to support the mental health and wellbeing needs of university students and staff during the COVID-19 pandemic. Methods: The basis for our discussion is the community-led design of My Wellness Check, an intelligent system that supported the mental health and wellbeing needs of university students and staff during the COVID-19 pandemic. Our system was designed to create an intelligent feedback loop to assess community wellbeing needs and to inform community action. This article provides an overview of our longitudinal assessment of students and staff wellbeing (n = 20,311) across two years of the COVID-19 pandemic. Results: We further share the results of a controlled experiment (n = 1,719) demonstrating the enhanced sensitivity and user experience of our context-sensitive wellbeing assessment. Discussion: Our approach to designing “AI for community wellbeing,” may generalize to the systematic improvement of human wellbeing in other human-computer systems for large-scale governance (e.g., schools, businesses, NGOs, platforms). The two main contributions are: 1) showcasing a simple way to draw from AI theory to produce more intelligent human systems, and 2) introducing a human-centered, community-led approach that may be beneficial to the field of AI.","human-centered design; community wellbeing; artificial intelligence; cybernetics; wellbeing economy; eedback loop; human values","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:a1a56204-193a-4f4e-a5a8-fd4421350930","http://resolver.tudelft.nl/uuid:a1a56204-193a-4f4e-a5a8-fd4421350930","Experimental characterization of an unsteady laminar separation bubble on a pitching wing","Grille Guerra, A. (TU Delft Aerodynamics); Mertens, C. (TU Delft Aerodynamics); Little, Jesse C. (University of Arizona); van Oudheusden, B.W. (TU Delft Aerodynamics)","","2023","The laminar separation bubble (LSB) that forms on the suction side of a modified NACA 64 3-618 airfoil at a chord-based Reynolds number of Re = 200 , 000 is studied using wind tunnel experiments. First, the LSB is characterized over a range of static angles of attack, in terms of the locations of separation, transition and reattachment—using surface pressure measurements, particle image velocimetry (PIV) and infrared thermography (IT). For the conditions tested, excellent agreement between the techniques is obtained. Subsequently, a pitching motion is imposed on the wind tunnel model, with reduced frequencies up to k = 0.25. While surface pressure measurements and PIV are not affected by the change in experimental conditions, the infrared approach is impaired by the thermal response of the surface. To overcome this, an extension of the differential infrared thermography (DIT) method for detecting the three characteristics of an unsteady LSB is considered. All three experimental techniques indicate a hysteresis in bubble location between the pitch up and pitch down phases of the motion, caused by the effect of the aerodynamic unsteadiness on the adverse pressure gradient. However, the DIT measurements suggest a larger hysteresis, which is attributed to the thermal response time of the model surface. The experimental results measured with the pressure sensors reveal that the hysteresis in bubble location is larger than the hysteresis in lift, indicating that the observed bubble hysteresis is not purely due to instantaneous flow conditions, but has an inherent component as well.","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:9928084e-6dc4-43fb-8329-467fc4c06f09","http://resolver.tudelft.nl/uuid:9928084e-6dc4-43fb-8329-467fc4c06f09","Numerical study of interactive ingress of calcium leaching, chloride transport and multi-ions coupling in concrete","Liu, Qing feng (Shanghai Jiao Tong University); Shen, Xiao-han (Shanghai Jiao Tong University; University of New South Wales); Šavija, B. (TU Delft Materials and Environment); Meng, Zhaozheng (Shanghai Jiao Tong University; Student TU Delft); Tsang, Daniel C.W. (The Hong Kong Polytechnic University); Sepasgozar, Samad (University of New South Wales); Schlangen, E. (TU Delft Materials and Environment)","","2023","In circumstances with wastewater and seawater, the behavior of multi-ions including calcium, chloride and others in concrete attracts attention. The present study investigated the multiple mechanisms that could happen under the special field situation above, including calcium leaching, chloride transport and multi-ion coupling. To realize the interactive ingress of multi ions, the simulation method for the processing of the individual mechanisms and the mutual influences is adopted. The distributions of the diversified ions are analyzed with the influence of the interfacial transition zone. The time-spatial distribution of porosity and its evolution mechanisms are investigated by considering the interaction with calcium ions in both pore solution and solid phase. The results indicate that calcium leaching would dominantly speed up chloride transport due to the coarsened pore structure, while the multi ions electrochemical coupling effect would facilitate calcium leaching in the early stage but subtly delay calcium leaching in the later stage.","Calcium leaching; Chloride ingress; Multi-ions coupling; Pore structure","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-11","","","Materials and Environment","","",""
"uuid:1066745a-5361-4246-874b-defe7921c8f7","http://resolver.tudelft.nl/uuid:1066745a-5361-4246-874b-defe7921c8f7","Diagnostic modeling of the shoreline variation along the Jiangsu Coast, China","Kuai, Y. (TU Delft Coastal Engineering); Aarninkhof, S.G.J. (TU Delft Hydraulic Engineering); Wang, Zhengbing (TU Delft Coastal Engineering; Deltares)","","2023","Intertidal flats are of great socio-economic and ecological importance in defending the coastal cities from flooding, providing resources for land reclamations and habits for wildlife. On the intertidal flats, milder profiles are usually featured with finer sediment. However, we find the opposite relationship between the alongshore variation in intertidal slope and sediment grain size on the intertidal flat along the Jiangsu Coast. With a conceptual figure of the hydrodynamics and shoreline evolution on this coast, we hypothesize that the unexpected pattern is caused by the alongshore gradient in hydrodynamic forcing. In order to test our hypothesis, we carry out a series of numerical model simulations in a highly schematized manner to investigate the real mechanism behind this unexpected pattern. Through the analysis, we find that only the southwards coarsening pattern is inconsistent with the shoreline evolution pattern. This inconsistency is not induced by alongshore hydrodynamic gradient, and can only be explained by different sediment provenances. We also find that the alongshore shoreline evolution pattern is not only determined by the alongshore gradient in hydrodynamic forcing, but also influenced by the alongshore variation in bed composition. In the erosion/sedimentation transition zone, the bed composition factor plays the major role.","Intertidal flat; Beach slope; Sediment grain-size; Bed composition; Jiangsu Coast","en","journal article","","","","","","","","","","Hydraulic Engineering","Coastal Engineering","","",""
"uuid:e4bc1e02-0bb3-477f-b6b9-9ea17ec95f0c","http://resolver.tudelft.nl/uuid:e4bc1e02-0bb3-477f-b6b9-9ea17ec95f0c","Recent Advances and Design Strategies Towards Wearable Near-infrared Spectroscopy","Liu, Shuoyan (National University of Singapore); Xue, Bing (National University of Singapore); Yan, Wenyuan (Northwestern University); Rwei, A.Y. (TU Delft ChemE/Product and Process Engineering); Wu, Changsheng (National University of Singapore)","","2023","With a growing focus on properties of softness, miniaturization, and intelligence, extensive research has been focusing on constructing wearable electronic devices facilitating comfort, wearable health monitoring and diagnosis. Among recent progress in the development of wearable bioelectronics, wearable near-infrared spectroscopy (NIRS) devices demonstrate wide implementation possibilities in multiple health monitoring scenarios. Throughout the years, multiple design strategies have assisted in developing wearable NIRS devices with high wearing comfortability and miniaturized size. This review summarizes the principle of NIRS technology, recent advances in design strategies towards soft, wearable, miniaturized NIRS devices, and the future potential development directions. Based on the discussion of different design strategies, including modular device design, flexible hybrid electronics, and materials innovation, we also pinpoint some development directions for wearable NIRS. The reviewed and proposed research efforts may enhance the applicability and capability of NIRS as an important technology for digital health.","Biomedical monitoring; Blood; Detectors; Light emitting diodes; Monitoring; Nanotechnology; Technological innovation","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:7a6fb4c6-d3ec-42ff-a0ca-a60a51a8e0db","http://resolver.tudelft.nl/uuid:7a6fb4c6-d3ec-42ff-a0ca-a60a51a8e0db","Water Quality Modelling for Nitrate Nitrogen Control Using HEC-RAS: Case Study of Nakdong River in South Korea","Kim, J. (TU Delft Water Resources; IHE Delft Institute for Water Education; K-water); Jonoski, Andreja (IHE Delft Institute for Water Education); Solomatine, D.P. (TU Delft Water Resources; IHE Delft Institute for Water Education; Russian Academy of Sciences); Goethals, Peter L. M. (Universiteit Gent)","","2023","The World Health Organization (WHO) and the U.S. Environmental Protection Agency (EPA) provide guidelines on the maximum levels of nitrate nitrogen (NO3-N) contained in drinking water since excess nitrate ingestion may harm human health. Thus, monitoring and controlling the NO3-N concentration is of paramount importance, especially in sources of drinking water such as the Nakdong River in South Korea. This study addresses NO3-N pollution in the Nakdong River in South Korea, where such pollution mostly comes from diffuse sources in the catchment due to the agricultural use of fertilizers. The objective of this study is to suggest guidelines for designing strategies to control NO3-N in this river using a process-based model developed with HEC-RAS. The model was built based on water quality parameters (water temperature, dissolved oxygen, ammonia nitrogen, etc.) related to NO3-N dynamics incorporating hydraulic and meteorological data. This model simulated NO3-N dynamics downstream under 55 scenarios while focusing on a section near locations of drinking water intakes. The scenarios were constructed based on variations in water quantity and quality upstream. The simulation results showed that the peak concentration of NO3-N downstream could be directly controlled by limiting the NO3-N concentration upstream. Additionally, control of the flow rate upstream could also lead to a reduction in the overall average concentration of NO3-N downstream, but this predominantly occurred when the NO3-N concentration was decreasing. In conclusion, the design and implementation of strategies for the control of NO3-N downstream should be carried out after performing a quantitative analysis of the impact of different control measures for different downstream conditions using a water quality model.","water quality model; HEC-RAS; nitrate nitrogen (NO3-N); Nakdong River; water quantity; guidelines","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:6679f764-1db2-459d-9074-1ca3f97cfbd1","http://resolver.tudelft.nl/uuid:6679f764-1db2-459d-9074-1ca3f97cfbd1","Optimal Sowing Windows under Rainfall Variability in Rainfed Agriculture in West Africa","Agoungbome, David (TU Delft Water Resources); ten Veldhuis, Marie-claire (TU Delft Water Resources); van de Giesen, N.C. (TU Delft Water Resources)","","2023","Climate change is exacerbating adverse impacts of water stress in rainfed agriculture. This paper seeks to identify safe sowing windows for smallholder farmers in the Sudanian region of West Africa (WA). We hypothesize that the traditional focus on the onset of the season to start sowing leads to crop losses in years of high rainfall intermittency. AquaCrop, an FAO crop model, is used to simulate the yield response of maize (Zea mays L.) to sowing dates ranging from the 1st of May to the 30th of November at 20 locations in WA. We find that sowing directly after the first rains carries a higher risk of water stress, hampering crop development due to insufficient buildup of soil water storage to overcome dry spells. Based on three years of data per station on average, we identify safe sowing windows across the Sudanian region that secure optimal yield in 97% of all cases. We find that delaying sowing to mid-June (savanna and western part of the region) and to July (semi-arid region) ensures optimal yields. Of the three commonly applied local onset approaches covered in our evaluation, only LO10mm (10 mm/day on four consecutive days) achieves a similar yield result. The advantage of the safe window approach is that it is accessible for smallholders, who in many cases do not have access to local rainfall information.","onset variability; false start; rainfall intermittency; AquaCrop","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:2604e307-73dd-42b5-a2d2-aa781615c8b8","http://resolver.tudelft.nl/uuid:2604e307-73dd-42b5-a2d2-aa781615c8b8","Mortgage credit and house prices: The housing market equilibrium revisited","van der Drift, R. (TU Delft Real Estate Management); de Haan, J.G. (TU Delft Structural Integrity & Composites); Boelhouwer, P.J. (TU Delft Real Estate Management)","","2023","Over the last decade, house prices have increased substantially in nearly all OECD countries. These house price increases frequently coincided with changes in mortgage credit conditions; i.e., decreases in the interest rate and increases in income. This is in line with existing literature, which finds an equilibrium relationship between mortgage credit and house prices. The literature, however, lacks an analysis of what drives the equilibrium, which we assess in this paper. Moreover, we propose a combination of two explanations discussed in the literature. That is, we argue that lower-income households are bound by credit constraints, while higher-income households have a preference for spending a fixed fraction of income on mortgage payments. We develop theoretical models for all three explanations and test the models using data on the Dutch property market. The empirical results clearly support the combined approach. Overall, the results suggest that it is important to differentiate between types of households when forecasting house prices or assessing the effectiveness of policy interventions.","Cointegration; Debt-service-to-income ratio; Fundamentals; House prices","en","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:b02e5c05-90d5-4b47-8e33-a27aab1bfa47","http://resolver.tudelft.nl/uuid:b02e5c05-90d5-4b47-8e33-a27aab1bfa47","Get rid of the eco-button! Design interventions to steer sustainable use of washing machines","Visser, Mirjam (TU Delft Marketing and Consumer Research); Schoormans, J.P.L. (TU Delft Marketing and Consumer Research)","","2023","To reduce energy consumption of households, many appliances contain eco-settings, which when used, reduce energy consumption. However, the effectivity of the eco-settings in reducing energy consumption is hardly tested. Other design for sustainable behaviour strategies like coercion and feedback might be more effective. To test the effectivity of these three design for sustainable behaviour strategies in reducing the energy consumption of washing machines a 2 × 2 factorial design experiment is conducted. A total of 779 European washing machine users were asked to set washing machine controls for three laundry baskets on one of four control panels. The results showed that eco-settings of the washing machines were used for only 15% of the laundry cycles. Respondents presented with coercion or feedback controls consumed 15% less energy compared to those who were allowed to decide whether to use eco-settings. Few people understood the relation of water temperature and the duration of washing machine programs on energy consumption. Feedback can support their decision processes and prevent unintentional and unsustainable settings. Our research shows that energy efficient washing machines are not necessarily leading to energy reductions because eco-settings are only used in a minority of cases. In this survey, only 6% of the potential 44% savings was realised. The results suggest it would be more effective to always use energy efficient settings, preferably together with feedback and scripting of program menus that solicit the use of short cold cycles. For energy efficiency to be effective, a product must be designed for sustainable behaviour of the user.","Behavioural interventions; Consumer research; Design for sustainable behaviour; Energy efficiency; Nudge; Sustainable consumption","en","journal article","","","","","","","","","","","Marketing and Consumer Research","","",""
"uuid:9da53857-43fd-4f88-b5a7-e8a2bf735e27","http://resolver.tudelft.nl/uuid:9da53857-43fd-4f88-b5a7-e8a2bf735e27","Unravelling the hip-spine dilemma from the CHECK-cohort: Is sagittal pelvic morphology linked to radiographic signs of femoroacetabular impingement?","van Erp, Joost H.J. (University Medical Center Utrecht; Clinical Orthopaedic Research Center; Diakonessenhuis); Gielis, Willem Paul (University Medical Center Utrecht); Arbabi, Vahid (University Medical Center Utrecht; University of Birjand); de Gast, Arthur (Clinical Orthopaedic Research Center; Diakonessenhuis); Weinans, Harrie (TU Delft Biomaterials & Tissue Biomechanics; University Medical Center Utrecht); Kaas, Laurens (St. Antonius Hospital); Castelein, René M. (University Medical Center Utrecht); Schlösser, Tom P.C. (University Medical Center Utrecht)","","2023","Introduction: To date the aetiology of femoroacetabular impingement (FAI) is still not completely understood. There are mechanical theories that suggest symptomatic FAI is linked to sagittal pelvic morphology and spinopelvic-femoral dynamics. The aim of this study is to evaluate the relation of sagittal pelvic morphology and orientation to radiographic signs of FAI. Additionally, we test whether the relation between FAI and spinopelvic parameters differs in osteoarthritic hips. Methods: From a prospective, observational cohort study, 1002 patients between 45 and 65 years old with a first episode of knee or hip pain were followed for 8 years. All patients who had lateral lumbar radiographs and clinical and radiographic follow-up of the hips were included in the present study. Range of internal rotation of the hip as well as radiographic signs of FAI (alpha and Wiberg angle) and presence of hip osteoarthritis (Kellgren and Lawrence) were systematically measured at baseline. Pelvic incidence (PI), pelvic tilt (PT), sacral slope (SS)) were measured at 8-year follow-up. Associations between PI, PT, SS and FAI parameters were tested using generalised estimating equations. Results: 421 subjects, 842 hips, were included. No significant relations between PI, PT or SS and alpha or Wiberg angle were found. Comparison of hips with and without radiological sign(s) of FAI showed no differences in PI, PT or SS. There was no relation between range of internal rotation of the hip and spinopelvic parameters. Conclusion: Sagittal pelvic morphology and orientation are not related to the presence of radiological signs of FAI in this study population.","FAI; femoroacetabular impingement; pelvic incidence; pelvic morphology","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:c8de2434-d5fb-4037-bd0a-4ff08de7aefc","http://resolver.tudelft.nl/uuid:c8de2434-d5fb-4037-bd0a-4ff08de7aefc","Molecular dynamics simulation and experimental validation on the interfacial diffusion behaviors of rejuvenators in aged bitumen","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Gao, Y. (TU Delft Pavement Engineering); Jing, R. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Wang, H. (University of Nottingham)","","2023","This study aims to multiscale investigate the effects of rejuvenator type, temperature, and aging degree of bitumen on the diffusion behaviors of rejuvenators (bio-oil BO, engine-oil EO, naphthenic-oil NO, and aromatic-oil AO) in aged binders. The molecular dynamics (MD) simulation method is performed to detect the molecular-level diffusion characteristics of rejuvenators and predict their diffusion coefficient (D) parameters. At an atomic scale, the mutual but partial interfacial diffusion feature between rejuvenators and aged bitumen molecules is observed. Moreover, Fick’s Second Law well fits the concentration distribution of rejuvenator molecules in aged bitumen. The magnitude for D values of four rejuvenators varies from 10-11 to 10-10 m2/s, and the diffusive capacity order is BO > EO > NO > AO. Meanwhile, diffusion tests and dynamic shear rheometer (DSR) characterizations are employed to validate the MD simulation outputs. The experimental results in magnitude and order of D values agree well with MD simulation outputs. Lastly, the increased aging degree of bitumen exhibits a negative impact on the molecular diffusivity of BO, EO, and NO rejuvenators, while the D value of AO molecules enlarges as the aging level deepens. The underlying mechanism may be composed of the free volume fraction in aged bitumen and the intermolecular force between rejuvenator and aged bitumen molecules, which differs remarkably for various rejuvenators.","Diffusion behavior; Rejuvenator; Aged bitumen; Molecular dynamics simulation; Experimental validation; Influence factors","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:87b93d6d-26b0-499b-8090-445e7a902bcd","http://resolver.tudelft.nl/uuid:87b93d6d-26b0-499b-8090-445e7a902bcd","Assessing the Use of Sentinel-2 Data for Spatio-Temporal Upscaling of Flux Tower Gross Primary Productivity Measurements","Spinosa, A. (TU Delft Mathematical Physics; Deltares); Fuentes-Monjaraz, Mario A. (Deltares); El Serafy, G.Y.H. (TU Delft Mathematical Physics; Deltares)","","2023","The conservation, restoration and sustainable use of wetlands is the target of several international agreements, among which are the Sustainable Development Goals (SDGs). Earth Observation (EO) technologies can assist national authorities in monitoring activities and the environmental status of wetlands to achieve these targets. In this study, we assess the capabilities of the Sentinel-2 instrument to model Gross Primary Productivity (GPP) as a proxy for the monitoring of ecosystem health. To estimate the spatial and temporal variation of GPP, we develop an empirical model correlating in situ measurements of GPP, eight Sentinel-2 derived vegetation indexes (VIs), and different environmental drivers of GPP. The model automatically performs an interdependency analysis and selects the model with the highest accuracy and statistical significance. Additionally, the model is upscaled across larger areas and monthly maps of GPP are produced. The study methodology is applied in a marsh ecosystem located in Doñana National Park, Spain. In this application, a combination of the red-edge chlorophyll index (CLr) and rainfall data results in the highest correlation with in situ measurements of GPP and is used for the model formulation. This yields a coefficient of determination (R 2) of 0.93, Mean Absolute Error (MAE) equal to 0.52 gC m −2 day −1, Root Mean Squared Error (RMSE) equal to 0.63 gC m −2 day −1, and significance level p < 0.05. The model outputs are compared with the MODIS GPP global product (MOD17) for reference; an enhancement of the estimation of GPP is found in the applied methodology.","SDGs; EO; GPP; Sentinel-2; ecosystem health","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:0a2fca8e-3aea-4e3f-8f38-d4aa5c052c45","http://resolver.tudelft.nl/uuid:0a2fca8e-3aea-4e3f-8f38-d4aa5c052c45","Geophysical and Remote Sensing Assessment of Chad’s Groundwater Resources","Mohamed, Ahmed (Assiut University); Abdelrady, Ahmed (TU Delft Water Resources); Alarifi, Saad S. (King Saud University); Othman, Abdullah (Umm Al-Qura University)","","2023","Because of climate change and human activity, North and Central Africa are experiencing a significant water shortage. Recent advancements in earth observation technologies have made widespread groundwater monitoring possible. To examine spatial and temporal mass fluctuations caused by groundwater variations in Chad, gravity solutions from the Gravity Recovery and Climate Experiment (GRACE), climatic model outputs, and precipitation data are integrated. The results are as follows: (1) The investigated region experienced average annual precipitation (AAP) rates of 351.6, 336.22, and 377.8 mm yr−1, throughout the overall investigation period (04/2002–12/2021), Period I (04/2002–12/2011), and Period II (01/2012–12/2021), respectively. (2) Using the three gravity solutions, the average Terrestrial Water Storage Variations (ΔTWS) values are estimated to be +0.26 ± 0.04, +0.006 ± 0.10, and +0.64 ± 0.12 cm yr−1, for the overall study period, periods I, and II, respectively. (3) Throughout the full period, periods I, and II, the groundwater storage fluctuations (ΔGWS) are calculated to be +0.25 ± 0.04, +0.0001 ± 0.099, and +0.62 ± 0.12 cm yr−1, respectively after removing the soil moisture (ΔSMS) and Lake Chad water level trend values. (4) The country receives an average natural recharge rate of +0.32 ± 0.04, +0.068 ± 0.099, and +0.69 ± 0.12 cm yr−1, throughout the whole period, Periods I, and II, respectively. (5) The southern mountainous regions of Erdi, Ennedi, Tibesti, and Darfur are receiving higher rainfall rates that may recharge the northern part of Chad through the stream networks; in addition to the Lake Chad and the higher rainfall over southern Chad might help recharge the central and southern parts of the country. (6) A preferred groundwater flow path from the Kufra (Chad and Libya) to the Dakhla basin (Egypt) appears to be the Pelusium mega shear system, which trends north-east. The findings suggest that GRACE is useful for monitoring changes in groundwater storage and recharge rates across large areas. Our observation-based methodology provides a unique understanding of monthly ground-water patterns at the state level, which is essential for successful interstate resource allocation, future development, and policy initiatives, as well as having broad scientific implications for arid and semiarid countries.","geophysics; time-variable gravity data; terrestrial water storage; groundwater resources; recharge; Chad","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:af0e1bf4-8d9c-4288-8af8-28a1d9beaba4","http://resolver.tudelft.nl/uuid:af0e1bf4-8d9c-4288-8af8-28a1d9beaba4","PyBanshee version (1.0): A Python implementation of the MATLAB toolbox BANSHEE for Non-Parametric Bayesian Networks with updated features","Mendoza Lugo, M.A. (TU Delft Hydraulic Structures and Flood Risk); Morales Napoles, O. (TU Delft Hydraulic Structures and Flood Risk); Paprotny, D. (TU Delft Hydraulic Structures and Flood Risk); Koot, P.J.P.; Ragno, E. (TU Delft Hydraulic Structures and Flood Risk)","","2023","In this paper we discuss PyBanshee, which is a Python-based open-source implementation of the MATLAB toolbox BANSHEE. PyBanshee constitutes the first fully open-source package to quantify, visualize and validate Non-Parametric Bayesian Networks (NPBNs). The architecture of PyBanshee is heavily based on its MATLAB predecessor. It presents the full implementation of existing tools and introduces new modules. Specifically, PyBanshee allows for: (i) choosing fully parametric one-dimensional margins, (ii) choosing different sample sizes for the model-validation tests based on the Hellinger distance, (iii) drawing user-defined sample sizes of the NPBN, (iv) sample-based conditioning sampling (similarly to the closed-source proprietary package UNINET by LightTwist Software) and (v) visualizing the comparison between the histograms of the unconditional and conditional marginal distributions. New detailed examples demonstrating new features are provided.","Bayesian network; Copulas; Python; Non-Parametric Bayesian Networks; BANSHEE; Python library","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:70213029-2de4-4fab-b0ca-b5ebb27188e6","http://resolver.tudelft.nl/uuid:70213029-2de4-4fab-b0ca-b5ebb27188e6","On the identification of hypoxic regions in subject-specific cerebral vasculature by combined CFD/MRI","Perinajová, R. (TU Delft ChemE/Transport Phenomena; J.M. Burgers Centre for Fluid Mechanics); van Ooij, Pim (Amsterdam UMC); Kenjeres, S. (TU Delft ChemE/Transport Phenomena; J.M. Burgers Centre for Fluid Mechanics)","","2023","A long-time exposure to lack of oxygen (hypoxia) in some regions of the cerebrovascular system is believed to be one of the causes of cerebral neurological diseases. In the present study, we show how a combination of magnetic resonance imaging (MRI) and computational fluid dynamics (CFD) can provide a noninvasive alternative for studying blood flow and transport of oxygen within the cerebral vasculature. We perform computer simulations of oxygen mass transfer in the subject-specific geometry of the circle of Willis. The computational domain and boundary conditions are based on four-dimensional (4D)-flow MRI measurements. Two different oxygen mass transfer models are considered: passive (where oxygen is treated as a dilute chemical species in plasma) and active (where oxygen is bonded to haemoglobin) models. We show that neglecting haemoglobin transport results in a significant underestimation of the arterial wall mass transfer of oxygen. We identified the hypoxic regions along the arterial walls by introducing the critical thresholds that are obtained by comparison of the estimated range of Damköhler number (Da, 〈9; 57〉) with the local Sherwood number. Finally, we recommend additional validations of the combined MRI/CFD approach proposed here for larger groups of subject- or patient-specific brain vasculature systems.","Alzheimer; brain; CFD; hypoxia; MRI; oxygen transport","en","journal article","","","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:cadcc4aa-87df-4cd9-a1b5-508c6c6c4480","http://resolver.tudelft.nl/uuid:cadcc4aa-87df-4cd9-a1b5-508c6c6c4480","Sex-based differences in nuclear medicine imaging and therapy","Slart, Riemer H.J.A. (University Medical Center Groningen; University of Twente); de Geus-Oei, L.F. (TU Delft RST/Radiation, Science and Technology; University of Twente; Leiden University Medical Center); Stevens, Jasper (University Medical Center Groningen); Elsinga, Philip A. (University Medical Center Groningen); Ivashchenko, O. (TU Delft RST/Biomedical Imaging; University Medical Center Groningen); Erba, Paola A. (University Medical Center Groningen; Papa Giovanni XXIII Hospital, Bergamo)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","RST/Radiation, Science and Technology","RST/Biomedical Imaging","","",""
"uuid:89a98edb-45e1-4645-bc8b-867b86870914","http://resolver.tudelft.nl/uuid:89a98edb-45e1-4645-bc8b-867b86870914","A Comparative Study of Optimization Models for Condition-Based Maintenance Scheduling of an Aircraft Fleet","Tseremoglou, I. (TU Delft Air Transport & Operations); van Kessel, Paul J. (KLM Royal Dutch Airlines); Santos, Bruno F. (TU Delft Air Transport & Operations)","","2023","Condition-based maintenance (CBM) scheduling of an aircraft fleet in a disruptive environment while considering health prognostics for a set of systems is a very complex combinatorial problem, which is becoming more challenging in light of the uncertainty included in health prognostics. This type of problem falls under the broad category of resource-constrained scheduling problems under uncertainty and is often solved using a mixed integer linear programming (MILP) formulation. While a MILP framework is very promising, the problem size can scale exponentially with the number of considered aircraft and considered tasks, leading to significantly high computational costs. The most recent advances in artificial intelligence have demonstrated the capability of deep reinforcement learning (DRL) algorithms to alleviate this curse of dimensionality, as once the DRL agent is trained, it can achieve real-time optimization of the maintenance schedule. However, there is no guarantee of optimality. These comparative merits of a MILP and a DRL formulation for the aircraft fleet maintenance scheduling problem have not been discussed in the literature. This study is a response to this research gap. We conduct a comparison of a MILP and a DRL scheduling model, which are used to derive the optimal maintenance schedule for various maintenance scenarios for aircraft fleets of different sizes in a disruptive environment, while considering health prognostics and the available resources for the execution of each task. The quality of solutions is evaluated on the basis of four planning objectives, defined according to real airline practice. The results show that the DRL approach achieves better results with respect to scheduling of prognostics-driven tasks and requires less computational time, whereas the MILP model produces more stable maintenance schedules and induces less maintenance ground time. Overall, the comparison provides valuable insights for the integration of health prognostics in airline maintenance practice.","condition-based maintenance (CBM); partially observable markov decision process (POMDP); partially observable Monte Carlo planning (POMCP); deep reinforcement learning (DRL); mixed integer linear programming (MILP); planning under uncertainty","en","journal article","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:19c22bec-bf75-42ca-b1f4-6e6d340571be","http://resolver.tudelft.nl/uuid:19c22bec-bf75-42ca-b1f4-6e6d340571be","A Bayesian-based framework for advanced nature-based tourism model","Norouzi Isfahani, Roxana (University of Florence); Talaee Malmiri, Ahmad (University of Tehran); BahooToroody, Ahmad (University of Florence); Abaei, M.M. (TU Delft Ship Design, Production and Operations)","","2023","Purpose: Nature-based tourism (NBT) blossoming requires sound monitoring models to maximize its potential in the tourism industry. Cooperation of different segments from nature to economy will lead to a sustainable NBT. Therefore, the qualitative and quantitative relation between these subdivisions has to be investigated. Design/methodology/approach: This paper proposes an advanced NBT model for the design of an optimum tourism system. To this end, Bayesian network (BN) has been implemented to characterize the impact of each subsector on NBT. Findings: The outcomes of this study can help the tourism managers, policymakers and related organizations to find the optimum approach to achieve a continuous improvement in the system. To demonstrate the applicability of the methodology, two cases of observations are considered. Originality/value: The originality of the work is well demonstrated in the literature review of the paper.","Bayesian network; Dynamic modeling; Nature-based tourism","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:422d8063-020d-4edc-b274-eff3a9589c0d","http://resolver.tudelft.nl/uuid:422d8063-020d-4edc-b274-eff3a9589c0d","Approaching Extracurricular Activities for Teaching and Learning on Sustainable Rehabilitation of Mass Housing: Reporting from the Arena of Architectural Higher Education","Dragutinovic, Anica (TU Delft Heritage & Architecture; Technische Hochschule Ostwestfalen-Lippe); Milovanović, Aleksandra (University of Belgrade); Stojanovski, Mihajlo (SS Cyril and Methodius University); Damjanovska, Tea (SS Cyril and Methodius University); Ðordevic, Aleksandra (University of Belgrade); Nikezić, Ana (University of Belgrade); Pottgiesser, U. (TU Delft Heritage & Architecture; Technische Hochschule Ostwestfalen-Lippe); Deskova, Ana Ivanovska (SS Cyril and Methodius University); Ivanovski, Jovan (SS Cyril and Methodius University)","","2023","The article presents the potentials and capacities of extracurricular activities such as student workshops for strengthening existing curricula and introducing emerging specialised areas, topics, and challenges into architectural higher education. The specific objective of this study is to enhance and test different pedagogical models for learning on the sustainable rehabilitation of mass housing neighbourhoods (MHN), as a specific type of modern heritage, through innovative extracurricular teaching practices based on interdisciplinarity, flexibility, and adaptability. This research presents three student workshops focusing on the rehabilitation of mass housing neighbourhoods (MHN), involving students, academics, and professionals from the field, organised in Germany, Serbia, and North Macedonia in 2022. Moreover, it engages a comparative analysis of the learning formats and approaches developed within this discipline-specific cross-border collaboration. The study provides (1) an insight into the comparative analysis of learning capabilities and (2) the formulation of workshop models supported by diagramming of the workshop structure. The conclusion of the article summarises the findings and highlights the essential aspects for engaging student workshops, as an instrument for generating operational knowledge in the field of mass housing rehabilitation.","extracurricular activities; extracurricular learning formats; student workshops; workshop models; pedagogical models; architectural higher education; mass housing neighbourhoods; sustainable rehabilitation","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:cfe9235a-cafd-4936-b109-c540b4fd6fbf","http://resolver.tudelft.nl/uuid:cfe9235a-cafd-4936-b109-c540b4fd6fbf","Sub-diffusion flow velocimetry with number fluctuation optical coherence tomography","Cheishvili, K. (TU Delft ImPhys/Kalkman group); Kalkman, J. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Kalkman group)","","2023","We have implemented number fluctuation dynamic light scattering optical coherence tomography (OCT) for measuring extremely slow, sub-diffusion flows of dilute particle suspensions using the second-order autocovariance function. Our method has a lower minimum measurable velocity than conventional correlation-based OCT or phase-resolved Doppler OCT, as the velocity estimation is not affected by the particle diffusion. Similar to non-dilute correlation-based OCT, our technique works for any Doppler angle. With our analysis we can quantitatively determine the concentration of particles under flow. Finally, we demonstrate 2D sub-diffusion flow imaging with a scanning OCT system at high rate by performing number fluctuation correlation analysis on subsequent B-scans","OA-Fund TU Delft","en","journal article","","","","","","","","","","","ImPhys/Kalkman group","","",""
"uuid:a39e8911-425c-4365-ab98-03d7b4280291","http://resolver.tudelft.nl/uuid:a39e8911-425c-4365-ab98-03d7b4280291","Induced seismicity: a global phenomenon with special relevance to the Dutch subsurface","Pluymakers, Anne (TU Delft Applied Geophysics and Petrophysics); Muntendam-Bos, A.G. (TU Delft Applied Geophysics and Petrophysics; Staatstoezicht op de Mijnen); Niemeijer, André (Universiteit Utrecht)","","2023","","","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:f3def408-0808-4f86-81a9-479d96d7914c","http://resolver.tudelft.nl/uuid:f3def408-0808-4f86-81a9-479d96d7914c","Flood susceptibility mapping using multi-temporal SAR imagery and novel integration of nature-inspired algorithms into support vector regression","Mehravar, Soroosh (University of Tehran); Razavi-Termeh, Seyed Vahid (Sejong University); Moghimi, Armin (K.N. Toosi University of Technology; Leibniz Universität); Ranjgar, Babak (K.N. Toosi University of Technology); Foroughnia, Fatemeh (TU Delft Geo-engineering); Amani, Meisam (Wood Environment and Infrastructure Solutions)","","2023","Flood has long been known as one of the most catastrophic natural hazards worldwide. Mapping flood-prone areas is an important part of flood disaster management. In this study, a flood susceptibility mapping framework was developed based on a novel integration of nature-inspired algorithms into support vector regression (SVR). To this end, various remote sensing (RS) and geographic information system (GIS) datasets were applied to the hybridized SVR models to map flood susceptibility in Ahwaz township, Iran. The proposed framework has two main steps: 1) updating the flood inventory (historical flooded locations) using the proposed RS-based flood detection method developed within the google earth engine (GEE) platform. The mosaicked images of multi-temporal Sentinel-1 synthetic aperture radar (SAR) data have been used in this step; 2) producing flood susceptibility map using the standalone SVR and hybridized model of SVR. The hybridized methods were derived from a novel integration of SVR with meta-heuristic algorithms, hence forming the SVR-bat algorithm (SVR-BA), SVR-invasive weed optimization (SVR-IWO), and SVR-firefly algorithm (SVR-FA). A spatial database of flood locations and 11 conditioning factors (altitude, slope angle, aspect, topographic wetness index, stream power index, normalized difference vegetation index (NDVI), distance to stream, curvature, rainfall, soil type, and land use/cover) were built for the susceptibility modelling. The accuracy of the proposed model was evaluated using the statistical and sensitivity indices, such as root mean square error (RMSE), receiver operating characteristic (ROC) and area under the ROC curve (AUROC) index. The results indicated that all hybridized models outperformed the standalone SVR. According to AUROC values, the predictive power of the SVR-FA was the highest with the value of 0.81, followed by SVR-IWO, SVR-BA, and SVR with values of 0.80, 0.79, and 0.77, respectively.","Flood susceptibility mapping; Nature-inspired algorithms; Remote sensing; SAR imagery; Support vector regression (SVR)","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:9c5800b6-8475-4c9f-b0a4-d6397f7eaaae","http://resolver.tudelft.nl/uuid:9c5800b6-8475-4c9f-b0a4-d6397f7eaaae","Embodiment takes command: Re-enacting Aldo and Hannie van Eyck’s homelife","Campos Uribe, A. (TU Delft Space & Type); Lacomba Montes, P. (TU Delft Space & Type)","","2023","Grounded in an experiential understanding of architecture, this research explores ways in which architectural history can help bring works or ideas more vividly to the present. We propose here an embodied visit to Aldo and Hannie van Eyck’s house in Loenen aan de Vecht. In the house, layers of temporality, materiality, everyday living, and lived experience mingle with design solutions and worldviews affecting them. By immersing into the materiality of the Van Eycks’ home, the paper offers a lively, intensive, and qualitative understanding of the design and its connections with the architect’s contributions to post-war architectural discourses. The experiential account uses a mix of archival, ethnographic, and performative techniques, a proposed method that adds a necessary degree of complexity to architectural history. The method enacts a new form of knowledge where our bodies inform the findings, from materiality to meaning, and connects to new architectural history approaches, namely Architectural Anthropology and Performative Design Research. With all these elements, we are proposing a rich, empirical account of the project by means of three re-enactments of the Van Eycks’ homelife: a visit to the attic, table talk under the skylight, and a lively lunch in the garden. The account offers deep insights into how architectural ideas take material form, showing that specific ways of understanding history, time, or space, are indeed embodied within our built environment and that they can only be disentangled, with the help of our bodies, by performing actions within, in and around buildings.","embodiment; team 10; post-war architecture; multiculturalism","en","journal article","","","","","","","","","","","Space & Type","","",""
"uuid:30d4fe55-6c64-4cfe-9fea-b8ee149ccd90","http://resolver.tudelft.nl/uuid:30d4fe55-6c64-4cfe-9fea-b8ee149ccd90","Fijner en gezonder werken in een coherent kantoor","van der Voordt, Theo (TU Delft Real Estate Management)","","2023","Behalve voor flexibele, activiteit-gerelateerde werkomgevingen, hybride werken en slimme, gezonde en duurzame kantoren tekent zich ook een toenemende belangstelling af voor coherente kantoren. Dit artikel beschrijft wat dit concept inhoudt en hoe gebouweigenschappen en workplace management hieraan kunnen bijdragen. Het laat ook zien dat verschillende dilemma’s niet eenvoudig zijn op te lossen.","","nl","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:37c1b216-cfda-4c35-ab60-532ee41a0449","http://resolver.tudelft.nl/uuid:37c1b216-cfda-4c35-ab60-532ee41a0449","Profiling Students Based on the Overlap between IEQ and Psychosocial Preferences of Study Places","Hamida, A.B. (TU Delft Environmental & Climate Design); Eijkelenboom, A.M. (TU Delft Environmental & Climate Design); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","Research has shown that students differ in their preferences of indoor environmental quality (IEQ) and psychosocial aspects of their study places. Since previous studies have mainly focused on identifying these preferences rather than investigating the different profiles of students, this study aimed at profiling students based on their IEQ and psychosocial preferences of their study places. A questionnaire was completed by 451 bachelor students of the faculty of Architecture and the Built Environment. A TwoStep cluster analysis was performed twice separately. First, to cluster the students based on their IEQ preferences, and second based on their psychosocial preferences. This resulted in three clusters under each cluster model. Then, the overlap between these two models was determined and produced nine unique profiles of students, which are: (1) the concerned perfectionist, (2) the concerned extrovert, (3) the concerned non-perfectionist, (4) the visual concerned perfectionist, (5) the visual concerned extrovert, (6) visual concerned non-perfectionist, (7) the unconcerned introvert, (8) the unconcerned extrovert, and (9) the unconcerned non-perfectionist. A number of variables was found to be significantly different among these profiles. This study’s outcome indicates that studying the overlap between IEQ and psychosocial preferences is required to understand the different possible profiles of students.","IEQ preferences; psychosocial preferences; twostep cluster analysis; study place; students’ profiles","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:99e61fe2-94c4-4cca-9622-2b6ed535a42d","http://resolver.tudelft.nl/uuid:99e61fe2-94c4-4cca-9622-2b6ed535a42d","On the Problem of Double-Filtering in PPP-RTK","Khodabandeh, A. (University of Melbourne); Teunissen, P.J.G. (TU Delft Mathematical Geodesy and Positioning; University of Melbourne; Curtin University); Psychas, D. (European Space Agency (ESA))","","2023","To obtain single-receiver Global Navigation Satellite System (GNSS) parameter solutions, the PPP-RTK user-filter combines measurements with time-correlated corrections that are separately computed by the filter of an external provider. The consequence of exercising such double-filtering is that the Kalman filter’s standard assumption of having uncorrelated measurements in time becomes violated. This leads the user-filter to lose its ‘minimum variance’ property, thereby delivering imprecise parameter solutions. The solutions’ precision-loss becomes more pronounced when one experiences an increase in the correction latency, i.e., the delay in time after the corrections are estimated and the time they are applied to the user measurements. In this contribution, we propose a new multi-epoch formulation for the PPP-RTK user-filter upon which both the uncertainty and the temporal correlation of the corrections are incorporated. By a proper augmentation of the user-filter state-vector, the corrections are jointly measurement-updated with the user parameter solutions. Supported by numerical results, the proposed formulation is shown to outperform its commonly used counterpart in the minimum-variance sense.","double-filtering; global navigation satellite system (GNSS); integer ambiguity resolution enabled precise point positioning (PPP-RTK); Kalman filter; time-correlated corrections","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:b4e47a03-5184-4d93-9b6c-c3eae7a58c45","http://resolver.tudelft.nl/uuid:b4e47a03-5184-4d93-9b6c-c3eae7a58c45","The risks of autonomous machines: from responsibility gaps to control gaps","Hindriks, Frank (Rijksuniversiteit Groningen); Veluwenkamp, H.M. (TU Delft Ethics & Philosophy of Technology)","","2023","Responsibility gaps concern the attribution of blame for harms caused by autonomous machines. The worry has been that, because they are artificial agents, it is impossible to attribute blame, even though doing so would be appropriate given the harms they cause. We argue that there are no responsibility gaps. The harms can be blameless. And if they are not, the blame that is appropriate is indirect and can be attributed to designers, engineers, software developers, manufacturers or regulators. The real problem lies elsewhere: autonomous machines should be built so as to exhibit a level of risk that is morally acceptable. If they fall short of this standard, they exhibit what we call ‘a control gap.’ The causal control that autonomous machines have will then fall short of the guidance control they should emulate.
−2β and Ã−2˜β, respectively, and derive necessary and sufficient conditions on A, à and β, ˜β > 0 for I. equivalence of the measures μ and ˜μ, and II. uniform asymptotic optimality of linear predictions for μ based on the misspecified measure ˜μ. These results hold, e.g., for Gaussian processes on compact metric spaces. As an important special case, we consider the class of generalized Whittle–Matérn Gaussian random fields, where A and à are elliptic second-order differential operators, formulated on a bounded Euclidean domain D ⊂ Rd and augmented with homogeneous Dirichlet boundary conditions. Our outcomes explain why the predictive performances of stationary and non-stationary models in spatial statistics often are comparable, and provide a crucial first step in deriving consistency results for parameter estimation of generalized Whittle–Matérn fields.","elliptic differential operators; Gaussian measures; kriging; Whittle–Matérn fields","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","","Analysis","","",""
"uuid:6fece11b-8aff-4e20-a670-382cf0c1ab80","http://resolver.tudelft.nl/uuid:6fece11b-8aff-4e20-a670-382cf0c1ab80","Improving rowing performance by adjusting oar blade size and angle","van Nieuwburg, W.C.A.M. (Student TU Delft); van Spreuwel, B.J.J. (Student TU Delft); Tran, Minh Tâm Kevin (Student TU Delft); Yang, M.D. (Student TU Delft); Greidanus, A.J. (TU Delft Fluid Mechanics); Mulder, G. (Student TU Delft); Tummers, M.J. (TU Delft Fluid Mechanics); Westerweel, J. (TU Delft Fluid Mechanics); Suijker, W. (Maritime Research Institute Netherlands (MARIN)); van Wijk, R. (Maritime Research Institute Netherlands (MARIN))","","2023","The principal aim of the work presented here is to investigate and demonstrate that a forward tilted rowing blade would result in a more efficient and effective motion of the blade through the water that would result in a higher boat speed when an equal input power is provided. A 1:5 scaled rowing boat is used to determine the performance of rowing blades with different sizes and blade angles. This is used to validate the results of a previous study where the optimal blade angle of 15 (Formula presented.) with respect to the oar shaft was determined (1). The input power and speed of the rowing boat can be compared between original and modified oar blades. Measurements in a towing tank demonstrate that a modified rowing blade result in faster rowing by 0.4% at the same input power. Maintaining the same stroke rate, the improvement of the blade efficiency is compensated by using a 4–6% increased blade area to yield the same input power.","rowing blade; performance; efficiency; optimisation; robot","en","journal article","","","","","","","","","","","Fluid Mechanics","","",""
"uuid:61c3eca8-b287-4e32-9257-b9bfcc059eb5","http://resolver.tudelft.nl/uuid:61c3eca8-b287-4e32-9257-b9bfcc059eb5","Discussion of “Risk Propagation in Multilayer Heterogeneous Network of Coupled System of Large Engineering Project”","Ökmen, Ö. (TU Delft Integral Design & Management)","","2023","","","en","journal article","","","","","","","","2023-09-11","","","Integral Design & Management","","",""
"uuid:843d3c74-cbbc-4e3a-9b4a-bc65f22063f7","http://resolver.tudelft.nl/uuid:843d3c74-cbbc-4e3a-9b4a-bc65f22063f7","Games in Times of a Pandemic: Structured Overview of COVID-19 Serious Games","Kermavnar, T. (TU Delft Design Aesthetics); Visch, V.T. (TU Delft Design Aesthetics); Desmet, P.M.A. (TU Delft Human-Centered Design)","","2023","Background: The COVID-19 pandemic introduced an urgent need for effective strategies to disseminate crucial knowledge and improve people’s subjective well-being. Complementing more conventional approaches to knowledge dissemination, game-based interventions were developed to create awareness and educate people about the pandemic, hoping to change their
attitudes and behavior.
Objective: This study provided an overview and analysis of digital and analog game-based interventions in the context of the COVID-19 pandemic. As major pandemics and other large-scale disruptive events are expected to increase in frequency in the coming decades, this analysis aimed to inform the design, uptake, and effects of similar future interventions.
Methods: From November 2021 to April 2022, Scopus, Google, and YouTube were searched for articles and videos describing COVID-19–themed game-based interventions. Information regarding authorship, year of development or launch, country of origin, license, deployment, genre or type, target audience, player interaction, in-game goal, and intended transfer effects was extracted. Information regarding intervention effectiveness was retrieved where possible.
Results: A diverse assortment of 23 analog and 43 digital serious games was identified, approximately one-third of them (25/66, 38%) through scientific articles. Most of these games were developed by research institutions in 2020 (13/66, 20%) and originated in Europe and North America (38/66, 58%). A limited number (20/66, 30%) were tested on relatively small samples, using a
diversity of research methods to assess the potential changes in participants’ knowledge, attitudes, and behaviors as well as their
gameplay experience. Although most of the evaluated games (11/20, 55%) effectively engaged and motivated the players, increased awareness, and improved their understanding of COVID-19–related issues, the games’ success in influencing people’s behavior was often unclear or limited.
Conclusions: To increase the impact of similar future interventions aimed at disseminating knowledge and influencing people’s attitudes and behaviors during a large-scale crisis, some considerations are suggested. On the basis of the study results and informed by existing game theories, recommendations are made in relation to game development, deployment, and distribution;
game users, design, and use; game design terminology; and effectiveness testing for serious games.","COVID-19; serious game; game-based intervention","en","journal article","","","","","","","","","","Human-Centered Design","Design Aesthetics","","",""
"uuid:28437162-5a09-49c6-944e-ed2df40c0bf2","http://resolver.tudelft.nl/uuid:28437162-5a09-49c6-944e-ed2df40c0bf2","Direct numerical simulation of one-sided forced thermal convection in plane channels","Pirozzoli, Sergio (Sapienza University of Rome); Modesti, D. (TU Delft Aerodynamics)","","2023","We carry out direct numerical simulations (DNS) of turbulent flow and heat transfer in pressure-driven plane channels, by considering cases with heating on both walls, as well as asymmetric heating limited to one of the channel walls. Friction Reynolds numbers up to are considered, and Prandtl numbers from to, the temperature field being regarded as a passive scalar. Whereas cases with symmetric heating show close similarity between the temperature and the streamwise velocity fields, with turbulent structures confined to either half of the channel, in the presence of one-sided heating the temperature field exhibits larger regions with coherent fluctuations extending beyond the channel centreline. Validity of the logarithmic law for the mean temperature is confirmed, as well as universality of the associated von Kármán constant, which we estimate to be. Deviations from the logarithmic behaviour are much clearer in cases with one-sided heating, which feature a wide outer region with parabolic mean temperature profile. The DNS data are exploited to construct a predictive formula for the heat transfer coefficient as a function of both Reynolds and Prandtl number. We find that the reduction of the thermal efficiency in the one-sided case is approximately at unit Prandtl number; however, it can become much more significant at low Prandtl number.","turbulence simulation; turbulent boundary layers","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:f25249d0-30c7-4c10-99d1-5ac906dbaa47","http://resolver.tudelft.nl/uuid:f25249d0-30c7-4c10-99d1-5ac906dbaa47","The Thue–Morse Sequence in Base 3/2","Dekking, F.M. (TU Delft Applied Probability; Centrum Wiskunde & Informatica (CWI))","","2023","We discuss the base 3/2 representation of the natural numbers. We prove that the sum-of-digits function of the representation is a fixed point of a 2-block substitution on an infinite alphabet, and that this implies that sum-of-digits function modulo 2 of the representation is a fixed point x3/2 of a 2-block substitution on {0,1}. We prove that x3/2 is invariant for taking the binary complement, and present a list of conjectured properties of x3/2, which we think will be hard to prove. Finally, we make a comparison with a variant of the base 3/2 representation, and give a general result on p-q-block substitutions.","Base 3/2; sum of digits; Thue–Morse sequence; two-block substitution","en","journal article","","","","","","","","","","","Applied Probability","","",""
"uuid:0c424cde-eb5d-4bb1-bc28-018b9982fa52","http://resolver.tudelft.nl/uuid:0c424cde-eb5d-4bb1-bc28-018b9982fa52","Inhabiting Regional Geographical Practice in a Climate-Changing World","Taylor, Zac (TU Delft Urban Development Management)","","2023","Reflecting on the limits of the sovereign-state centricity of mainstream contemporary climate action, Peter Taylor calls for new forms of regional geographical analysis and intervention. What might these aims and ambitions look and feel like for geographers? With this commentary, I take up Taylor's propositions through personal reflection on the work of “doing regional geography” in this current juncture of transnational climate action and transformation. I engage with the analytical challenges associated with regional climate research today – in my case, by way of financialized climate governance puzzles in Florida and the Netherlands. I also discuss how deconstructive and reconstructive approaches to knowledge production enliven my regional geographical engagement, but also generate new personal and disciplinary dilemmas. With this brief note, I hope to reinforce continued reflection on how geographers might take up – or inhabit – timely calls for regional analysis and intervention in climate-changing regions.","Regional geography; climate change; knowledge production; Florida; The Netherlands; financialization","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:0fb0ff96-1365-440a-8ae1-23397b3df03a","http://resolver.tudelft.nl/uuid:0fb0ff96-1365-440a-8ae1-23397b3df03a","Six-Center Assessment of CNN-Transformer with Belief Matching Loss for Patient-Independent Seizure Detection in EEG","Peh, Wei Yan (Nanyang Technological University); Thangavel, Prasanth (Nanyang Technological University); Yao, Yuanyuan (Katholieke Universiteit Leuven); Thomas, John (McGill University, Montreal Neurological Institute and Hospital); Tan, Yee Leng (National Neuroscience Institute of Singapore); Dauwels, J.H.G. (TU Delft Signal Processing Systems)","","2023","Neurologists typically identify epileptic seizures from electroencephalograms (EEGs) by visual inspection. This process is often time-consuming, especially for EEG recordings that last hours or days. To expedite the process, a reliable, automated, and patient-independent seizure detector is essential. However, developing a patient-independent seizure detector is challenging as seizures exhibit diverse characteristics across patients and recording devices. In this study, we propose a patient-independent seizure detector to automatically detect seizures in both scalp EEG and intracranial EEG (iEEG). First, we deploy a convolutional neural network with transformers and belief matching loss to detect seizures in single-channel EEG segments. Next, we extract regional features from the channel-level outputs to detect seizures in multi-channel EEG segments. At last, we apply post-processing filters to the segment-level outputs to determine seizures' start and end points in multi-channel EEGs. Finally, we introduce the minimum overlap evaluation scoring as an evaluation metric that accounts for minimum overlap between the detection and seizure, improving upon existing assessment metrics. We trained the seizure detector on the Temple University Hospital Seizure (TUH-SZ) dataset and evaluated it on five independent EEG datasets. We evaluate the systems with the following metrics: sensitivity (SEN), precision (PRE), and average and median false positive rate per hour (aFPR/h and mFPR/h). Across four adult scalp EEG and iEEG datasets, we obtained SEN of 0.617-1.00, PRE of 0.534-1.00, aFPR/h of 0.425-2.002, and mFPR/h of 0-1.003. The proposed seizure detector can detect seizures in adult EEGs and takes less than 15 s for a 30 min EEG. Hence, this system could aid clinicians in reliably identifying seizures expeditiously, allocating more time for devising proper treatment.","belief matching; electroencephalogram; patient-independent seizure detection; Transformer","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-22","","","Signal Processing Systems","","",""
"uuid:add002ef-0e24-4a60-a07a-d0063efc2711","http://resolver.tudelft.nl/uuid:add002ef-0e24-4a60-a07a-d0063efc2711","A unified framework for Navier-Stokes Cahn-Hilliard models with non-matching densities","ten Eikelder, M.F.P. (Technische Universität Darmstadt; Leibniz Universität); Van Der Zee, K. G. (University of Nottingham); Akkerman, I. (TU Delft Ship Hydromechanics and Structures); Schillinger, D. (Technische Universität Darmstadt)","","2023","Over the last decades, many diffuse-interface Navier-Stokes Cahn-Hilliard (NSCH) models with non-matching densities have appeared in the literature. These models claim to describe the same physical phenomena, yet they are distinct from one another. The overarching objective of this work is to bring all of these models together by laying down a unified framework of NSCH models with non-zero mass fluxes. Our development is based on three unifying principles: (1) there is only one system of balance laws based on continuum mixture theory that describes the physical model, (2) there is only one natural energy-dissipation law that leads to quasi-incompressible NSCH models, (3) variations between the models only appear in the constitutive choices. The framework presented in this work now completes the fundamental exploration of alternate non-matching density NSCH models that utilize a single momentum equation for the mixture velocity, but leaves open room for further sophistication in the energy functional and constitutive dependence.","incompressible two-phase flow; mixture theory; Navier-Stokes Cahn-Hilliard equations; phase-field models; thermodynamic consistency","en","journal article","","","","","","","","","","","Ship Hydromechanics and Structures","","",""
"uuid:3a8da1a1-f567-4a6e-99fa-2f0e64c52134","http://resolver.tudelft.nl/uuid:3a8da1a1-f567-4a6e-99fa-2f0e64c52134","Access to Land: Markets, Policies and Initiatives","Korthals Altes, W.K. (TU Delft Urban Development Management)","","2023","Acquiring access to land is an important issue for new entrants into farming. Traditionally, the succession of farms is within the family; market transactions are geared towards the enlargement of running farms. Policies and institutions have been built to facilitate this process. Current challenges of climate change, resource scarcity, biodiversity and equity, as are analysed by the Intergovernmental Panel on Climate Change (IPCC), the International Resource Panel (IRP), the Intergovernmental Science-Policy Platform on Biodiversity and Ecosystem Services (IPBES) and the Sustainable Development Goals (SDGs) reporting activities, make it so that there is a need to find alternatives for the current developments in farming, which is a process of up (scale enlargement) or out (stop farming). For these alternatives, new types of farmers who face the issue of access to land are needed. Based on FADN data and EUROSTAT data, current developments in the European farming sector were analysed to understand the impact of the process of modernisation on farmland markets and the complexities of access to land for new entrants. Whether these data may point to opportunities for alternative farming methods and the role of the direct payments of the European Union’s Common Agricultural Policy were analysed. Policies and consequences are discussed. It is concluded that, whereas alternative farming models are promoted at the level of policy aims, this is not performed at the policy guidance level of land markets. Alternatives outside traditional institutions face the issue of scaling up to create impact. The Common Agricultural Policy is, in many ways, more of an obstacle than it is a promoter of providing access to land for new farmers. New policies are needed.","access to land; agricultural innovation; Common Agricultural Policy; rural regeneration; land market","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:8e3caa10-c8c3-4786-ae9d-584266d6f70a","http://resolver.tudelft.nl/uuid:8e3caa10-c8c3-4786-ae9d-584266d6f70a","Impact of Climate Change Parameters on Groundwater Level: Implications for Two Subsidence Regions in Iran Using Geodetic Observations and Artificial Neural Networks (ANN)","Haji-Aghajany, Saeid (K.N. Toosi University of Technology; Wroclaw University of Environmental and Life Sciences); Amerian, Yazdan (K.N. Toosi University of Technology); Amiri Simkooei, A. (TU Delft Optical and Laser Remote Sensing)","","2023","This study aims to investigate how changes in meteorological indicators affect groundwater resources, and hence to predict groundwater levels using these indicators, particularly in regions experiencing drought and subsidence. Precipitation, temperature, evapotranspiration and precipitable water vapor (PWV) are important meteorological parameters to predict groundwater levels. Two subsidence areas with different weather conditions were selected to conduct a comprehensive study on the effect of temperature and precipitation on groundwater level changes. The correct locations of the two subsidence areas were determined by analyzing Interferometric Synthetic Aperture Radar (InSAR) images of Sentinel-1A using the small baseline subset algorithm. The interferograms were processed to correct tropospheric effects using the advanced integration method. Specifying the exact locations of the two areas, the meteorological parameters were downscaled using the Statistical DownScaling Model (SDSM), synoptic observations, meteorological data, and the General Circulation Model (GCM). An Artificial Neural Network (ANN) was then employed to predict the groundwater level changes as a function of meteorological data, including Global Positioning System (GPS)-based PWV and the evapotranspiration index. The trained ANN, along with the downscaled meteorological indicators, was used to predict groundwater level changes over two time periods. In the first period, the prediction was performed over the current years to investigate the performance of the method using the available data, whereas in the second period, the prediction was performed for the coming years, up until 2030. The results confirmed the high performance of the prediction algorithm, and the importance of including PWV and evapotranspiration in groundwater level predictions. The Pearson correlation coefficient was used to check the relationship between groundwater level changes and meteorological variables. The statistical significance of these coefficients was tested at the significance level α=0.05. In more than 80% of the cases, the correlation coefficients were statistically significant, reaching more than 0.70 in some of the months. It is also observed that an increase in the depth of groundwater level has an obvious relationship with an increase in temperature and a decrease in rainfall.","ANN; GPS; groundwater resources; InSAR; precipitation; temperature","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:70bb0a9b-b5c3-41ee-a88e-2b5a08e43604","http://resolver.tudelft.nl/uuid:70bb0a9b-b5c3-41ee-a88e-2b5a08e43604","Compressed Stabilized Earthen Blocks and Their Use in Low-Cost Social Housing","Bredenoord, Jan (Universiteit Utrecht); Kulshreshtha, Y. (TU Delft Materials and Environment)","","2023","Earthen techniques have been historically used in construction of housing in Africa, Asia and Latin America. In the past two decades the interest in earthen material has grown considerably, leading to the development of sustainable materials such as compressed earth blocks (CEB), compressed stabilized earth blocks (CSEB) and interlocking stabilized soil blocks (ISSB). Scientific publications from various countries and context have examined the physical aspects of these earthen building materials, but so far, the results are not well connected to housing practices for and by low-income households with their self-organizing skills. This research sought to close this gap by documenting the housing projects where earth blocks are applied in participatory social housing. The study provides an overview of relevant practical examples from the three world regions (Africa, Asia and Latin America), with their cultural and climatic differences, and an analysis of similarities and possibilities. Based on the lessons learned from these examples, recommendations are made on further research on sustainable building materials within social housing practices, which can benefit the scientific community. We propose to set up a worldwide database of housing projects where earthen techniques have been applied responsibly. We conclude and recommend that more high-quality pilot projects with CSEB and ISSB are needed to get a broader picture on the potential of these materials for social housing, and the necessary support for local communities wishing to be involved in these sustainable housing practices.","CEB; CSEB; ISSB; low-cost housing; social housing; earthen construction","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:fa5cb0c5-e079-4176-8571-a948ba2c684c","http://resolver.tudelft.nl/uuid:fa5cb0c5-e079-4176-8571-a948ba2c684c","Towards Inclusive Diagnostics for Neglected Tropical Diseases:: User Experience of a New Digital Diagnostic Device in Low-Income Settings","Onasanya, A.A. (TU Delft Design for Sustainability); Bengtson, Michel (Leiden University Medical Center); Agbana, T.E. (Aidx Medical BV); Oladunni, Opeyemi (Adeleke University, Ede); van Engelen, J.M.L. (TU Delft Design for Sustainability); Oladepo, Oladimeji (University of Ibadan, Ibadan); Diehl, J.C. (TU Delft Design for Sustainability)","","2023","Designing new and inclusive diagnostic tools to detect Neglected Tropical Diseases (NTDs) to achieve rational disease control requires a co-design process where end-users’ input is important. Failure to involve all potential end-users in new diagnostics for NTDs can result in low use and adoption failure, leading to persistent infection hot spots and ineffective disease control. There are different categories of potential end-users of new diagnostic tools for NTD control, and it is unclear if there are differences between the user efficiency, effectiveness, perception, and acceptability across these end-user categories. This study evaluated the usability, user perception, contextual factors affecting the user’s experience, and acceptability of a new digital optical diagnostic device for NTDs across three types of potential end users. A total of 21 participants were tested. Laboratory scientists, technicians, and Community Health Extension Workers (CHEWs) in training achieved similar scores on the usability and user perception questionnaires with no statistically significant difference between end-user categories. All participants also have high scores for the user perception domains which strongly correlate with the acceptability of the AiDx NTDx Assist device. This study indicates that, by providing digital diagnostic tools in combination with minimal training and support, CHEWs undergoing training and, by extension, CHEWs post-training, can be involved in the diagnoses of NTDs, potentially enhancing a community’s capabilities to diagnose, treat, and control NTDs.","NTDs; Nigeria; user experience; end-user; diagnostics","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:1260a4cc-75ea-4a23-8da5-4312a38c21b9","http://resolver.tudelft.nl/uuid:1260a4cc-75ea-4a23-8da5-4312a38c21b9","Nonrecursive Control for Formation-Containment of HFV Swarms With Dynamic Event-Triggered Communication","Lv, Maolong (Air Force Engineering University China); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University)","","2023","This article proposes an output-feedback control protocol for hypersonic flight vehicle (HFV) swarms considering dynamic event-triggered communication. The peculiarities of the proposed method over existing ones consist in the following: 1) While carrying out scheduled maneuvers, the outputs of follower HFVs converge inside the convex hull spanned by leader HFVs whose task is to maintain a geometric space configuration; 2) a simple nonrecursive output-feedback design is established without involving any intermediate control laws or requiring full-state information; 3) an error-dependent monotonically decreasing exponential term is incorporated into the dynamic event-triggered threshold to reduce the communication bandwidth while preserving the desired track performance and excluding Zeno behavior. Comparative simulation results validate the effectiveness of the proposed methodology.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2022-09-30","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:6a8c3773-aa7f-4042-859d-7d73175e2b98","http://resolver.tudelft.nl/uuid:6a8c3773-aa7f-4042-859d-7d73175e2b98","Stackelberg evolutionary game theory: how to manage evolving systems","Stein, Alexander (Queen Mary University of London); Salvioli, M. (TU Delft Transport and Logistics); Garjani, Hasti (TU Delft Mathematical Physics); Dubbeldam, J.L.A. (TU Delft Mathematical Physics); Viossat, Yannick (Université Paris-Dauphine); Brown, Joel S. (Lee Moffitt Cancer Center and Research Institute); Staňková, K. (TU Delft Transport and Logistics)","","2023","Stackelberg evolutionary game (SEG) theory combines classical and evolutionary game theory to frame interactions between a rational leader and evolving followers. In some of these interactions, the leader wants to preserve the evolving system (e.g. fisheries management), while in others, they try to drive the system to extinction (e.g. pest control). Often the worst strategy for the leader is to adopt a constant aggressive strategy (e.g. overfishing in fisheries management or maximum tolerable dose in cancer treatment). Taking into account the ecological dynamics typically leads to better outcomes for the leader and corresponds to the Nash equilibria in game-theoretic terms. However, the leader's most profitable strategy is to anticipate and steer the eco-evolutionary dynamics, leading to the Stackelberg equilibrium of the game. We show how our results have the potential to help in fields where humans try to bring an evolutionary system into the desired outcome, such as, among others, fisheries management, pest management and cancer treatment. Finally, we discuss limitations and opportunities for applying SEGs to improve the management of evolving biological systems. This article is part of the theme issue 'Half a century of evolutionary games: a synthesis of theory, application and future directions'.","evolutionary game theory; Darwinian dynamics; cancer evolution; isheries management; optimization; evolutionary rescue","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:c2e905d3-ce92-4ff1-863c-834eaa867d20","http://resolver.tudelft.nl/uuid:c2e905d3-ce92-4ff1-863c-834eaa867d20","The politics of urban flood resilience: The case of Malabon city","Esteban, T.A.O.E. (TU Delft Urban Development Management; Erasmus Universiteit Rotterdam); Edelenbos, Jurian (Erasmus Universiteit Rotterdam)","","2023","Policy-making bodies such as the UNISDR have frequently emphasized the role of community resilience in disaster risk management. However, this community-centered approach to enhancing a city's resilience may overlook other critical variables. Few studies have been conducted on the city's process of building urban resilience, as well as the factors that impede or accelerate the resilience process. This article aims to add to the body of literature on urban resilience processes by analyzing the disaster experience and urban resilience of Malabon City, a flood-prone city in Metro Manila's northwestern region. The purpose of this article is to answer the questions, “How does the process of urban resilience occur?”, “What factors impede or accelerate the process of urban resilience?” and “Why such factors impede or accelerate the process of urban resilience?” Furthermore, the article adds to the debate about how shifting the responsibility for resilience building to society and the community reduces government and political accountability to the people it is supposed to serve. The article will critically assess the policy environment surrounding the flood infrastructure development in Metro Manila and how it gravely affected Malabon City. The case analysis demonstrates that urban resilience does not follow a linear pattern or a single equilibrium, but rather multiple equilibria of resilience building. It highlights that urban resilience is complex and considers the connections between and among sectors and dimensions within the city. The article indicates resilience as a value-laden and politically charged concept.","Collective engagement; Collective engagement urban resilience framework; Community resilience; Community resilience.; Drivers of resilience; Flood infrastructures; Martial law; Philippines; Politics of resilience; Urban resilience","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:20521c3a-33d6-4ae5-9217-762d5b7ab380","http://resolver.tudelft.nl/uuid:20521c3a-33d6-4ae5-9217-762d5b7ab380","Corrigendum to “Assessment of Predictive Genomic Biomarkers for Response to Cisplatin-based Neoadjuvant Chemotherapy in Bladder Cancer” [Eur Urol 2023;83:313–17] (European Urology (2023) 83(4) (313–317), (S0302283822025386), (10.1016/j.eururo.2022.07.023))","Gil Jimenez, A. (Netherlands Cancer Institute; Oncode Institute); van Dorp, Jeroen (Netherlands Cancer Institute); Contreras-Sanz, Alberto (University of British Columbia); van der Vos, Kristan (Netherlands Cancer Institute); Vis, Daniel J. (Netherlands Cancer Institute); Braaf, Linde (Netherlands Cancer Institute); Broeks, Annegien (Netherlands Cancer Institute); Alcaraz, Antonio (Universitat Politecnica de Catalunya); Wessels, L.F.A. (TU Delft Pattern Recognition and Bioinformatics; Netherlands Cancer Institute; Oncode Institute)","","2023","The authors regret that the following statement regarding author contributions was missed: Kristan van der Vos is currently a Scientific Editor for Cell Reports Medicine, which is published by Elsevier. Dr van der Vos was not involved in the peer-review process or editorial discussions about this manuscript. The authors would like to apologise for any inconvenience caused.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-06","","","Pattern Recognition and Bioinformatics","","",""
"uuid:c0407f8d-dd1a-413d-b9b4-dee4b0cc72e6","http://resolver.tudelft.nl/uuid:c0407f8d-dd1a-413d-b9b4-dee4b0cc72e6","An event-oriented database of meteorological droughts in Europe based on spatio-temporal clustering","Cammalleri, Carmelo (European Commission Joint Research Centre; Politecnico di Milano); Acosta Navarro, Juan Camilo (European Commission Joint Research Centre); Bavera, Davide (Arcadia sit, Vigevano); Diaz, Vitali (TU Delft Digital Technologies); Di Ciollo, Chiara (Politecnico di Milano); Maetens, Willem (European Commission Joint Research Centre); Magni, Diego (Arcadia sit, Vigevano); Masante, Dario (European Commission Joint Research Centre); Spinoni, Jonathan (European Commission Joint Research Centre); Toreti, Andrea (European Commission Joint Research Centre)","","2023","Droughts evolve in space and time without following borders or pre-determined temporal constraints. Here, we present a new database of drought events built with a three-dimensional density-based clustering algorithm. The chosen approach is able to identify and characterize the spatio-temporal evolution of drought events, and it was tuned with a supervised approach against a set of past global droughts characterized independently by multiple drought experts. About 200 events were detected over Europein the period 1981-2020 using SPI-3 (3-month cumulated Standardized Precipitation Index) maps derived from the ECMWF (European Centre for Medium-range Weather Forecasts) 5th generation reanalysis (ERA5) precipitation. The largest European meteorological droughts during this period occurred in 1996, 2003, 2002 and 2018. A general agreement between the major events identified by the algorithm and drought impact records was found, as well as with previous datasets based on pre-defined regions.","","en","journal article","","","","","","","","","","","Digital Technologies","","",""
"uuid:244e2733-ee08-4f7a-a0b0-9536443627d9","http://resolver.tudelft.nl/uuid:244e2733-ee08-4f7a-a0b0-9536443627d9","Stabilizing and Destabilizing Breaching Flow Slides","Alhaddad, S.M.S. (TU Delft Offshore and Dredging Engineering); Weij, Dave (Plaxis); van Rhee, C. (TU Delft Offshore and Dredging Engineering); Keetels, G.H. (TU Delft Offshore and Dredging Engineering)","","2023","As a result of the dilation of soil matrix, dense submarine sand slopes can temporarily be steeper than the natural angle of repose. These slopes gradually fail by the detachment of individual grains and intermittent collapses of small coherent sand wedges. The key question is whether steep disturbances in a submarine slope grow in size (destabilizing breaching) or gradually diminish (stabilizing breaching) and thereby limit the overall slope failure and resulting damage. The ability to predict whether the breaching failure is stabilizing or destabilizing is also crucial for the assessment of safety of submarine infrastructure and hydraulic structures located along rivers, lakes, and coasts. Through a set of large-scale laboratory experiments, we investigate the validity of an existing criterion to determine the failure mode of breaching (i.e., stabilizing or destabilizing). Both modes were observed in these experiments, providing a unique set of data for analysis. It is concluded that the existing method has limited forecasting power. This was quantified using the mean absolute percentage error, which was found to be 92%. The reasons behind this large discrepancy are discussed. Given the complexity of the underlying geotechnical and hydraulic processes, more advanced methodologies are required.","stabilizing breaching; destabilizing breaching; flow slides; underwater slope failure; dilative slope failure; sand erosion","en","journal article","","","","","","","","","","","Offshore and Dredging Engineering","","",""
"uuid:ac241ea2-5eff-415f-b8e2-cbb15e1ea389","http://resolver.tudelft.nl/uuid:ac241ea2-5eff-415f-b8e2-cbb15e1ea389","On the Importance of Model Selection for CFD Analysis of High Temperature Gas-Solid Reactive Flow; Case Study: Post Combustion Chamber of HIsarna Off-Gas System","Hosseini, A. (TU Delft Team Yongxiang Yang); Hage, Johannes (Tata Steel); Meijer, Koen (Tata Steel); Offerman, S.E. (TU Delft Team Erik Offerman); Yang, Y. (TU Delft Team Yongxiang Yang)","","2023","In this paper a CFD analysis of HIsarna off-gas system for post combustion of CO-H2-carbon particle mixture is presented to evaluate the effect of different sub-models and parameters on the accuracy of predictions and simulation time. The effects of different mesh type, mesh grid size, radiation models, turbulent models, kinetic mechanism, turbulence chemistry interaction models, including and excluding gas-solid reactions, number of reactive solid particles are investigated in detail. Based on the accuracy of the predictions and agreement with counterpart measured values, the best combination is selected and conclusions are derived. It was found that radiation and turbulence chemistry interaction model have a major effect on the temperature and composition profile prediction along the studied off-gas system, compared to the variations in other models. The effect of these two models becomes even more evident when the temperature and fuel content of the flue gas are high.","HIsarna ironmaking; CFD modelling; radiation modelling; combustion modelling; turbulence modelling; gas‐solid reaction; turbulence‐chemistry interaction","en","journal article","","","","","","","","","","","Team Yongxiang Yang","","",""
"uuid:2fc6d02b-fe4b-44e3-b8d2-6e30dd92f457","http://resolver.tudelft.nl/uuid:2fc6d02b-fe4b-44e3-b8d2-6e30dd92f457","ATP binding by an F1Fo ATP synthase ε subunit is pH dependent, suggesting a diversity of ε subunit functional regulation in bacteria","Krah, Alexander (Korea Institute for Advanced Study; Agency for Science, Technology and Research); Vogelaar, Timothy (Student TU Delft); de Jong, S.I. (TU Delft BT/Environmental Biotechnology); Claridge, Jolyon K. (Massey University); Bond, Peter J. (Agency for Science, Technology and Research; National University of Singapore); McMillan, D.G.G. (TU Delft BT/Biocatalysis; Massey University)","","2023","It is a conjecture that the ε subunit regulates ATP hydrolytic function of the F1Fo ATP synthase in bacteria. This has been proposed by the ε subunit taking an extended conformation, with a terminal helix probing into the central architecture of the hexameric catalytic domain, preventing ATP hydrolysis. The ε subunit takes a contracted conformation when bound to ATP, thus would not interfere with catalysis. A recent crystallographic study has disputed this; the Caldalkalibacillus thermarum TA2.A1 F1Fo ATP synthase cannot natively hydrolyse ATP, yet studies have demonstrated that the loss of the ε subunit terminal helix results in an ATP synthase capable of ATP hydrolysis, supporting ε subunit function. Analysis of sequence and crystallographic data of the C. thermarum F1Fo ATP synthase revealed two unique histidine residues. Molecular dynamics simulations suggested that the protonation state of these residues may influence ATP binding site stability. Yet these residues lie outside
the ATP/Mg2+ binding site of the ε subunit. We then probed the effect of pH on the ATP binding affinity of the ε subunit from the C. thermarum F1Fo ATP synthase at various physiologically relevant pH values. We show that binding affinity changes 5.9 fold between pH 7.0, where binding is weakest, to pH 8.5 where it is strongest. Since the C. thermarum cytoplasm is pH 8.0 when it grows optimally, this correlates to the ε subunit being down due to ATP/Mg2+ affinity, and not being involved in blocking ATP hydrolysis. Here, we have experimentally correlated that the pH of the bacterial cytoplasm is of critical importance for ε subunit ATP affinity regulated by second shell residues thus the function of the ε subunit changes with growth conditions.","F1Fo ATP synthase; regulation-physiologica; alkaliphile bacteria; aerobe; polyextreme environments","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:17490fa1-ec01-438d-afe5-f0ae3100c3a6","http://resolver.tudelft.nl/uuid:17490fa1-ec01-438d-afe5-f0ae3100c3a6","Photo-electrocatalytic based removal of acetaminophen: Application of visible light driven heterojunction based BiVO4/BiOI photoanode","Ali, A.Z. (TU Delft Sanitary Engineering); Wu, Y. (Student TU Delft); Doekhi-Bennani, Y. (TU Delft Sanitary Engineering); van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet)","","2023","The presence of organic micro-pollutants (OMPs) in wastewater treatment effluents is becoming a major threat to the water safety for aquatic and human health. Photo-electrocatalytic based advanced oxidation process (AOP) is one of the emerging and effective techniques to degrade OMPs through oxidative mechanism. This study investigated the application of heterojunction based BiVO4/BiOI photoanode for acetaminophen (40 μg L−1) removal in demineralized water. Photoanodes were fabricated by electrodeposition of BiVO4 and BiOI photocatalytic layers. Optical (UV–vis diffusive reflectance spectroscopy), structural (XRD, SEM, EDX) and opto-electronic (IPCE) characterization confirmed the successful formation of heterojunction for enhanced charge separation efficiency. The heterojunction photoanode showed incident photon to current conversion efficiency of 16% (λmax = 390 nm) at an external voltage of 1 V under AM 1.5 standard illumination. The application of the BiVO4/BiOI photoanode in the removal of acetaminophen at 1 V (external bias) vs Ag/AgCl under simulated sunlight showed 87% removal efficiency within the first 120 min compared to 66% removal efficiency of the BiVO4 photoanode. Similarly, combining BiVO4 and BiOI exhibited 57% increase in first order removal rate coefficient compared to BiVO4. The photoanodes also showed moderate stability and reusability by showing 26% decrease in overall degradation efficiency after three cycles of each 5 h experiment. The results obtained in this study can be considered as a stepping stone towards the effective removal of acetaminophen as an OMP present in wastewater.","Organic micro-pollutants; Advanced oxidation process; Photoelectrocatalysis; Heterojunction photoanodes; BiVO4/BiOI; Acetaminophen","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:d219498c-ee97-4d0d-9aa2-13ff5cfce8f9","http://resolver.tudelft.nl/uuid:d219498c-ee97-4d0d-9aa2-13ff5cfce8f9","Personæ: Users’ evaluation of a music technology education project","Delle Monache, S. (TU Delft Design Aesthetics); Mandanici, Marcella (Conservatory of Music of Brescia); Alessandretti, Stefano (Conservatory of Music of Brescia); Di Filippo, Roberto (Liceo Musicale Veronica Gambara)","","2023","‘Personæ’ is an interactive large-scale space sound installation designed by the students of the music technology classes of the ‘V. Gambara’ music high school in Brescia (Italy). The activities that led to the conception and realization of the installation were part of ‘The Discovery of Interactive Spaces’ project, a set of extracurricular workshops organized by the authors of this article between spring 2019 and winter 2020 with the support of the Italian National Operation Program (PON). At the end of January 2020, the installation was presented during a public event and an evaluation questionnaire was administered to 79 visitors. The purpose of this research is to assess how the public, students, parents, teachers and classmates received the ‘Personæ’ installation, and the technology integration within regular study curricula. While the majority of visitors have well received the artistic and communicative value of the installation, the questionnaire reveals that there is not a similar uniformity of agreement on some aspects of technology integration in school curricula.","bodily interaction; interactive spaces; large-scale responsive environments; sound installation; technology integration; users survey","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-17","","","Design Aesthetics","","",""
"uuid:d01ab884-73f3-444f-b7ee-83895442e4da","http://resolver.tudelft.nl/uuid:d01ab884-73f3-444f-b7ee-83895442e4da","An Aero-Structural Model for Ram-Air Kite Simulations","Thedens, Paul (SkySails Power); Schmehl, R. (TU Delft Wind Energy)","","2023","Similar to parafoils, ram-air kites are flexible membrane wings inflated by the apparent wind and supported by a bridle line system. A major challenge in estimating the performance of these wings using a computer model is the strong coupling between the airflow around the wing and the deformation of the membrane structure. In this paper, we introduce a staggered coupling scheme combining a structural finite element solver using a dynamic relaxation technique with a potential flow solver. The developed method proved numerically stable for determining the equilibrium shape of the wing under aerodynamic load and is thus suitable for performance measurement and load estimation. The method was validated with flight data provided by SkySails Power. Measured forces on the tether and steering belt of the robotic kite control pod showed good resemblance with the simulation results. As expected for a potential flow solver, the kite’s glide ratio was overestimated by 10–15%, and the measured tether elevation angle in a neutral flight scenario matched the simulations within 2 degrees. Based on the obtained results, it can be concluded that the proposed aero-structural model can be used for initial designs of ram-air kites with application to airborne wind energy.","airborne wind energy; SkySails Power; ram-air kite; fluid-structure interaction; dynamic relaxation; virtual wind tunnel","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:2ce0e53c-668d-4f5c-87f3-45821c01629a","http://resolver.tudelft.nl/uuid:2ce0e53c-668d-4f5c-87f3-45821c01629a","Quantifying the spatial, temporal, angular and spectral structure of effective daylight in perceptually meaningful ways","Yu, C. (TU Delft Human Information Communication Design); Wijntjes, M.W.A. (TU Delft Human Information Communication Design); Eisemann, E. (TU Delft Computer Graphics and Visualisation); Pont, S.C. (TU Delft Human Information Communication Design)","","2023","We present a method to capture the 7-dimensional light field structure, and translate it into perceptually-relevant information. Our spectral cubic illumination method quantifies objective correlates of perceptually relevant diffuse and directed light components, including their variations over time, space, in color and direction, and the environment’s response to sky and sunlight. We applied it “in the wild”, capturing how light on a sunny day differs between light and shadow, and how light varies over sunny and cloudy days. We discuss the added value of our method for capturing nuanced lighting effects on scene and object appearance, such as chromatic gradients.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Human Information Communication Design","","",""
"uuid:b1f24e81-41f7-4e50-8dec-fefbd6e3d76b","http://resolver.tudelft.nl/uuid:b1f24e81-41f7-4e50-8dec-fefbd6e3d76b","Predictive heuristic control: Inferring risks from heterogeneous nowcast accuracy","van der Werf, Job (TU Delft Sanitary Engineering); Kapelan, Z. (TU Delft Sanitary Engineering); Langeveld, J.G. (TU Delft Sanitary Engineering)","","2023","Urban Drainage Systems can cause ecological and public health issues by releasing untreated contaminated water into the environment. Real-time control (RTC), augmented with rainfall nowcast, can effectively reduce these pollution loads. This research aims to identify key dynamics in the nowcast accuracies and relate those to the performance of nowcast-informed rule-based (RB)-RTC procedures. The developed procedures are tested in the case study of Rotterdam, the Netherlands. Using perfect nowcast data, all developed procedures showed a reduction in combined sewer overflow volumes of up to 14.6%. Considering real nowcast data, it showed a strong ability to predict if no more rain was expected, whilst performing poorly in quantifying rainfall depths. No relation was found in the nowcast accuracy and the consistency of the predicted rainfall using a moving horizon. Using the real nowcast data, all procedures, with the exception of the one predicting the end of the rainfall event, showed a significant risk of operative deterioration (performing worse than the baseline RB-RTC), linked to the relative performance of the nowcast algorithm. Understanding the strengths of a nowcast algorithm can ensure the reliability of the RB-RTC procedure and can negate the need for detailed modelling studies by inferring risks from nowcast data.","Combined sewer overflows; rainfall forecast; real-time control; risk assessment; urban drainage systems","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:87402a46-d116-4df5-8a71-99b357ff0a2b","http://resolver.tudelft.nl/uuid:87402a46-d116-4df5-8a71-99b357ff0a2b","Hydrogen-Accelerated Fatigue of API X60 Pipeline Steel and Its Weld","Faucon, L.E.K. (Student TU Delft); Boot, T. (TU Delft Team Vera Popovich); Riemslag, A.C. (TU Delft Team Vera Popovich); Scott, S.P. (TU Delft Team Vera Popovich); Liu, Ping (IntecSea BV); Popovich, V. (TU Delft Team Vera Popovich)","","2023","In this work, the hydrogen fatigue of pipeline steel X60, its girth welds and weld defects were investigated through in situ fatigue testing. A novel in situ gaseous hydrogen charging fatigue set-up was developed, which involves a sample geometry that mimics a small-scale pipeline with high internal hydrogen gas pressure. The effect of hydrogen was investigated by measuring the crack initiation and growth, using a direct current potential drop (DCPD) set-up, which probes the outer surface of the specimen. The base and weld metal specimens both experienced a reduction in fatigue life in the presence of hydrogen. For the base metal, the reduction in fatigue life manifested solely in the crack growth phase; hydrogen accelerated the crack growth by a factor of 4. The crack growth rate for the weld metal accelerated by a factor of 8. However, in contrast to the base metal, the weld metal also experienced a reduction of 57% in resistance to crack initiation. Macropores (>500 µm in size) on the notch surface reduced the fatigue life by a factor of 11. Varying the pressure from 70 barg to 150 barg of hydrogen caused no difference in the hydrogen fatigue behavior of the weld metal. The fracture path of the base and weld metal transitioned from transgranular and ductile in nature to a mixed-mode transgranular and intergranular quasi-cleavage fracture. Hydrogen accelerated the crack growth by decreasing the roughness- and plasticity-induced crack closure. The worst case scenario for pipelines was found in the case of weld defects. This work therefore highlights the necessity to re-evaluate pipelines for existing defects before they can be reused for hydrogen transport.","hydrogen embrittlement; gaseous hydrogen fatigue; pipeline steel; weld defects; in situ mechanical testing; crack initiation; fractography","en","journal article","","","","","","","","","","","Team Vera Popovich","","",""
"uuid:1200e68d-1c11-431c-829b-d16905f782c9","http://resolver.tudelft.nl/uuid:1200e68d-1c11-431c-829b-d16905f782c9","Benchmarking Behavior Prediction Models in Gap Acceptance Scenarios","Schumann, J.F. (TU Delft Human-Robot Interaction); Kober, J. (TU Delft Learning & Autonomous Control); Zgonnikov, A. (TU Delft Human-Robot Interaction)","","2023","Autonomous vehicles currently suffer from a time-inefficient driving style caused by uncertainty about human behavior in traffic interactions. Accurate and reliable prediction models enabling more efficient trajectory planning could make autonomous vehicles more assertive in such interactions. However, the evaluation of such models is commonly oversimplistic, ignoring the asymmetric importance of prediction errors and the heterogeneity of the datasets used for testing. We examine the potential of recasting interactions between vehicles as gap acceptance scenarios and evaluating models in this structured environment. To that end, we develop a framework aiming to facilitate the evaluation of any model, by any metric, and in any scenario. We then apply this framework to state-of-the-art prediction models, which all show themselves to be unreliable in the most safety-critical situations.","Accidents; Autonomous vehicles; autonomous vehicles; behavior prediction; Behavioral sciences; benchmark; gap acceptance; Measurement; Predictive models; Safety; Trajectory","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-13","","","Human-Robot Interaction","","",""
"uuid:528e1625-efd1-4d40-a802-4a9c704d1880","http://resolver.tudelft.nl/uuid:528e1625-efd1-4d40-a802-4a9c704d1880","Safe and Adaptive 3-D Locomotion via Constrained Task-Space Imitation Learning","Ding, J. (TU Delft Learning & Autonomous Control); Lam, Tin Lun (Chinese University of Hong Kong; Shenzhen Institute of Artificial Intelligence and Robotics for Society); Ge, Ligang (Ubtech Robotics Corporation); Pang, Jianxin (Ubtech Robotics Corporation); Huang, Yanlong (University of Leeds)","","2023","Bipedal locomotion has been widely studied in recent years, where passive safety (i.e., a biped rapidly brakes without falling) is deemed to be a pivotal problem. To realize safe 3-D walking, existing works resort to nonlinear optimization techniques based on simplified dynamics models, requiring hand-tuned reference trajectories. In this article, we propose to integrate safety constraints into constrained task-space imitation learning, endowing a humanoid robot with adaptive walking capability. Specifically, unlike previous work using nonlinear and coupled capturability dynamics, we first linearize the 3-D capture conditions using appropriate extreme values and then seamlessly incorporate them into constrained imitation learning. Furthermore, we propose novel heuristic rules to define control points, enabling adaptive locomotion learning. The resulting framework allows robots to learn locomotion skills from a few demonstrations efficiently and apply the learned skills to unseen 3-D scenarios while satisfying the constraints for passive safety. Unlike deep enforcement learning, our framework avoids the need of a large number of iterations or sim-to-real transfer. By virtue of the task-space adaptability, the proposed imitation learning framework can reuse collected demonstrations in a new robot platform. We validate our method by hardware experiments on Walker2 robot and simulations on COMAN robot.","3-D walking; bipedal locomotion; constrained imitation learning; humanoid robot; Legged locomotion; Lips; passive safety; Robots; Safety; Solid modeling; Task analysis; Trajectory","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-20","","","Learning & Autonomous Control","","",""
"uuid:460ac5d9-eb43-46ef-90be-95d6a642cbaf","http://resolver.tudelft.nl/uuid:460ac5d9-eb43-46ef-90be-95d6a642cbaf","AEC/FM performance in adaptive reuse projects: investigation of challenges and development of practical guidelines","Hassanain, Mohammad A. (King Fahd University of Petroleum and Minerals); Hamida, M.B. (TU Delft Real Estate Management)","","2023","Purpose: This paper aims to provide architecture, engineering, construction and facilities management (AEC/FM) practitioners with a guiding tool for overcoming the challenges affecting their performance in adaptive reuse project. Design/methodology/approach: Literature review was conducted to comprehend the challenges affecting the AEC/FM performance during the implementation of adaptive reuse projects. A case study was conducted on an adaptively reused building, located in Saudi Arabia. The case study building was converted from a bookstore into an amusement center. Document review of the as-built drawings, and a structured interview with the project manager were conducted. The study concluded with the development of AEC/FM practical guidelines, pertaining to the different involved domains. Findings: This study indicated that the potential challenges are interrelated among the three domains. These challenges pertained to the spatial, legislative, technical, administrative and operational aspects. The findings emphasized the vital role of the integration among the AEC/FM domains, at the early project planning phases. Based on the findings, three sets of AEC/FM guidelines were developed. Research limitations/implications: Theoretically, to the best of the authors’ knowledge, this is the first study that provides a case-specific investigation of the challenges affecting the AEC/FM performance in adaptive reuse projects. Practical implications: The developed practical guidelines could potentially enhance the AEC/FM performance in future adaptive reuse projects. Originality/value: This study contributes to the practice of adaptive reuse projects through providing practical guidelines for mitigating the challenges that affect the AEC/FM performance in these projects.","Adaptive reuse; AEC/FM; Challenges; Lessons learned; Property development","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-02","","","Real Estate Management","","",""
"uuid:49f2a623-50fc-467f-8ec7-103f0ed94e88","http://resolver.tudelft.nl/uuid:49f2a623-50fc-467f-8ec7-103f0ed94e88","Time-Domain Electromagnetic Leaky Waves","Stumpf, M. (Brno University of Technology); Gu, Junhong (Student TU Delft); Lager, I.E. (TU Delft Electrical Engineering Education)","","2023","A causality preserving interpretation of the electromagnetic (EM) leaky-wave (LW) propagation in space and time is proposed for the first time. The Cagniard-deHoop (CdH) joint transform technique is applied for elucidating the relation between time-domain (TD) head waves (HWs), body waves (BWs), Cherenkov wave effects, and LWs. It is conjectured that the LW phenomenon in the TD is associated with a local maximum in the observed signal that occurs between the arrivals of the HW and BW constituents. A quantitative analysis that enables the space-time localization of the LW effect is performed theoretically and, then, illustrated via representative examples including the pulsed EM radiation from both a line source above a dielectric half-space, and narrow-slot antennas.","leaky wave (LW); head waves (HW); body wave (BW); Cherenkov radiation; time-domain (TD) analysis; Cagniard-deHoop (CdH) technique","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-04","","","Electrical Engineering Education","","",""
"uuid:cd43a7a0-1208-4479-9d14-beaba009ddbe","http://resolver.tudelft.nl/uuid:cd43a7a0-1208-4479-9d14-beaba009ddbe","Towards simulating flow induced spillage in dredge cutter heads using DEM-FVM","Nieuwboer, B.J. (Royal IHC); van Rhee, C. (TU Delft Offshore and Dredging Engineering); Keetels, G.H. (TU Delft Offshore and Dredging Engineering)","","2023","When dredging rock using a Cutter Suction Dredger the high amount of spillage is problematic, since it prevents an energy efficient removal process. This papers presents a coupled DEM-FVM method to simulate spillage, that can be used for optimizing the design and working method of the Cutter Suction Dredger. In these simulations, the challenge was to model relatively large particles in a complex and rotating geometry. To ensure stability and reduce computational time we used smoothing kernels to map the forces and the concentration between the discrete elements and the fluid mesh. The method is validated for the fluid flow in the rotating cutter head. This model incorporates all physical processes to predict flow induced spillage in cutter heads within feasible calculation times.","Dredging; Cutter Suction Dredger; Computational fluid dynamics; Dynamic mesh; Discrete Element Method; OpenFOAM","en","journal article","","","","","","","","","","","Offshore and Dredging Engineering","","",""
"uuid:ddd2aee5-1ef0-4c3b-82a2-74654791530a","http://resolver.tudelft.nl/uuid:ddd2aee5-1ef0-4c3b-82a2-74654791530a","Is the empirical out-of-sample variance an informative risk measure for the high-dimensional portfolios?","Bodnar, Taras (Stockholm University); Parolya, N. (TU Delft Statistics); Thorsén, Erik (Stockholm University)","","2023","The main contribution of this paper is the derivation of the asymptotic behavior of the out-of-sample variance, the out-of-sample relative loss, and of their empirical counterparts in the high-dimensional setting, i.e., when both ratios p/n and p/m tend to some positive constants as m→∞ and n→∞, where p is the portfolio dimension, while n and m are the sample sizes from the in-sample and out-of-sample periods, respectively. The results are obtained for the traditional estimator of the global minimum variance (GMV) portfolio and for the two shrinkage estimators introduced by Frahm and Memmel (2010) and Bodnar et al. (2018). We show that the behavior of the empirical out-of-sample variance may be misleading in many practical situations, leading, for example, to a comparison of zeros. On the other hand, this will never happen with the empirical out-of-sample relative loss, which seems to provide a natural normalization of the out-of-sample variance in the high-dimensional setup. As a result, an important question arises if the out-of-sample variance can safely be used in practice for portfolios constructed from a large asset universe.","Shrinkage estimator; High-dimensional covariance matrix; Random matrix theory; Minimum variance portfolio; Parameter uncertainty","en","journal article","","","","","","","","","","","Statistics","","",""
"uuid:23bfffb9-4b5f-4971-93ad-fc608a240b6b","http://resolver.tudelft.nl/uuid:23bfffb9-4b5f-4971-93ad-fc608a240b6b","The Spectre at Vauxhall Cross: Architecture of the State, between Community and Monarchy","Gosseye, J. (TU Delft Situated Architecture)","","2023","This paper examines the matter of “architecture of the state” through the development history of the Esso site at Vauxhall Cross in London, which since the early 1990s houses the headquarters of the British Secret Intelligence Service (MI6), designed by Terry Farrell. The story of this site’s decades-long redevelopment saga calls into question what (or who) precisely “the state” is. Is it the (imagined) community that belongs to a state? Is it the governmental institutions and elected officials managing its operation? Or does the constitutional monarchy embody and symbolise the state? What the history of the Esso site and the design of the SIS building demonstrate is that these different groups who are all somehow encompassed in the definition of “the state” do not necessarily hold the same ideas about who “architecture of the state” is to serve, address, or represent.","","en","journal article","","","","","","","","","","","Situated Architecture","","",""
"uuid:7507c595-d976-417b-8b0a-6490b0467f79","http://resolver.tudelft.nl/uuid:7507c595-d976-417b-8b0a-6490b0467f79","De rol van constructeurs in de aanpak van de klimaatcrisis: Een stappenplan voor duurzame constructies","Terwel, K.C. (TU Delft Applied Mechanics); Crielaard, R. (TU Delft Applied Mechanics)","","2023","MKI, MPG, BENG, CO2-neutraal, LCA, EPD, cascadering, circulair bouwen, donorskelet. De duurzaamheidsdiscussie is de laatste jaren opgelaaid en heeft geleid tot nieuwe termen, afkortingen en in gespecialiseerde duurzaamheidsconsultants met eigen jargon. Door de grote hoeveelheid en soms tegenstrijdige informatie, zie je door de bomen het bos niet meer. Wat is duurzaam construeren eigenlijk? Vraag het drie constructeurs en je krijgt vier verschillende antwoorden. Om de studenten van TU Delft hier meer houvast in te geven, is op basis van inzichten uit de literatuur en praktijkervaring een stappenplan opgezet.","","nl","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:9b73bee5-b8cf-46c1-b4b9-f3fb9d3b4e07","http://resolver.tudelft.nl/uuid:9b73bee5-b8cf-46c1-b4b9-f3fb9d3b4e07","Developing an energy rating for bifacial photovoltaic modules","Vogt, M.R. (TU Delft Photovoltaic Materials and Devices); Pilis, Giorgos (Student TU Delft); Zeman, M. (TU Delft Electrical Sustainable Energy); Santbergen, R. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2023","The photovoltaic (PV) module energy rating standard series IEC 61853 does not cover bifacial PV modules. However, the market share of bifacial PV modules has dramatically increased in recent years and is projected to grow. This work demonstrates how Parts 3 and 4 of the IEC 61853 standard could be extended to bifacial modules. First, we develop an irradiance model that uses the data already given in the standard IEC 61853-4 to calculate the irradiance on the rear side of the module. Second, we propose a way to extend the energy yield calculation algorithm IEC 61853-3 to include bifacial modules and make it available to the PV community. This rear irradiance and bifacial energy yield calculation procedure is tested using real outdoor measurements for a nine-month period with a root mean square difference between measured and simulated energy yield of 4.65%. To conclude, we investigate the impact of different climates and normalization on the bifacial module energy rating results.","bifacial PV module; energy rating; energy yield; IEC61853; PV module; PV module performance; view factor","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:26d7d4d3-7135-45ac-95c7-4ae5e5c330d2","http://resolver.tudelft.nl/uuid:26d7d4d3-7135-45ac-95c7-4ae5e5c330d2","Pulse shaping of the electromagnetic radiation from a narrow slot antenna","Stumpf, Martin (Brno University of Technology); Lager, I.E. (TU Delft Electrical Engineering Education)","","2023","A straightforward approach to achieve the prescribed shape of the far-field electromagnetic (EM) pulse radiated from a narrow slot antenna is introduced. It is demonstrated that the specified radiated pulse shape in a given direction can be approximately attained via a simple signal-processing technique that yields the pertaining excitation pulse. Illustrative numerical examples demonstrating good accuracy in the early-time part of the radiated pulsed fields are presented.","","en","journal article","","","","","","","","","","","Electrical Engineering Education","","",""
"uuid:6ea1640a-c937-46e1-b3c8-dc5975319e92","http://resolver.tudelft.nl/uuid:6ea1640a-c937-46e1-b3c8-dc5975319e92","Improving the efficiency of renewable energy assets by optimizing the matching of supply and demand using a smart battery scheduling algorithm","de Bekker, Philippe (Student TU Delft); Cremers, S.A. (TU Delft Intelligent Electrical Power Grids; Centrum Wiskunde & Informatica (CWI)); Norbu, Sonam (University of Glasgow); Flynn, David (University of Glasgow); Robu, Valentin (TU Delft Algorithmics; Centrum Wiskunde & Informatica (CWI))","","2023","Given the fundamental role of renewable energy assets in achieving global temperature control targets, new energy management methods are required to efficiently match intermittent renewable generation and demand. Based on analysing various designed cases, this paper explores a number of heuristics for a smart battery scheduling algorithm that efficiently matches available power supply and demand. The core of improvement of the proposed smart battery scheduling algorithm is exploiting future knowledge, which can be realized by current state-of-the-art forecasting techniques, to effectively store and trade energy. The performance of the developed heuristic battery scheduling algorithm using forecast data of demands, generation, and energy prices is compared to a heuristic baseline algorithm, where decisions are made solely on the current state of the battery, demand, and generation. The battery scheduling algorithms are tested using real data from two large-scale smart energy trials in the UK, in addition to various types and levels of simulated uncertainty in forecasts. The results show that when using a battery to store generated energy, on average, the newly proposed algorithm outperforms the baseline algorithm, obtaining up to 20–60% more profit for the prosumer from their energy assets, in cases where the battery is optimally sized and high-quality forecasts are available. Crucially, the proposed algorithm generates greater profit than the baseline method even with large uncertainty on the forecast, showing the robustness of the proposed solution. On average, only 2–12% of profit is lost on generation and demand uncertainty compared to perfect forecasts. Furthermore, the performance of the proposed algorithm increases as the uncertainty decreases, showing great promise for the algorithm as the quality of forecasting keeps improving.","battery control model; battery scheduling algorithm; energy management system; microgrid control method; renewable energy; forecasting; smart grid management; battery energy storage system; time-of-use tariff; state of charge","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:1218fec6-97c6-4b88-8f65-0f424e86dadf","http://resolver.tudelft.nl/uuid:1218fec6-97c6-4b88-8f65-0f424e86dadf","Charging after Lockdown: The Aftermath of COVID-19 Policies on Electric Vehicle Charging Behaviour in The Netherlands","van der Koogh, M.L. (TU Delft Energie and Industrie; Hogeschool van Amsterdam); Wolbertus, Rick (Hogeschool van Amsterdam); Heller, Renée (Hogeschool van Amsterdam)","","2023","During the COVID-19—related lockdowns (2020–2022), mobility patterns and charging needs were substantially affected. Policies such as work from home, lockdowns, and curfews reduced traffic and commuting significantly. This global pandemic may have also substantially changed mobility patterns on the long term and therefore the need for electric vehicle charging infrastructure. This paper analyzes changes in electric charging in the Netherlands for different user groups during different phases of the COVID-19 lockdown to assess the effects on EV charging needs. Charging needs dropped significantly during this period, which also changed the distribution of the load on the electricity grid throughout the day. Curfews affected the start times of charging sessions during peak hours of grid consumption. Infrastructure dedicated to commuters was used less intensively, and the charging needs of professional taxi drivers were drastically reduced during lockdown periods. These trends were partially observed during a post–lockdown measuring period of roughly 8 months, indicating a longer shift in mobility and charging patterns.","charging; EV; policy; case–study; deployment; energy consumption","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:0f1ac556-4319-4eee-9147-290d49bb0fe4","http://resolver.tudelft.nl/uuid:0f1ac556-4319-4eee-9147-290d49bb0fe4","Digitization of chemical process flow diagrams using deep convolutional neural networks","Theisen, M.F. (TU Delft ChemE/Product and Process Engineering); Nishizaki Flores, K.F. (TU Delft ChemE/Product and Process Engineering); Schulze Balhorn, L. (TU Delft ChemE/Product and Process Engineering); Schweidtmann, A.M. (TU Delft ChemE/Product and Process Engineering)","","2023","Advances in deep convolutional neural networks led to breakthroughs in many computer vision applications. In chemical engineering, a number of tools have been developed for the digitization of Process and Instrumentation Diagrams. However, there is no framework for the digitization of process flow diagrams (PFDs). PFDs are difficult to digitize because of the large variability in the data, e.g., there are multiple ways to depict unit operations in PFDs. We propose a two-step framework for digitizing PFDs: (i) unit operations are detected using a deep learning powered object detection model, (ii) the connectivities between unit operations are detected using a pixel-based search algorithm. To ensure robustness, we collect and label over 1000 PFDs from diversified sources including various scientific journals and books. To cope with the high intra-class variability in the data, we define 47 distinct classes that account for different drawing styles of unit operations. Our algorithm delivers accurate and robust results on an independent test set. We report promising results for line and unit operation detection with an Average Precision at 50 percent (AP50) of 88% and an Average Precision (AP) of 68% for the detection of unit operations.","Deep convolutional neural network; Digitalization; Flowsheet digitization; Machine learning; Object detection; Process flow diagrams (PFD)","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:334c7661-a53b-4f06-9a8e-8033b2095bba","http://resolver.tudelft.nl/uuid:334c7661-a53b-4f06-9a8e-8033b2095bba","Automation on thermal control of blast furnace","Masuda, Ryosuke (JFE Steel Corp.); Hashimoto, Y. (JFE Steel Corp.); Mulder, Max (TU Delft Control & Simulation); van Paassen, M.M. (TU Delft Control & Simulation); Kano, Manabu (Kyoto University)","","2023","Accurate process control through automation is the key to achieving efficient and stable operation of a blast furnace. In this study, we developed an automatic control system of hot metal temperature (HMT). To cope with the slow and complex process dynamics of the blast furnace, we constructed a control algorithm that predicts eight-hour-ahead HMT using a two-dimensional (2D) transient model and calculates optimal target pulverized coal ratio (PCR) and pulverized coal flow rate by non-linear model predictive control (NMPC). An evaluation in a real plant showed that the developed control system suppressed the effects of disturbances, such as changes in the coke ratio and blast volume, on the HMT. The root mean square (RMS) of the control deviation of HMT was successfully reduced by 1.6 °C compared to the conventional manual operation.","Hot metal temperature; Industrial application; Model predictive control; Process control","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:27476485-3654-448f-a34e-67977a633411","http://resolver.tudelft.nl/uuid:27476485-3654-448f-a34e-67977a633411","Minimum-phase property and reconstruction of elastodynamic dereverberation matrix operators","Reinicke, Christian (Aramco Overseas Company B.V.); Dukalski, Marcin (Aramco Overseas Company B.V.); Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics)","","2023","Minimum-phase properties are well-understood for scalar functions where they can be used as physical constraint for phase reconstruction. Existing scalar applications of the latter in geophysics include, for example the reconstruction of transmission from acoustic reflection data, or multiple elimination via the augmented acoustic Marchenko method. We review scalar minimum-phase reconstruction via the conventional Kolmogorov relation, as well as a less-known factorization method. Motivated to solve practice-relevant problems beyond the scalar case, we investigate (1) the properties and (2) the reconstruction of minimum-phase matrix functions. We consider a simple but non-trivial case of 2 × 2 matrix response functions associated with elastodynamic wavefields. Compared to the scalar acoustic case, matrix functions possess additional freedoms. Nonetheless, the minimum-phase property is still defined via a scalar function, that is a matrix possesses a minimum-phase property if its determinant does. We review and modify a matrix factorization method such that it can accurately reconstruct a 2 × 2 minimum-phase matrix function related to the elastodynamic Marchenko method. However, the reconstruction is limited to cases with sufficiently small differences between P- and S-wave traveltimes, which we illustrate with a synthetic example. Moreover, we show that the minimum-phase reconstruction method by factorization shares similarities with the Marchenko method in terms of the algorithm and its limitations. Our results reveal so-far unexplored matrix properties of geophysical responses that open the door towards novel data processing tools. Last but not least, it appears that minimum-phase matrix functions possess additional, still-hidden properties that remain to be exploited, for example for phase reconstruction.","Fourier analysis; Numerical solutions; Time-series analysis; Inverse theory; Wave propagation; Wave scattering and diraction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-20","","","Applied Geophysics and Petrophysics","","",""
"uuid:00796934-4007-4b1b-919b-cb55486bcd57","http://resolver.tudelft.nl/uuid:00796934-4007-4b1b-919b-cb55486bcd57","Can linear stability analyses predict the development of riverbed waves with lengths much larger than the water depth?","Barneveld, H.J. (Wageningen University & Research; HKV Lijn in Water); Mosselman, E. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares); Chavarrías, Víctor (Deltares); Hoitink, A.J.F. (Wageningen University & Research)","","2023","Sustainable river management can be supported by models predicting long-term morphological developments. Even for one-dimensional morphological models, run times can be up to several days for simulations over multiple decades. Alternatively, analytical tools yield metrics that allow estimation of migration celerity and damping of bed waves, which have potential for being used as rapid assessment tools to explore future morphological developments. We evaluate the use of analytical relations based on linear stability analyses of the St. Venant-Exner equations, which apply to bed waves with spatial scales much larger than the water depth. With a one-dimensional numerical morphological model, we assess the validity range of the analytical approach. The comparison shows that the propagation of small bed perturbations is well-described by the analytical approach. For Froude numbers over 0.3, diffusion becomes important and bed perturbation celerities reduce in time. A spatial-mode linear stability analysis predicts an upper limit for the bed perturbation celerity. For longer and higher bed perturbations, the dimensions relative to the water depth and the backwater curve length determine whether the analytical approach yields realistic results. For higher bed wave amplitudes, non-linearity becomes important. For Froude numbers ≤ 0.3, the celerity of bed waves is increasingly underestimated by the analytical approach. The degree of underestimation is proportional to the ratio of bed wave amplitude to water depth and the Froude number. For Froude numbers exceeding 0.3, the net impact on the celerity depends on the balance between the decrease due to damping and the increase due to non-linear interaction.","","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:410125eb-c9c5-469b-b3d0-1902fd45afd0","http://resolver.tudelft.nl/uuid:410125eb-c9c5-469b-b3d0-1902fd45afd0","New indicator for damage localization in a thick adhesive joint of a composite material used in a wind turbine blade","Khoshmanesh, S. (TU Delft Wind Energy); Watson, S.J. (TU Delft Wind Energy); Zarouchas, D. (TU Delft Structural Integrity & Composites)","","2023","In this paper, a new indicator to localize fatigue damage in a fibre glass composite structure, i.e. spar cap to shear web thick adhesive joint of a wind turbine blade, is presented. This indicator is based on the effect of damping on the phase of the mode shapes of the structure. When fatigue damage occurs, damping increases in the defective area and this leads to an increase in the local energy dissipation. This non-uniformity in the energy dissipation throughout the structure causes the structure to vibrate with mode shapes whose structural elements no longer have the same phase creating complex mode shapes. A visco-elastic finite element (FE) vibration model is developed for a thick adhesive joint of a wind turbine blade. The mass, stiffness, and damping matrix extracted from the FE model are used to determine the complex mode shapes. The results show that the damaged area is located where the spatial derivative of the phase of the components of the mode shapes is minimum. Changes in the phase of mode shapes of the structural elements are strongly dependent on the location of damage. In the locations where the strain modal energy is greater, the change in the phase is also higher.","Adhesive joint; Damage localization; Damping; Fatigue; Phase of mode shapes","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:8f28f687-d063-4d83-9b2a-09a5e86e5d38","http://resolver.tudelft.nl/uuid:8f28f687-d063-4d83-9b2a-09a5e86e5d38","Asynchronous Hyperbolic UWB Source-Localization and Self-Localization for Indoor Tracking and Navigation","Chiasson, David (Shanghai Jiao Tong University); Lin, Yuan (Shanghai Jiao Tong University); Kok, M. (TU Delft Team Manon Kok); Shull, Peter (Shanghai Jiao Tong University)","","2023","Hyperbolic localization measures the time difference of arrivals (TDOAs) of signals to determine the location of a wireless source or receiver. Traditional methods depend on precise clock synchronization between nodes so that time measurements from independent devices can be meaningfully compared. Imperfect synchronization is often the dominant source of error. We propose two new message based TDOA equations for hyperbolic localization which require no synchronization and meet or exceed state-of-the-art accuracy. Our approaches leverage anchor nodes that observe each other’s packet arrival times and a novel reformulation of the TDOA equation to reduce the effect of clock drift error. Closed-form equations are derived for computing TDOA in both self-localization and source-localization modes of operation along with bounds on maximum clock drift error. Three experiments are performed including a clock drift simulation, a non-line-of-sight (NLOS) simulation, and an indoor validation experiment on custom ultra wideband (UWB) hardware all of which involved eight anchor nodes and one localizing node in a 128m3 capture volume. Our source-localization approach achieved unprecedented accuracy with lower cost equipment and trivial setup. Our self-localization matched state-of-the art accuracy but with infinite scalability and high privacy. These results could enable economical and infinite density indoor navigation and dramatically reduce the economic cost and increase the accuracy of implementing industrial and commercial tracking applications.","Clocks; Distance measurement; Hyperbolic localization; Indoor Navigation; Internet of Things; Location awareness; Multilateration; Privacy; Scalability; Synchronization; TDOA; Ultra wideband (UWB)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-15","","","Team Manon Kok","","",""
"uuid:9829f223-286d-42d0-9cf5-5ac3503cff14","http://resolver.tudelft.nl/uuid:9829f223-286d-42d0-9cf5-5ac3503cff14","Comparison of an Explicit and Implicit Time Integration Method on GPUs for Shallow Water Flows on Structured Grids","Buwalda, F.J.L. (Deltares); de Goede, Erik (Deltares); Knepflé, Maxim (Tygron); Vuik, Cornelis (TU Delft Delft Institute of Applied Mathematics)","","2023","The accuracy, stability and computational efficiency of numerical methods on central processing units (CPUs) for the depth-averaged shallow water equations were well covered in the literature. A large number of these methods were already developed and compared. However, on graphics processing units (GPUs), such comparisons are relatively scarce. In this paper, we present the results of comparing two time-integration methods for the shallow water equations on structured grids. An explicit and a semi-implicit time integration method were considered. For the semi-implicit method, the performance of several iterative solvers was compared. The implementation of the semi-implicit method on a GPU in this study was a novel approach for the shallow water equations. This also holds for the repeated red black (RRB) solver that was found to be very efficient on a GPU. Additionally, the results of both methods were compared with several CPU-based software systems for the shallow water flows on structured grids. On a GPU, the simulations were 25 to 75 times faster than on a CPU. Theory predicts an explicit method to be best suited for a GPU due to the higher level of inherent parallelism. It was found that both the explicit and the semi-implicit methods ran efficiently on a GPU. For very shallow applications, the explicit method was preferred because the stability condition on the time step was not very restrictive. However, for deep water applications, we expect the semi-implicit method to be preferred.","GPU; shallow water equations; time integration; computational efficiency; preconditioning","en","journal article","","","","","","","","","","","","","",""
"uuid:df5a1cd5-033b-4e92-a3ed-1061f670a40c","http://resolver.tudelft.nl/uuid:df5a1cd5-033b-4e92-a3ed-1061f670a40c","Special issue on “Reliability and resilience of emerging mobility systems”, an editorial note","Cats, O. (TU Delft Transport and Planning; KTH Royal Institute of Technology); Derrible, Sybil (University of Illinois at Chicago); Chow, Y.J. (TU Delft Transport and Planning; New York University)","","2023","This Editorial Note accompanies the special issue devoted to the development of new concepts, theories and methods that address reliability and resilience related to the planning, dynamic operation, and level of service of novel mobility systems. This special issue on ‘Reliability and Resilience of Emerging Mobility Systems’ consists of seven papers which are dedicated to methodological and theoretical developments as well as advanced applications in this domain. Several of the contributions originated from works presented at INSTR2021 (the 8th International Symposium on Transport Network Reliability). In this Editorial note we reflect on the contributions made in each of the articles included in this special issue.","disruptions; Network robustness; service reliability; travel time variability","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-04","","","Transport and Planning","","",""
"uuid:63c293aa-c3dd-44eb-87d1-fd108ae7f1d2","http://resolver.tudelft.nl/uuid:63c293aa-c3dd-44eb-87d1-fd108ae7f1d2","“Do I See What You See?”—Differentiation of Stakeholders in Assessing Heritage Significance of Neighbourhood Attributes","Spoormans, L.G.K. (TU Delft Heritage & Architecture); Czischke, D.K. (TU Delft Real Estate Management); Pereira Roders, A. (TU Delft Heritage & Architecture); de Jonge, W. (TU Delft Heritage & Architecture)","","2023","Although attention for citizen involvement in urban development and heritage management processes is growing, both in practice and in research, the specifics of stakeholders’ interests have been less researched. This paper reveals and discusses the assessment by individuals and groups, to differentiate stakeholders, based on the heritage significance they convey on neighbourhood attributes. Fifty-nine interviews on a Dutch neighbourhood in Amsterdam Zuidoost were analysed integrating quantitative and qualitative methods. Results confirm important differences between and within stakeholder groups regarding their interest in particular attribute categories and scales, indicating the need to further specify stakeholders beyond the commonly used ‘community’ and ‘experts’. The identification of stakeholder interests is important to involve relevant groups in the identification and designation of significant attributes, buildings, and areas and to anticipate potential conflicts or shared interests in neighbourhood renovation processes.","stakeholder involvement; neighbourhoods; heritage significance; housing; attributes","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:b923f712-0a89-4bbc-b8df-74bc7cf92396","http://resolver.tudelft.nl/uuid:b923f712-0a89-4bbc-b8df-74bc7cf92396","Automated Classification of Well Test Responses in Naturally Fractured Reservoirs Using Unsupervised Machine Learning","Freites, Alfredo (Heriot-Watt University); Corbett, P. W.M. (Heriot-Watt University); Rongier, G. (TU Delft Applied Geology); Geiger, S. (TU Delft Applied Geology)","","2023","Understanding the impact of fractures on fluid flow is fundamental for developing geoenergy reservoirs. Pressure transient analysis could play a key role for fracture characterization purposes if better links can be established between the pressure derivative responses (p′) and the fracture properties. However, pressure transient analysis is particularly challenging in the presence of fractures because they can manifest themselves in many different p′ curves. In this work, we aim to provide a proof-of-concept machine learning approach that allows us to effectively handle the diversity in fracture-related p′ curves by automatically classifying them and identifying the characteristic fracture patterns. We created a synthetic dataset from numerical simulation that comprised 2560 p′ curves that represent a wide range of fracture network properties. We developed an unsupervised machine learning approach that can distinguish the temporal variations in the p′ curves by combining dynamic time warping with k-medoids clustering. Our results suggest that the approach is effective at recognizing similar shapes in the p′ curves if the second pressure derivatives are used as the classification variable. Our analysis indicated that 12 clusters were appropriate to describe the full collection of p′ curves in this particular dataset. The classification exercise also allowed us to identify the key geological features that influence the p′ curves in this particular dataset, namely (1) the distance from the wellbore to the closest fracture(s), (2) the local/global fracture connectivity, and (3) the local/global fracture intensity. With additional training data to account for a broader range of fracture network properties, the proposed classification method could be expanded to other naturally fractured reservoirs and eventually serve as an interpretation framework for understanding how complex fracture network properties impact pressure transient behaviour.","Fractured reservoirs; Machine learning; Well tests; Classification","en","journal article","","","","","","","","","","","Applied Geology","","",""
"uuid:71845bfe-2100-4fc4-b767-3adffac41acb","http://resolver.tudelft.nl/uuid:71845bfe-2100-4fc4-b767-3adffac41acb","Ultrasonic Characterization of Ibidi μ-Slide I Luer Channel Slides for Studies With Ultrasound Contrast Agents","Zangabad, Reza Pakdaman (Erasmus MC); Li, H. (Erasmus MC); Kouijzer, Joop J.P. (Erasmus MC); Langeveld, Simone A.G. (Erasmus MC); Beekers, Ines (Erasmus MC); Verweij, M.A. (TU Delft Technology, Policy and Management); de Jong, N. (TU Delft ImPhys/De Jong group; Erasmus MC); Kooiman, Klazina (Erasmus MC)","","2023","Understanding and controlling the ultrasound contrast agent (UCA)'s response to an applied ultrasound pressure field are crucial when investigating ultrasound imaging sequences and therapeutic applications. The magnitude and frequency of the applied ultrasonic pressure waves affect the oscillatory response of the UCA. Therefore, it is important to have an ultrasound compatible and optically transparent chamber in which the acoustic response of the UCA can be studied. The aim of our study was to determine the in situ ultrasound pressure amplitude in the ibidi μ -slide I Luer channel, an optically transparent chamber suitable for cell culture, including culture under flow, for all microchannel heights (200, 400, 600, and 800 μm). First, the in situ pressure field in the 800- μm high channel was experimentally characterized using Brandaris 128 ultrahigh-speed camera recordings of microbubbles (MBs) and a subsequent iterative processing method, upon insonification at 2 MHz, 45° incident angle, and 50-kPa peak negative pressure (PNP). Control studies in another cell culture chamber, the CLINIcell, were compared with the obtained results. The pressure amplitude was -3.7 dB with respect to the pressure field without the ibidi μ -slide. Second, using finite-element analysis, we determined the in situ pressure amplitude in the ibidi with the 800- μm channel (33.1 kPa), which was comparable to the experimental value (34 kPa). The simulations were extended to the other ibidi channel heights (200, 400, and 600 μm) with either 35° or 45° incident angle, and at 1 and 2 MHz. The predicted in situ ultrasound pressure fields were between -8.7 and -1.1 dB of the incident pressure field depending on the listed configurations of ibidi slides with different channel heights, applied ultrasound frequencies, and incident angles. In conclusion, the determined ultrasound in situ pressures demonstrate the acoustic compatibility of the ibidi μ -slide I Luer for different channel heights, thereby showing its potential for studying the acoustic behavior of UCAs for imaging and therapy.","Acoustics; Biomedical optical imaging; drug delivery; Imaging; Microbubble; Optical variables control; Oscillators; Recording; ultra-high-speed imaging; Ultrasonic characterization; Ultrasonic imaging; ultrasound contrast agents (UCAs)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-27","Technology, Policy and Management","","ImPhys/De Jong group","","",""
"uuid:85cb7d5b-dd04-4aee-b0ab-084fecadbb89","http://resolver.tudelft.nl/uuid:85cb7d5b-dd04-4aee-b0ab-084fecadbb89","Conformable Inflatable Wings Woven Using a Jacquard Technique","Breuer, J.C.M. (Swiss Federal Laboratories for Materials Science and Technology (Empa); TNO); Luchsinger, Rolf (Swiss Federal Laboratories for Materials Science and Technology (Empa); TwingTec); Schmehl, R. (TU Delft Wind Energy)","","2023","Inflatable wings are of interest for applications where low weight, compact transport volume, and easy set-up are important. Examples are unmanned aerial vehicles with inflatable wings, paragliders and softkites for sport or airborne wind-energy applications. In this paper, a new method of designing and fabricating conformable inflatable wings by Jacquard three-dimensional weaving is presented. Depending on the weaving pattern, plane-parallel, tapered, or even curved structures can be produced. An analytical framework was developed to determine the shapes of pressurized structures produced by Jacquard weaving. Based on this theory, several design patterns suitable for inflatable wings are proposed. It is shown that the structural efficiency of the woven structure is identical to the structural efficiency of a cylinder. To validate the concept, different wing prototypes were built with the methods used for the mass production of airbags. The new method allows for the cost-efficient fabrication of inflatable structures, pressure vessels, and liquid containers with applications in the automotive, aerospace, and leisure industries.","one-piece woven; Jacquard weaving; pressure vessels; inflatable structures; airborne wind energy; inflatable wings","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:2891474a-3499-4802-85a0-f505795d3e52","http://resolver.tudelft.nl/uuid:2891474a-3499-4802-85a0-f505795d3e52","Understanding Sentinel-1 backscatter response to sugarcane yield variability and waterlogging","den Besten, N.I. (TU Delft Water Resources; Planet Labs Inc.); Steele-Dunne, S.C. (TU Delft Mathematical Geodesy and Positioning); Mahmud, Ashfak (University of Helsinki); Jackson, Daniel (Planet Labs Inc.); Aouizerats, Benjamin (Planet Labs Inc.); de Jeu, Richard (Planet Labs Inc.); Burger, Rogier (Planet Labs Inc.); Houborg, Rasmus (Planet Labs Inc.); McGlinchey, Mark (SQR Software); van der Zaag, P. (TU Delft Water Resources; IHE Delft Institute for Water Education)","","2023","Sentinel-1 observes the whole globe every 12 days (6 days when both satellites were operational) and provides a wealth of data relevant to agriculture. Sugarcane cultivators could potentially benefit from these data by using them to assist operational and management practices. However, first, thorough understanding is needed of Sentinel-1 backscatter and its behavior over sugarcane canopies. In this study, we aimed to improve understanding of how Sentinel-1 backscatter responds to sugarcane yield variability and waterlogging. In order to do so we focused on an irrigated sugarcane plantation in Xinavane, Mozambique. In the analysis presented, we assessed different polarizations, their ratio, and benchmarked them against optical indices and passive microwave observations in different seasons. With the help of a large sugarcane yield dataset, we analyzed how backscatter relates to sucrose yield variability in different seasons. We found VV backscatter related to the stalk development, the most important reservoir for sucrose accumulation. In addition, in a season with reported waterlogging, optical and radar observations showed a delay in sugarcane crop development. Further analysis showed the presence of water underneath the canopy caused an increase in all polarizations and the cross ratio (CR). The results imply that Sentinel-1 backscatter contains information on both waterlogging under the canopy as well as sucrose development in the stalk. By isolating and quantifying the impact of waterlogging on backscatter, it will be possible to further quantify sucrose development with backscatter observations and identify waterlogging simultaneously.","Agriculture; Crop monitoring; Sentinel-1; Sucrose; Sugarcane yield; Synthetic Aperture Radar (SAR); Waterlogging","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:289721dc-db65-400d-8f29-45b15903a1dd","http://resolver.tudelft.nl/uuid:289721dc-db65-400d-8f29-45b15903a1dd","Effects of nanobubbles on methane hydrate dissociation: A molecular simulation study","Fang, B. (TU Delft Engineering Thermodynamics; China University of Geosciences); Moultos, O. (TU Delft Engineering Thermodynamics); Lü, Tao (China University of Geosciences; Hubei Key Laboratory of Advanced Control and Intelligent Automation for Complex Systems,); Sun, Jiaxin (China University of Geosciences); Liu, Z. (China University of Geosciences); Ning, Fulong (Qingdao National Laboratory for Marine Science and Technology; China University of Geosciences); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics)","","2023","Hydrate dissociation is often accompanied by the formation of nanobubbles. Knowledge of the effects of nanobubbles on hydrate dissociation is essential for understanding the dynamic behavior of the hydrate phase change and improving the gas production efficiency. Here, molecular dynamics simulations were performed to study the methane hydrate dissociation kinetics with and without a pre-existing methane nanobubble. The results show that the hydrate cluster in the liquid phase dissociates layer-by-layer. This process is shown to be independent of the temperature and nanobubble presence at the simulation conditions. Hydrate dissociation does not always lead to nanobubble formation because the supersaturated methane solution can be stable for a long time. A steep methane concentration gradient was observed between the hydrate cluster surface and the methane nanobubble, which can enhance the directional migration of methane and effectively minimize the methane concentration in the liquid phase, thereby increasing the driving force for the hydrate dissociation. Our findings indicate that the presence of a nanobubble near the hydrate surface does not decrease the activation energy of hydrate dissociation, but it can increase the intrinsic decomposition rate. The average hydrate dissociation rate is linearly correlated with the mass flow rate towards the nanobubble. The mass flow rate is determined by the nanobubble size and hydrate-nanobubble distance. Our findings contribute to the fundamental understanding of the dissociation mechanism of gas hydrates in the liquid phase, which is crucial for the design and optimization of efficient gas hydrate production techniques.","","en","journal article","","","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:ef628c1d-65ee-4023-96aa-a1a76b4625f8","http://resolver.tudelft.nl/uuid:ef628c1d-65ee-4023-96aa-a1a76b4625f8","A New Framework of 17 Hydrological Ecosystem Services (HESS17) for Supporting River Basin Planning and Environmental Monitoring","Hà, T.L. (TU Delft Water Resources; Institute of Water Resources Planning); Bastiaanssen, W.G.M. (TU Delft Water Resources; IrriWatch); Simons, Gijs W. H. (FutureWater -Wageningen); Poortinga, Ate (SERVIR-Mekong; Spatial Informatics Group)","","2023","Hydrological ecosystem services (HESS) describe the benefits of water for multiple purposes with an emphasis on environmental values. The value of HESS is often not realized because primary benefits (e.g., food production, water withdrawals) get the most attention. Secondary benefits such as water storage, purification or midday temperature cooling are often overlooked. This results in an incorrect evaluation of beneficial water usage in urban and rural resettlements and misunderstandings when land use changes are introduced. The objective of this paper is to propose a standard list of 17 HESS indicators that are in line with the policy and philosophy of the Consultative Group of International Agricultural Research (CGIAR) and that are measurable with earth observation technologies in conjunction with GIS and hydrological models. The HESS17 framework considered indicators that can be directly related to water flows, water fluxes and water stocks; they have a natural characteristic with minimal anthropogenic influence and must be quantifiable by means of earth observation models in combination with GIS and hydrological models. The introduction of a HESS framework is less meaningful without proper quantification procedures in place. Because of the widely diverging management options, the role of water should be categorized as (i) consumptive use (i.e., evapotranspiration and dry matter production) and (ii) non-consumptive use (stream flow, recharge, water storage). Governments and responsible agencies for integrated water management should recognize the need to include HESS17 in water allocation policies, water foot-printing, water accounting, transboundary water management, food security purposes and spatial land-use planning processes. The proposed HESS17 framework and associated methods can be used to evaluate land, soil and water conservation programs. This paper presents a framework that is non-exhaustive but can be realistically computed and applicable across spatial scales.","hydrological ecosystemservices; remote sensing; ecosystem services framework; ecosystem services accounting","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:9c3bf83f-443d-4666-94e9-d9ef5c86c835","http://resolver.tudelft.nl/uuid:9c3bf83f-443d-4666-94e9-d9ef5c86c835","The Potential of Deep Learning for Satellite Rainfall Detection over Data-Scarce Regions, the West African Savanna","Estebanez Camarena, M. (TU Delft Water Resources); Taormina, R. (TU Delft Sanitary Engineering); van de Giesen, N.C. (TU Delft Water Resources); ten Veldhuis, Marie-claire (TU Delft Water Resources)","","2023","Food and economic security in West Africa rely heavily on rainfed agriculture and are threatened by climate change and demographic growth. Accurate rainfall information is therefore crucial to tackling these challenges. Particularly, information about the occurrence and length of droughts as well as the onset date of the rainy season is essential for agricultural planning. However, existing rainfall models fail to accurately represent the highly variable and sparsely monitored West African rainfall patterns. In this paper, we show the potential of deep learning (DL) to model rainfall in the region and propose a methodology to develop DL models in data-scarce areas. We built two DL models for satellite rainfall (rain/no-rain) detection over northern Ghana from Meteosat TIR data based on standard DL architectures: Convolutional neural networks (CNNs) and convolutional long short-term memory neural networks (ConvLSTM). The Integrated Multi-satellitE Retrievals for the Global Precipitation Measurement (GPM) mission (IMERG) and Precipitation Estimation from Remotely Sensed Imagery Using an Artificial Neural Network Cloud Classification System (PERSIANN-CCS) products are used as benchmarks. We use rain gauge data from the Trans-African Hydro-Meteorological Observatory (TAHMO) for model development and performance evaluation. We show that our models compare well against existing products despite being considerably simpler, developed with a small training dataset—i.e., 8 stations covering 2.5 years with 20.4% of the data missing—and using TIR data alone. Concretely, our models consistently outperform PERSIANN-CCS for rain/no-rain detection at a sub-daily timescale. While IMERG is the overall best performer, the DL models perform better in the second half of the rainy season despite their simplicity (i.e., up to 120 k parameters). Our results suggest that DL-based regional models are a promising alternative to state-of-the-art global products for providing regional rainfall information, especially in meteorologically complex regions such as the (sub)tropics, which are poorly covered by ground-based rainfall observations.","deep learning; CNN; ConvLSTM; rainfall detection; satellite rainfall retrieval; West Africa; northern Ghana","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:f1a0875b-dc8c-4d21-902a-269ff4a3010b","http://resolver.tudelft.nl/uuid:f1a0875b-dc8c-4d21-902a-269ff4a3010b","Investigation of compression after impact failure in carbon fiber reinforced polymers using acoustic emission","Biagini, D. (TU Delft Structural Integrity & Composites); Pascoe, J.A. (TU Delft Structural Integrity & Composites); Alderliesten, R.C. (TU Delft Structural Integrity & Composites)","","2023","Although several studies have been performed, the compression after impact (CAI) failure of CFRP is still not entirely understood. It is still unclear what sequence of events determines the onset of failure in CAI tests and how the different damage modes are involved in this process. To experimentally investigate this matter, the present work relies on acoustic emission (AE) monitoring and advanced acoustic signal analysis. A series of preliminary tests was conducted to correlate damage modes with recorded acoustic waveforms. Four types of waveforms were separated and associated to different damage modes. Following the preliminary tests, AE was monitored in actual CAI tests. A damage accumulation study was conducted combining three indicators, namely wavelet packet components, sentry function and energy b-value. The results evidence different phases in the damage accumulation process that were not shown in previous literature. In all specimens, the onset of the unstable damage accumulation appeared to be triggered by an intermediate frequency acoustic event associated to a combination of matrix cracking and fiber-matrix debonding, occurring at 80% of failure displacement.","Barely visible impact damage; damage modes; low velocity impact; wavelets","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:d8bb9275-6f3f-400c-a4e2-52f4bfce74f6","http://resolver.tudelft.nl/uuid:d8bb9275-6f3f-400c-a4e2-52f4bfce74f6","A framework for assessing the remaining life of storm surge barriers","Vader, Hidde (Deltares; Royal HaskoningDHV; Student TU Delft); Bakker, A.M.R. (TU Delft Hydraulic Structures and Flood Risk; Rijkswaterstaat); Jonkman, Sebastiaan N. (TU Delft Hydraulic Structures and Flood Risk); van den Boomen, M. (TU Delft Integral Design & Management); van Baaren, Esther (Deltares); Diermanse, Ferdinand L.M. (Deltares)","","2023","Over the course of the last century, storm surge barriers have been built in several countries and proven to be successful in preventing flooding. However, the operation, reliability, and remaining life of these structures have come under increased pressure due to changing demands, intensified utilisation, and climate change. Yet, there is relatively little known about how these factors affect the remaining life of storm surge barriers. To address this issue, a framework is presented to assess the impacts of external drivers on the remaining life in a systematic manner. The framework considers both the technical state and functional performance and uses scenarios to evaluate the impact of external drivers. The application of the framework is demonstrated for the Hollandsche IJssel barrier (the Netherlands). The results indicate that sea level rise (SLR) is the dominant physical driver. Even in moderate SLR scenarios, the lifespan of the barrier may end in the 2040s if the functional performance with respect to flood protection and navigation cannot be improved. Ultimately, the study demonstrates how the remaining life of storm surge barriers could be assessed systematically and the impact of external drivers on the remaining life could be evaluated.","Flood defences; functional life; hydraulic structures; lifespan; remaining life; sea level rise; storm surge barriers","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:2910ea09-5226-4311-9106-31ff7cf6cd14","http://resolver.tudelft.nl/uuid:2910ea09-5226-4311-9106-31ff7cf6cd14","On the use of common random numbers in activity-based travel demand modeling for scenario comparison","Zhou, H. (Universiteit van Amsterdam; TNO); Dorsman, J. L. (Universiteit van Amsterdam); Mandjes, M. (Universiteit van Amsterdam); Snelder, M. (TU Delft Transport and Planning; TNO)","","2023","Activity-based travel demand models provide a high level of detail when modeling complex travel behavior. Since stochastic simulation is used, however, this high level may induce large random fluctuations in the output, necessitating many model reruns to produce reliable output. This may become prohibitive in terms of computation time when comparing travel behavior between multiple scenarios, in which case each scenario requires its own simulation. To alleviate this issue, we study the use of common random numbers, which is a technique that reuses the same random numbers for choices made by travelers between scenarios. This ensures that any observed difference in output across scenarios cannot be attributed to mutual differences in drawn random numbers, eliminating an important source of random fluctuation. We demonstrate by a numerical study that common random numbers can greatly reduce the number of runs needed, and thus also the required computation time, to obtain reliable output.","activity-based modeling; common random numbers; Scenario comparison; travel demand","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-22","","","Transport and Planning","","",""
"uuid:3fb1e828-c2de-462b-b2ca-2cba96ca2568","http://resolver.tudelft.nl/uuid:3fb1e828-c2de-462b-b2ca-2cba96ca2568","Determination of Spatially-Distributed Hydrological Ecosystem Services (HESS) in the Red River Delta Using a Calibrated SWAT Model","Hà, T.L. (TU Delft Water Resources; Institute of Water Resources Planning); Bastiaanssen, W.G.M. (TU Delft Water Resources; IrriWatch)","","2023","The principles of Integrated Water Resources Management (IWRM), conservation of natural capital, and water accounting requires Hydrological Eco-System Services (HESS) to be determined. This paper presents a modeling approach for quantifying the HESS framework using the Soil Water Assessment Tool (SWAT). SWAT was used–after calibration against remote sensing data–to quantify and spatially identify total runoff, natural livestock feed production, fuelwood from natural forests, dry season flow, groundwater recharge, root zone storage for carrying over water from wet to dry season, sustaining rainfall, peak flow attenuation, carbon sequestration, microclimate cooling, and meeting environmental flow requirements. The environmental value of the current land use and vegetation was made explicit by carrying out parallel simulations for bare soil and vegetation conditions and reporting the incremental ecosystem services. Geographical areas with more and fewer HESS are identified. The spatial and temporal variability of annual HESS services is demonstrated for the Day Basin—which is part of the Red River delta (Vietnam)—for the period 2003 to 2013. The result shows that even though the basin is abundant with HESS, e.g., 7482 m3/ha of runoff, 3820 m3/ha of groundwater recharge, the trend for many HESS values, e.g., micro-climate cooling, meeting environmental flow requirements, and rootzone storage, are declining. It is found and proven that quantified HESS indicators highlighted the provisioning and regulating characters of ecosystem services, as well as geographical hotspots across the basin. The SWAT model shows the capability of simulating terrestrial eco-hydrological processes such as climate, soil, and current land use. The methodology illustrates how eco-hydrologists can benchmark ecosystem values and include HESS in exploring river basin management scenarios, climate change studies, and land use planning.","hydrological ecosystem services; hydrological modeling; remote sensing; ecosystem service accounting; SWAT; Red River Basin","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:32b7cd0f-15b1-4519-99d9-3567976b5085","http://resolver.tudelft.nl/uuid:32b7cd0f-15b1-4519-99d9-3567976b5085","Fuzzy logic based active vibration control using novel photostrictive composites","Singh, Diwakar (Indian Institute of Technology Mandi); Sharma, S. (TU Delft Transport Engineering and Logistics); Kumar, Rajeev (Indian Institute of Technology Mandi); Chauhan, Vishal S. (Indian Institute of Technology Mandi); Vaish, Rahul (Indian Institute of Technology Mandi)","","2023","Although conventional actuators like piezoelectric and electrostrictive are efficient, but they required hard wiring, which contaminates the control signal and adds to the weight of the structure. The current study presents a wireless control strategy using photostrictive actuators. Owing to the fortunate combination of photovoltaic effect and converse piezoelectric effect, a photostrictive actuator can generate mechanical strain, when irradiated with light intensity. Limited choices of photostrictive material with high electromechanical coupling coefficient give the motivation to design photostrictive composites. The finite element-based formulation incorporating fuzzy logic controller is employed to study the active vibration control response of cantilever structure when equipped with photostrictive composite actuator. A parametric study has been carried out to study the influence of inclusion's volume fraction on wireless active vibration control of the structure. Control merits have been defined to compare the control performance of different composites. It is found that particulate composites are the better choice for lightweight structure and fiber composites are better if there is no weight constraint.","Actuator; Composite; Finite element method; Fuzzy logic control; Photostriction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-21","","","Transport Engineering and Logistics","","",""
"uuid:cf24bdba-6144-4fd4-83d1-105d8a823e9e","http://resolver.tudelft.nl/uuid:cf24bdba-6144-4fd4-83d1-105d8a823e9e","A gap-filling algorithm selection strategy for GRACE and GRACE Follow-On time series based on hydrological signal characteristics of the individual river basins","Karimi, Hamed (Technische Universität München); Iran-Pour, Siavash (University of Isfahan); Amiri Simkooei, A. (TU Delft Optical and Laser Remote Sensing); Babadi, Masoud (University of Isfahan)","","2023","Gravity recovery and climate experiment (GRACE) and GRACE Follow-On (GRACE-FO) are Earth's gravity satellite missions with hydrological monitoring applications. However, caused by measuring instrumental problems, there are several temporal missing values in the dataset of the two missions where a long gap between the mission dataset also exists. Recent studies utilized different gap-filling methodologies to fill those data gaps. In this article, we employ a variety of singular spectrum analysis (SSA) algorithms as well as the least squares-harmonic estimation (LS-HE) approach for the data gap-filling. These methods are implemented on six hydrological basins, where the performance of the algorithms is validated for different artificial gap scenarios. Our results indicate that each hydrological basin has its special behaviour. LS-HE outperforms the other algorithms in half of the basins, whereas in the other half, SSA provides a better performance. This highlights the importance of different factors affecting the deterministic signals and stochastic characteristics of climatological time series. To fill the missing values of such time series, it is therefore required to investigate the time series behaviour on their time-invariant and time-varying characteristics before processing the series.","Gap-Filling; GRACE Follow-On; Gravity Recovery And Climate Experiment (GRACE); Least Squares-Harmonic Estimation (LS-HE); Singular Spectrum Analysis (SSA)","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:4617b092-564d-4ec2-b8e2-6328cd50d487","http://resolver.tudelft.nl/uuid:4617b092-564d-4ec2-b8e2-6328cd50d487","On parameter bias in earthquake sequence models using data assimilation","Banerjee, A. (TU Delft Reservoir Engineering); van Dinther, Ylona (Universiteit Utrecht); Vossepoel, F.C. (TU Delft Reservoir Engineering)","","2023","The feasibility of physics-based forecasting of earthquakes depends on how well models can be calibrated to represent earthquake scenarios given uncertainties in both models and data. We investigate whether data assimilation can estimate current and future fault states, i.e., slip rate and shear stress, in the presence of a bias in the friction parameter. We perform state estimation as well as combined state-parameter estimation using a sequential-importance resampling particle filter in a zero-dimensional (0D) generalization of the Burridge–Knopoff spring–block model with rate-and-state friction. Minor changes in the friction parameter ϵ can lead to different state trajectories and earthquake characteristics. The performance of data assimilation with respect to estimating the fault state in the presence of a parameter bias in ϵ depends on the magnitude of the bias. A small parameter bias in ϵ (+3 %) can be compensated for very well using state estimation (R2 = 0.99), whereas an intermediate bias (−14 %) can only be partly compensated for using state estimation (R2 = 0.47). When increasing particle spread by accounting for model error and an additional resampling step, R2 increases to 0.61. However, when there is a large bias (−43 %) in ϵ, only state-parameter estimation can fully account for the parameter bias (R2 = 0.97). Thus, simultaneous state and parameter estimation effectively separates the error contributions from friction and shear stress to correctly estimate the current and future shear stress and slip rate. This illustrates the potential of data assimilation for the estimation of earthquake sequences and provides insight into its application in other nonlinear processes with uncertain parameters.","","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:2edac161-dd7d-485b-9680-ced025033319","http://resolver.tudelft.nl/uuid:2edac161-dd7d-485b-9680-ced025033319","Techno-fixing non-compliance - Geoengineering, ideal theory and residual responsibility","Sand, M. (TU Delft Ethics & Philosophy of Technology); Hofbauer, B. (TU Delft Ethics & Philosophy of Technology); Alleblas, J. (TU Delft Ethics & Philosophy of Technology)","","2023","After years of missing the agreed upon goals for carbon reduction, we might conclude that global climate policies set infeasible standards to halt climate change. The widespread non-compliance of many signees with frameworks such as the Paris Agreement indicates that these frameworks were too optimistic regarding the signees’ motivation to act. One of the suggested ways out of this impasse, is geoengineering, which is seen as a “techno-fix” of the non-compliance problem, relieving signees and other actors of some, or most, of their mitigation duties. This paper scrutinizes different approaches towards climate mitigation that focus on behavioral change or on technological solutions. We argue that these different approaches do not originate from categorically different theories of climate justice. Indeed, seemingly realistic and seemingly idealistic proposals do not disagree on the substance of climate justice, but about what is to be considered feasible. Furthermore, by applying this dialectic lens on ideal vs. non-ideal theorizing in the context of climate justice, we show that (backward-looking) residual responsibility is an overlooked aspect of geoengineering as a (forward-looking) non-ideal approach to achieve climate justice. We will outline three possible consequences of this moral residue: 1) Residual responsibility can provide grounds to demand compensation, 2) it can constitute other forward-looking responsibilities (e.g., the maintenance of geoengineering technologies) and 3) it provides a reason to employ other techno-fixes equal in effectiveness and risks that do not sidestep the problem of non-compliance.","Geoengineering; Responsibility; Techno-fix; Ideal theory; Non-compliance; Climate change","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:9835fecc-4736-42fc-a08c-26a811089f69","http://resolver.tudelft.nl/uuid:9835fecc-4736-42fc-a08c-26a811089f69","Microbiome, resistome and mobilome of chlorine-free drinking water treatment systems","Calderon Franco, D. (TU Delft BT/Environmental Biotechnology); Corbera Rubio, F. (TU Delft BT/Environmental Biotechnology); Cuesta Sanz, M. (TU Delft BT/Environmental Biotechnology); Pieterse, Brent (Dunea); de Ridder, David (Evides); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); van Halem, D. (TU Delft Sanitary Engineering); Laureni, M. (TU Delft Sanitary Engineering); Weissbrodt, D.G. (TU Delft BT/Environmental Biotechnology; Norwegian University of Life Sciences (NMBU))","","2023","Drinking water treatment plants (DWTPs) are designed to remove physical, chemical, and biological contaminants. However, until recently, the role of DWTPs in minimizing the cycling of antibiotic resistance determinants has got limited attention. In particular, the risk of selecting antibiotic-resistant bacteria (ARB) is largely overlooked in chlorine-free DWTPs where biological processes are applied. Here, we combined high-throughput quantitative PCR and metagenomics to analyze the abundance and dynamics of microbial communities, antibiotic resistance genes (ARGs), and mobile genetic elements (MGEs) across the treatment trains of two chlorine-free DWTPs involving dune-based and reservoir-based systems. The microbial diversity of the water increased after all biological unit operations, namely rapid and slow sand filtration (SSF), and granular activated carbon filtration. Both DWTPs reduced the concentration of ARGs and MGEs in the water by circa 2.5 log gene copies mL−1, despite their relative increase in the disinfection sub-units (SSF in dune-based and UV treatment in reservoir-based DWTPs). The total microbial concentration was also reduced (2.5 log units), and none of the DWTPs enriched for bacteria containing genes linked to antibiotic resistance. Our findings highlight the effectiveness of chlorine-free DWTPs in supplying safe drinking water while reducing the concentration of antibiotic resistance determinants. To the best of our knowledge, this is the first study that monitors the presence and dynamics of antibiotic resistance determinants in chlorine-free DWTPs.","Drinking water treatment plants; Chlorine-free; Sand filtration; Microbiome; Resistome; Mobilome","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:bd0fd9a7-0387-430d-8fc4-f8cb870299f2","http://resolver.tudelft.nl/uuid:bd0fd9a7-0387-430d-8fc4-f8cb870299f2","Non-Parametric and Robust Sensitivity Analysis of the Weather Research and Forecast (WRF) Model in the Tropical Andes Region","Hinestroza-Ramirez, Jhon E. (Universidad EAFIT); Rengifo-Castro, Juan David (Universidad EAFIT); Quintero, Olga Lucia (Universidad EAFIT); Yarce Botero, A. (TU Delft Atmospheric Remote Sensing; Universidad EAFIT); Rendon-Perez, Angela Maria (Universidad de Antioquia)","","2023","With the aim of understanding the impact of air pollution on human health and ecosystems in the tropical Andes region (TAR), we aim to couple the Weather Research and Forecasting Model (WRF) with the chemical transport models (CTM) Long-Term Ozone Simulation and European Operational Smog (LOTOS–EUROS), at high and regional resolutions, with and without assimilation. The factors set for WRF, are based on the optimized estimates of climate and weather in cities and urban heat islands in the TAR region. It is well known in the weather research and forecasting field, that the uncertainty of non-linear models is a major issue, thus making a sensitivity analysis essential. Consequently, this paper seeks to quantify the performance of the WRF model in the presence of disturbances to the initial conditions (IC), for an arbitrary set of state-space variables (pressure and temperature), simulating a disruption in the inputs of the model. To this aim, we considered three distributions over the error term: a normal standard distribution, a normal distribution, and an exponential distribution. We analyze the sensitivity of the outputs of the WRF model by employing non-parametric and robust statistical techniques, such as kernel distribution estimates, rank tests, and bootstrap. The results show that the WRF model is sensitive in time, space, and vertical levels to changes in the IC. Finally, we demonstrate that the error distribution of the output differs from the error distribution induced over the input data, especially for Gaussian distributions.","sensitivity analysis; initial condition perturbation; ensemble approach; non-parametric statistic","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:6df5fba9-b547-4430-a9e1-a972b461b8f9","http://resolver.tudelft.nl/uuid:6df5fba9-b547-4430-a9e1-a972b461b8f9","Aircraft Engine Bleed Valve Prognostics Using Multiclass Gated Recurrent Unit","Lourenço Baptista, M. (TU Delft Air Transport & Operations); Prendinger, Helmut (National Institute of Informatics)","","2023","Prognostics and health management is an engineering discipline that aims to support system operation while ensuring maximum safety and performance. Prognostics is a key step of this framework, focusing on developing effective maintenance policies based on predictive methods. Traditionally, prognostics models forecast the degradation process using regression techniques that approximate a mapping function from input to continuous remaining useful life estimates. These models are typically of high complexity and low interpretability. Classification approaches are an alternative solution to these types of models. We propose a predictive classification model that translates the input into discrete output variables instead of mapping the input to a single remaining useful life estimate. Each discrete output variable corresponds to a range of remaining useful life values. In other words, each output class variable represents the likelihood or risk of failure within a specific time range. We apply this model to a real-world case study involving the unscheduled and scheduled removals of a set of engine bleed valves from a fleet of Boeing 737 aircraft. The model can reach an area under the (micro-average) receiver operating characteristic curve of 72%. Our results suggest that the proposed multiclass gated recurrent unit network can provide valuable information about the different fault stages (corresponding to intervals of residual lives) of the studied valves.","prognostics; data-driven; multiclassification; degradation stages; gated recurrent unit","en","journal article","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:2904d449-a183-4d30-b6f5-f290c28ed0aa","http://resolver.tudelft.nl/uuid:2904d449-a183-4d30-b6f5-f290c28ed0aa","Operation Approval for Commercial Airborne Wind Energy Systems","Salma, V. (TU Delft Wind Energy; European Space Agency (ESA)); Schmehl, R. (TU Delft Wind Energy)","","2023","Integrating the operation of airborne wind energy systems safely into the airspace requires a systematic qualification process. It seems likely that the European Union Aviation Safety Agency will approve commercial systems as unmanned aircraft systems within the “specific” category, requiring risk-based operational authorization. In this paper, we interpret the risk assessment methodology for airborne wind energy systems, going through the ten required steps of the recommended procedure and discussing the particularities of tethered energy-harvesting systems. Although the described process applies to the entire field of airborne wind energy, we detail it for a commercial flexible-wing airborne wind energy system. We find that the air risk mitigations improve the consolidated specific assurance and integrity level by a factor of two. It is expected that the framework will increase the safety level of commercial airborne wind energy systems and ultimately lead to operation approval.","airborne wind energy; ethered UAS; pecific operations risk assessment; SORA; CONOPS; SAIL; specific category; certification; safety requirements","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:08c2f076-b8d0-4c44-931d-7dc922471367","http://resolver.tudelft.nl/uuid:08c2f076-b8d0-4c44-931d-7dc922471367","Analyzing Sustainability Awareness and Professional Ethics of Civil Engineering Bachelor’s Degree Students","Mares Nasarre, P. (TU Delft Hydraulic Structures and Flood Risk); Martínez-Ibáñez, Víctor (Universitat Politécnica de Valencia); Sanz-Benlloch, Amalia (Universitat Politécnica de Valencia)","","2023","Teaching sustainability and ethics to engineering students is a challenging but necessary task that has been increasingly investigated during the last few years. In this research, a systematic method to identify the level of awareness in students about sustainability and ethics is developed. Here, it is applied to students studying the Civil Engineering bachelor’s degree at the School of Civil Engineering of Universitat Politècnica de València (UPV), though this method is potentially applicable to equivalent ABET and EUR-ACE accredited bachelor’s degrees. A survey was performed, and data was analyzed using Analytical hierarchical process technique; this technique determines the relative importance of each criterion, as well as the consistency of the emitted judgements, in an objective manner. These results allowed to discover that students do not have a robust opinion related to sustainability and ethics, except those students with previous experience in the construction sector. Environmental and social dimensions of sustainability and ethics were identified as the main focuses to emphasize in the civil engineering curriculum. Finally, actions to boot these principles are also proposed; potential courses where sustainability and ethics concepts could be explicitly included were selected and the inclusion of an environmental budget in the bachelor thesis was recommended, among others suggestions.","education; sustainability; professional ethics; civil engineering; sustainable development goals; professional skills","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:fdb6d968-2d3b-4527-9302-8930174d19e7","http://resolver.tudelft.nl/uuid:fdb6d968-2d3b-4527-9302-8930174d19e7","Fatigue behavior evaluation of full-scale OSD-UHPC composite bridge deck system","Shi, Z. (TU Delft Steel & Composite Structures; Tongji University); Su, Qingtian (Tongji University; Shanghai Engineering Research Center of High Performance Composite Bridges); Kavoura, Dr. Florentia (TU Delft Steel & Composite Structures); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2023","To investigate the fatigue performance and fatigue damage process of the Orthotropic Steel Deck (OSD) - Ultra-High Performance Concrete (UHPC) composite bridge deck, a two-span continuous full-scale specimen was designed and tested under cyclic loading. Test results showed that the fatigue cracks firstly initiated near the lower part of the weld toe of the rib-to-cross beam welded joint, and then cracks along the weld length of the U-rib butt-welded joint developed. These observations followed by the OSD-UHPC interface debonding. The U-rib bolted joint exhibited better fatigue resistance than the U-rib butt-welded joint. The S-N curves of the rib-to-cross beam welded joint, the U-rib butt-welded joint and the U-rib bolted joint were established based on existing fatigue test data, and were compared with provisions in design codes. The S-N curves from the beam test for the short-headed stud connectors were compared with that from the push-out test. And the established S-N curves with 95% survival probability from the push-out test could be used to assess the global fatigue performance of the composite deck. Considering the durability-based critical crack width of UHPC, the established tensile S-N curve regarding critical UHPC crack width of 0.05 mm could be used to evaluate the anti-fatigue cracking ability of the UHPC layer in the composite deck system.","OSD-UHPC composite deck; Fatigue damage; S-N curve; Fatigue behavior evaluation; Fatigue strength","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-15","","","Steel & Composite Structures","","",""
"uuid:c588c355-b7f0-41d8-8d0e-1ff4d5b434ad","http://resolver.tudelft.nl/uuid:c588c355-b7f0-41d8-8d0e-1ff4d5b434ad","Plasters with mixed-in crystallization inhibitors: Results of a 4-year monitoring of on-site application","Lubelli, B. (TU Delft Heritage & Technology); des Bouvrie, Ernst (Independent researcher); Nijland, Timo G. (TNO); Kamat, Ameya (TU Delft Heritage & Technology)","","2023","Salt crystallization is a major cause of weathering of mortars, including plasters and renders. In the last decade, the use of mixed-in salt crystallization inhibitors in mortars has been proposed as a solution to improve the durability of this material with respect to salt decay. Laboratory characterization and accelerated weathering tests have shown encouraging results. However, data on the long-term behaviour of these mortars when applied on-site were missing until now .In this research the durability with respect to salt decay of a lime-based plaster and a salt accumulating plaster has been assessed. These plasters, with and without sodium ferrocyanide, a well-known inhibitor of sodium chloride crystallization, have been applied to an interior brick masonry wall with a high salt (sodium chloride) and moisture load and monitored for a period of 4 years. Monitoring included visual and photographic observations of the damage as well as measurements of the moisture and salt content and distribution, both in the wall and in the plaster. Moreover, the content and distribution of the inhibitor in the plaster after 4 year exposure was measured, to gain insight into the dissolution and transport of the inhibitor. The results of the research clearly show that the inhibitor is able to significantly reduce the occurrence of salt-induced decay in the lime-based plaster, in comparison to the plaster without inhibitor. No conclusions can be drawn in the case of the salt accumulating plaster, as no decay has developed yet in this case. Two issues related to leaching of the inhibitor and surface discolouration have emerged. These are discussed and possible solutions are proposed.","Salt crystallization; Crystallization inhibitor; Mortar; Plaster; Sodium ferrocyanide; Sodium chloride","en","journal article","","","","","","","","","","","Heritage & Technology","","",""
"uuid:204279bf-f979-47bd-88dc-e6e6b5287108","http://resolver.tudelft.nl/uuid:204279bf-f979-47bd-88dc-e6e6b5287108","A numerical assessment of variable saturation of the upper layers on the ground borne vibrations from underground trains: A case history","Pontani, Nicola (Politecnico di Milano); Martinelli, Luca (Politecnico di Milano); Acquati, Marco (MM S.p.A.); Jommi, C. (TU Delft Geo-engineering; Politecnico di Milano)","","2023","Ground borne vibrations generated by the passage of underground trains may change over time due to objective causes, such as increasing weight and speed of trains or ageing of the infrastructure components, as well as a variation in the dynamic response of the soil surrounding the tunnel. Among the possible causes of changes in the soil dynamic response, its hydrologic state has been seldom investigated. In this contribution, the role played by the conditions of the soil above the water table is addressed, starting from a case history in the city of Milano. Two-dimensional plane strain numerical models have been developed for the infrastructure. The models were calibrated on the results of two geophysical investigations performed at the same site in the city centre, but at two different times, which allowed distinguishing different dynamic responses. The system was excited by a synthetic load time history, matching a reference dynamic load spectrum included in Italian recommendations. Limitations of using this input on a 2D plane strain model were assessed by comparing the computed vibrations with experimental acceleration records collected on the tunnel. The results of the two numerical models are compared with those of a simulation performed assuming fully dry conditions above the water table. Overall, the set of analyses shows that even small changes in the dynamic response of the soil, interpretated as a consequence of variable saturation, may result in a change of a few decibels in the acceleration levels. Much larger accelerations are predicted on average with the simpler dry model, clearly showing the advantages of a more accurate modelling strategy.","FE numerical analysis; Geophysical investigation; Ground borne vibrations; Partial saturation; Underground trains","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-25","","","Geo-engineering","","",""
"uuid:9db9f1dd-bf55-4350-9737-ee4793ac7fb0","http://resolver.tudelft.nl/uuid:9db9f1dd-bf55-4350-9737-ee4793ac7fb0","Coupling Relationship between Rural Settlement Patterns and Landscape Fragmentation in Woodlands and Biological Reserves: A Case of Nanshan National Park","Li, Bo (Central South University China); Ouyang, Hao (Central South University China); Wang, T. (TU Delft Design & Construction Management); Dong, Tian (Central South University China)","","2023","Exploring the influence of settlement patterns on the landscape fragmentation in woodlands and biological reserves is key to achieving ecologically sustainable development. In this research, we chose the Nanshan National Park in Hunan Province, China, as a case study, to explore the influence mechanisms. First, we identified the biological reserves through the landscape security patterns of biological conservation. Second, we constructed a coupling coordination model to analyze the coupling relationship between the settlement patterns and landscape fragmentation in the woodlands and biological reserves. The analysis showed that, overall, the effect of the settlement area on the landscape fragmentation in the biological reserves was more pronounced, while the effect of the settlement spread and shape on the landscape fragmentation in the woodlands was more obvious. From a type-specific perspective, we analyzed the coupling relationship between the settlement patterns and (1) the landscape fragmentation in different woodlands and (2) the landscape fragmentation in the biological reserves, namely concerning Leiothrix lutea and Emberiza aureola. We found that the effect of the settlement patterns on the landscape fragmentation of the Leiothrix lutea biological reserve was more significant than that of the landscape fragmentation of its main habitat, the evergreen broad-leaved forest. The effect of settlement patterns on the landscape fragmentation of the Emberiza aureola biological reserve was more significant than that of the landscape fragmentation of its other habitats. In addition, the results demonstrated that the habitat protection of the woodlands was not a substitute for the systematic protection of biosecurity patterns. This research could assist in developing more efficient conservation measures for ecologically protected sites with rural settlements.","settlement pattern; woodland ecosystem; landscape security pattern; landscape fragmentation; coupling coordination degree","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:87e1af3f-f159-4783-975d-e1c957f5d74d","http://resolver.tudelft.nl/uuid:87e1af3f-f159-4783-975d-e1c957f5d74d","Time-Domain Modelling of Pulsed Photoconducting Sources - Part I: The Norton Equivalent Circuit","Neto, A. (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing); Freni, Angelo (University of Florence)","","2023","In the circuit theory, the Norton and Thevenin equivalent generators are tools that simplify the solutions of networks involving passive or active components. They have been extensively used in the frequency domain to describe time-harmonic sources. A time-stepped evolution is instead typically used to include transient sources. As a particular case of the latter, the Norton equivalent circuit is extended here to investigate pulsed photoconducting sources, where a dc bias voltage and a pulsed optical laser are combined to generate terahertz (THz) bursts. The proposed derivation relies on the application of the electromagnetic (EM) equivalence theorem. The main conclusion of this derivation is the understanding that, from the three different spectral regions (dc, THz, and optics), only the THz radiation is to be explicitly included in the equivalent circuit. The theory is validated by a campaign of measurements reported in a connected paper.","Equivalence theorem; Norton equivalent circuit; photoconductive (PC) sources","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-27","","","Tera-Hertz Sensing","","",""
"uuid:7ad5230e-3d16-4fae-87f2-6f82e05031d3","http://resolver.tudelft.nl/uuid:7ad5230e-3d16-4fae-87f2-6f82e05031d3","Hydrogen Storage on Porous Carbon Adsorbents: Rediscovery by Nature-Derived Algorithms in Random Forest Machine Learning Model","Thanh, Hung Vo (Van Lang University); Ebrahimnia Taremsari, Sajad (Payame Noor University (PNU)); Ranjbar, Benyamin (Politecnico di Torino); Mashhadimoslem, Hossein (Iran University of Science and Technology; University of Waterloo); Rahimi, E. (TU Delft Materials Science and Engineering); Rahimi, Mohammad (Ferdowsi University of Mashhad); Elkamel, Ali (University of Waterloo; Khalifa University of Science and Technology)","","2023","Porous carbons as solid adsorbent materials possess effective porosity characteristics that are the most important factors for gas storage. The chemical activating routes facilitate hydrogen storage by adsorbing on the high surface area and microporous features of porous carbon-based adsorbents. The present research proposed to predict H2 storage using four nature-inspired algorithms applied in the random forest (RF) model. Various carbon-based adsorbents, chemical activating agents, ratios, micro-structural features, and operational parameters as input variables are applied in the ML model to predict H2 uptake (wt%). Particle swarm and gray wolf optimizations (PSO and GWO) in the RF model display accuracy in the train and test phases, with an R2 of ~0.98 and 0.91, respectively. Sensitivity analysis demonstrated the ranks for temperature, total pore volume, specific surface area, and micropore volume in first to fourth, with relevancy scores of 1 and 0.48. The feasibility of algorithms in training sizes 80 to 60% evaluated that RMSE and MAE achieved 0.6 to 1, and 0.38 to 0.52. This study contributes to the development of sustainable energy sources by providing a predictive model and insights into the design of porous carbon adsorbents for hydrogen storage. The use of nature-inspired algorithms in the model development process is also a novel approach that could be applied to other areas of materials science and engineering.","hydrogen storage; machine learning; nature-based algorithms; random forest","en","journal article","","","","","","","","","","Materials Science and Engineering","","","",""
"uuid:f8c41b98-2ac5-4e0f-a2bd-3558d401c598","http://resolver.tudelft.nl/uuid:f8c41b98-2ac5-4e0f-a2bd-3558d401c598","Fleet planning under demand and fuel price uncertainty using actor–critic reinforcement learning","Geursen, Isaak L. (Ortec B.V.); Santos, Bruno F. (TU Delft Air Transport & Operations); Yorke-Smith, N. (TU Delft Algorithmics)","","2023","Current state-of-the-art airline planning models face computational limitations, restricting the operational applicability to problems of representative sizes. This is particularly the case when considering the uncertainty necessarily associated with the long-term plan of an aircraft fleet. Considering the growing interest in the application of machine learning techniques to operations research problems, this article investigates the applicability of these techniques for airline planning. Specifically, an Advantage Actor–Critic (A2C) reinforcement learning algorithm is developed for the airline fleet planning problem. The increased computational efficiency of using an A2C agent allows us to consider real-world-sized problems and account for highly-volatile uncertainty in demand and fuel price. The result is a multi-stage probabilistic fleet plan describing the evolution of the fleet according to a large set of future scenarios. The A2C algorithm is found to outperform a deterministic model and a deep Q-network algorithm. The relative performance of the A2C increases as more complexity is added to the problem. Further, the A2C algorithm can compute a multi-stage fleet planning solution within a few seconds","Airline fleet planning; Stochastic optimisation; Reinforcement learning; Advantage Actor–Critic; Fuel price uncertainty","en","journal article","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:2ab5994a-8dbd-4d5b-ad0e-30a8eb9bee6c","http://resolver.tudelft.nl/uuid:2ab5994a-8dbd-4d5b-ad0e-30a8eb9bee6c","Asgard/NOTT: L -band nulling interferometry at the VLTI: I. Simulating the expected high-contrast performance","Laugier, Romain (Katholieke Universiteit Leuven); Defrère, Denis (Katholieke Universiteit Leuven); Woillez, Julien (European Southern Observatory); Courtney-Barrer, Benjamin (European Southern Observatory; Australian National University); Dannert, Felix A. (ETH Zürich; National Center of Competence in Research PlanetS); Matter, Alexis (Université Côte d'Azur); Dandumont, Colin (Université de Liège); Gross, Simon (Macquarie University); Loicq, J.J.D. (TU Delft Spaceborne Instrumentation; Université de Liège)","","2023","Context. NOTT (formerly Hi-5) is a new high-contrast L′ band (3.5–4.0 µm) beam combiner for the VLTI designed with an ambitious aim to be sensitive to young giant exoplanets down to 5 mas separation around nearby stars. The performance of nulling interferometers in these wavelengths is affected both by fundamental noise from the background and contributions of instrumental noise. This motivates the development of end-to-end simulations to optimize these instruments.
Aims. The aim of this study is to enable a performance evaluation of NOTT and inform the design of such instruments with current and future infrastructures in mind, taking into account the different sources of noise and their correlation.
Methods. SCIFYsim is an end-to-end simulator for single-mode-filtered beam combiners, with an emphasis on nulling interferometers. We use it to compute a covariance matrix of the errors. We then use statistical detection tests based on likelihood ratios to compute compound detection limits for the instrument.
Results. With the current assumptions as to the performance of the wavefront correction systems, the errors are dominated by correlated instrumental errors down to stars of magnitude 6–7 in the L band, beyond which thermal background from the telescopes and relay system becomes dominant.
Conclusions. SCIFYsim is suited to anticipating some of the challenges of design, tuning, operation, and signal processing for integrated-optics beam combiners. The detection limits found for this early version of NOTT simulation with the unit telescopes are compatible with detections at contrasts up to 105 in the L band at separations of 5–80 mas around bright stars.","techniques: interferometric; techniques: high angular resolution; methods: data analysis; methods: statistical","en","journal article","","","","","","","","","","","Spaceborne Instrumentation","","",""
"uuid:a80547b8-0092-42f9-b75e-bc4e3d78ca85","http://resolver.tudelft.nl/uuid:a80547b8-0092-42f9-b75e-bc4e3d78ca85","Modeling driver steering behavior in restricted-preview boundary-avoidance tasks","van der El, Kasper (TU Delft Control & Simulation); Pool, D.M. (TU Delft Control & Simulation); van Paassen, M.M. (TU Delft Control & Simulation); Mulder, Max (TU Delft Control & Simulation)","","2023","In the design of human-like steering support systems, driver models are essential for matching the supporting automation's behavior to that of the human driver. However, current driver models are very limited in capturing the driver's adaptation to key task variables such as road width and visibility (i.e., 'preview' of the road ahead). This paper uses a recently proposed, novel control-theoretical model for centerline tracking to investigate driver steering in lane-keeping tasks with restricted and unrestricted preview, in an attempt to substantially extend this model's validity. Using data from a tailored driving simulator experiment, three driver control loops (feedforward, heading and position feedback) are separately quantified using system identification techniques. The results show that when preview is restricted, drivers use all of the remaining preview to anticipate the curves of the road ahead, and are no longer able to 'smooth' tight curves in the road trajectory (i.e., corner cutting). When sufficient preview and lane width are available, the time to line crossing increases, and steering behavior is less aggressive and more intermittent, or more 'satisficing'. The novel driver steering model captures these adaptations very well (over 95% of the steering actions) and can thereby be instrumental in realizing human-like steering automation and support systems.","Driver behavior; Driver modeling; Preview; Reduced visibility; Steering","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:bb86eace-0292-48fb-80e4-e73f8bc667b7","http://resolver.tudelft.nl/uuid:bb86eace-0292-48fb-80e4-e73f8bc667b7","Low- and High-Fidelity Aerodynamic Simulations of Box Wing Kites for Airborne Wind Energy Applications","Eijkelhof, D. (TU Delft Wind Energy); Buendía Vela, Gabriel (Student TU Delft); Schmehl, R. (TU Delft Wind Energy)","","2023","High aerodynamic efficiency is a key design driver for airborne wind energy systems as it strongly affects the achievable energy output. Conventional fixed-wing systems generally use aerofoils with a high thickness-to-chord ratio to achieve high efficiency and wing loading. The box wing concept suits thinner aerofoils as the load distribution can be changed with a lower wing span and structural reinforcements between the upper and lower wings. This paper presents an open-source toolchain for reliable aerodynamic simulations of parameterized box wing configurations, automating the design, meshing, and simulation setup processes. The aerodynamic tools include the steady 3D panel method solver APAME and the CFD-solver OpenFOAM, which use a steady Reynolds-Averaged Navier–Stokes approach with k- (Formula presented.) SST turbulence model. The finite-volume mesh for the CFD-solver is generated automatically with Pointwise using eight physical design parameters, five aerofoil profiles and mesh refinement specifications. The panel method provided accurate and fast results in the linear lift region. For higher angles of attack, CFD simulations with high- to medium-quality meshes were required to obtain good agreement with measured lift and drag coefficients. The CFD simulations showed that the upper wing stall lagged behind the lower wing, increasing the stall angle of attack compared to conventional fixed-wing kites. In addition, the wing tip boundary layer separation was delayed compared to the wing root for the straight rectangular box wing. Choosing the design point and operational envelope wisely can enhance the aerodynamic performance of airborne wind energy kites, which are generally operated at a large angle of attack to maximise the wing loading and tether force, and through that, the power output of the system.","aerodynamics; box wing kites; airborne wind energy; reference model","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:c2c2a09a-5fee-4c76-8d44-0b9999071d16","http://resolver.tudelft.nl/uuid:c2c2a09a-5fee-4c76-8d44-0b9999071d16","Response times in drivers' gap acceptance decisions during overtaking","Sevenster, A.L.A. (TU Delft Cognitive Robotics); Farah, H. (TU Delft Transport and Planning); Abbink, D.A. (TU Delft Cognitive Robotics); Zgonnikov, A. (TU Delft Cognitive Robotics)","","2023","Overtaking on two-lane roads can lead to increased collision risks due to drivers' errors in evaluating whether or not to accept the gap to the vehicle in the opposite lane. Understanding these gap acceptance decisions can help mitigate the risks associated with overtaking. Previous research on overtaking has focused on the factors influencing gap acceptance decisions. However, the cognitive processes underlying gap acceptance decisions remain poorly understood. Previous studies have shown that response time (i.e. the time it takes the driver to evaluate the gap and make a decision) can provide valuable insights into the cognitive processes during gap acceptance decisions, in particular in pedestrian crossing and left turn decisions. However, the more complex nature of the overtaking maneuver renders it difficult to measure response times in overtaking. As a result, response times in overtaking have not been investigated, thereby limiting our understanding of overtaking behavior. To address this gap, in this paper we propose a method to measure response time in drivers' overtaking decisions and demonstrate this method in a driving simulator experiment (N=25). Specifically, we analyzed the effect of distance to the oncoming vehicle and speed of the ego vehicle on response time in accepted and rejected gaps. We found that response times for rejected gaps were on average longer than for accepted gaps. The response times increased with the distance gap and decreased with the initial velocity of the ego vehicle. We conclude that using the proposed method for measuring response time can give insight in the way drivers make gap acceptance decisions during overtaking. These results provide basis for cognitive process models that can help further understand overtaking decisions.","Driver behavior; Driving simulator studies; Gap acceptance; Overtaking; Response times","en","journal article","","","","","","","","","","Cognitive Robotics","","","",""
"uuid:99aef80e-80be-4de2-899f-1c702dc9b264","http://resolver.tudelft.nl/uuid:99aef80e-80be-4de2-899f-1c702dc9b264","“So what if ChatGPT wrote it?” Multidisciplinary perspectives on opportunities, challenges and implications of generative conversational AI for research, practice and policy","Dwivedi, Yogesh K. (Symbiosis Institute of Business Management, Pune); Kshetri, Nir (Bryan School of Business and Economics); Hughes, Laurie; Balakrishnan, Janarthanan (National Institute of Technology - Tiruchirappalli); Buhalis, Dimitrios (Bournemouth University Business School); Dennehy, Denis; Dubey, Rameshwar (Liverpool Business School); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services)","","2023","Transformative artificially intelligent tools, such as ChatGPT, designed to generate sophisticated text indistinguishable from that produced by a human, are applicable across a wide range of contexts. The technology presents opportunities as well as, often ethical and legal, challenges, and has the potential for both positive and negative impacts for organisations, society, and individuals. Offering multi-disciplinary insight into some of these, this article brings together 43 contributions from experts in fields such as computer science, marketing, information systems, education, policy, hospitality and tourism, management, publishing, and nursing. The contributors acknowledge ChatGPT's capabilities to enhance productivity and suggest that it is likely to offer significant gains in the banking, hospitality and tourism, and information technology industries, and enhance business activities, such as management and marketing. Nevertheless, they also consider its limitations, disruptions to practices, threats to privacy and security, and consequences of biases, misuse, and misinformation. However, opinion is split on whether ChatGPT's use should be restricted or legislated. Drawing on these contributions, the article identifies questions requiring further research across three thematic areas: knowledge, transparency, and ethics; digital transformation of organisations and societies; and teaching, learning, and scholarly research. The avenues for further research include: identifying skills, resources, and capabilities needed to handle generative AI; examining biases of generative AI attributable to training datasets and processes; exploring business and societal contexts best suited for generative AI implementation; determining optimal combinations of human and generative AI for various tasks; identifying ways to assess accuracy of text produced by generative AI; and uncovering the ethical and legal issues in using generative AI across different contexts.","ChatGPT; Conversational agent; Generative AI; Generative artificial intelligence; Large language models","en","journal article","","","","","","","","","","Engineering, Systems and Services","","","",""
"uuid:180d19a8-61c6-4652-8331-0f44941625cb","http://resolver.tudelft.nl/uuid:180d19a8-61c6-4652-8331-0f44941625cb","Multiple-axle box acceleration measurements at railway transition zones","Unsiwilai, S. (TU Delft Railway Engineering); Wang, L. (TU Delft Railway Engineering); Nunez, Alfredo (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2023","This paper presents a methodology for monitoring transition zones using responses of multiple-axle box acceleration (multi-ABA) measurements. The time–frequency characteristics of the vertical ABA signals from four wheelsets are analyzed. The major contributions are as follows. (1) We propose four key performance indicators (KPIs) to quantify local multi-ABA energy differences at different abutments, tracks, entrance and exit sides, and inner and outer rails. (2) The same dominant spatial frequencies are obtained with different measurement speeds, so the proposed method is suitable for multi-ABA systems mounted on operational trains. Transition zones at nine double-track railway bridges are selected as the case study. The KPIs indicate that (1) the energy differences between abutments are above 80% in three bridges; (2) two abutments show that the energy differences between tracks are higher than 100%; (3) three tracks have energy differences above 100% between the entrance and exit sides; and (4) the energy differences between rails are above 80% on three sides. Finally, using measurement with 7 years of difference, the KPIs and track quality index are discussed. These findings suggest that multi-ABA measurement can be used as a health condition monitoring method for railway transition zones to support condition-based maintenance.","Transition zones; Railway infrastructure; Axle box acceleration; Time-frequency analysis; Onboard measurement","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:bca73621-a728-4a15-96a8-4dfc69e17bf2","http://resolver.tudelft.nl/uuid:bca73621-a728-4a15-96a8-4dfc69e17bf2","The Impact of Covid-19 Pandemic on Value Migration Processes in the Real Estate Sector","Kowalski, Michał J. (Wrocław University of Technology); Wang, T. (TU Delft Design & Construction Management); Kazak, Jan K. (Wroclaw University of Environmental and Life Sciences)","","2023","The COVID-19 pandemic period brings huge uncertainty, especially for the real estate sector. On the one hand, restrictions on the mobility of a population, decline in travel demands, popularization of remote work and education models caused doubts among investors questioning the future of the sector and the functions of real estate. On the other hand, the large supply of cash after the first waves of the pandemic and the upcoming increase in inflation resulted in many investors boldly investing cash in real estate, considering them as assets of stable value. This research aims to analyze the processes of value migration among real estate companies listed on the Warsaw Stock Exchange during this turbulent process. We analyzed the changes in the main drivers of value and the migration rates of the real estate sector based on data for 2018, 2019, 2020 and Q3 2021. The first period of the pandemic is the time of the collapse of the main profitability factors, sales and profitability of sales decline in the entire sector. However, the financial pillars of the analyzed companies are stable and we are not seeing signs of liquidity problems or a significant increase in debt. The second year of the pandemic is a significant reflection of most of the drivers of value, often to levels higher than those observed before the pandemic. Investors seem to remain insensitive to turbulent changes in accounting measures of effectiveness. We observe the relative stability of market measures. The processes of the migration of values from and to the sector do not show significant changes when comparing the periods before and during the pandemic. We observe various intensities of the studied phenomena in various groups of the real estate segment.","COVID-19; real estate market; value migration","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:5e41f6de-f695-44ed-889b-024e3a1109d9","http://resolver.tudelft.nl/uuid:5e41f6de-f695-44ed-889b-024e3a1109d9","Aanscherping en vergroening van de regelgeving voor de industrie: Het Voorstel tot wijziging van de Richtlijn industriële emissies","Boeve, M.N. (TU Delft Urban Development Management); van 't Lam, V.M.Y.","","2023","Al langere tijd was bekend dat de Europese Commissie de Richtlijn industriële emissies (RIE) evalueert. De richtlijn is van toepassing op de meest milieubelastende industriële installaties en veehouderijen in de Europese Unie, zo’n 52.000 in totaal. In 2020 zijn de uitkomsten van deze evaluatie gedeeld. Daaruit blijkt dat zaken goed gaan, maar ook dat verbeteringen van de RIE nodig zijn gelet op de ambities die de EU heeft ten aanzien van klimaat en stoffen met name op het gebied van grondstoffenefficiëntie, circulaire economie, innovatie en CO2-reductie.","","nl","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-01","","","Urban Development Management","","",""
"uuid:d883c231-8331-4fa6-9d70-e52c4aad1e08","http://resolver.tudelft.nl/uuid:d883c231-8331-4fa6-9d70-e52c4aad1e08","Supplementing Haptic Feedback in Flight Envelope Protection Through Visual Display Indications","de Rooij, G. (TU Delft Control & Simulation); van Baelen, D. (TU Delft Control & Simulation); Borst, C. (TU Delft Control & Simulation); van Paassen, M.M. (TU Delft Control & Simulation); Mulder, Max (TU Delft Control & Simulation)","","2023","Haptic cues on the side stick are a promising method to reduce loss of control in-flight incidents. They can be intuitively interpreted and provide immediate support, leading to a shared control system. However, haptic interfaces are limited in providing information, and the reason for cues may not always be clear to pilots. This study presents the results of the conceptual development of visual display symbology that supports haptic feedback on the side stick in communicating flight envelope boundaries to pilots. Novel indications for the limits of airspeed, load factor, angle of attack, and angle of bank, which for the first time simultaneously indicate magnitude and direction of the haptic cues, were integrated in an Airbus primary flight display. The symbology was tested in a pilot-in-the-loop experiment with professional Airbus pilots (N=16) flying several approaches in alternate law with haptic feedback. Objective results do not show clear improvements, although the time spent outside the flight envelope is slightly reduced. Subjective results indicate a preference, however, for the new display and an increased understanding of the haptic feedback. Further research is recommended to improve the interface design, remove unused indications, and test a bank scenario using current operational bank limits.","Flight Envelope Protection; Flight Display Systems; Aircraft Flight Control System; Airbus Aircraft; Primary Flight Display; Flight Deck; Human-In-The-Loop; Angle of Attack; Human-Machine Interaction","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:ee852ac6-d3f8-4da7-a112-97fac02d963f","http://resolver.tudelft.nl/uuid:ee852ac6-d3f8-4da7-a112-97fac02d963f","Targeting modular adaptive façade personalization in a shared office space using fuzzy logic and genetic optimization","Tabadkani, Amir; Nikkhah Dehnavi, Arman (Shahid Beheshti University); Mostafavi, F. (TU Delft History, Form & Aesthetics); Naeini, Hoorie Ghorbani (University of Tehran)","","2023","In shared office spaces, occupants' comfort criteria are limited to locally controlled zones while ambient features of the environment and the potential negative impacts of others' behavior require a well-designed control system, especially over adaptive façade elements. This means setting up control strategies for a wider spectrum of varying comfort perceptions from person to person dictates an approach towards personalizing adaptive facades. Thereby, this research coupled a simulation-based methodology with fuzzy logic and a genetic algorithm to personalize façade modules based on the visual discomfort conditions of the occupants. Results confirmed that increasing the control freedom by personalization accounting for multi-objective criteria including glare, daylight, and view could satisfy occupants from 83% to 100%. Moreover, the proposed façade personalization framework could enhance visual comfort compared with two typical automated Venetian blind controls, significantly. This study provides novel insights for designers and operators to decentralize facades' elements by accepting occupants’ feedback as part of their control loops.","Building performance simulation; Fuzzy model; Modular façade; Occupant behavior; Personalized control; Shared space; Visual comfort","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-01","","","History, Form & Aesthetics","","",""
"uuid:a8f72df8-7a49-408b-a097-2e3f6d809c89","http://resolver.tudelft.nl/uuid:a8f72df8-7a49-408b-a097-2e3f6d809c89","盐耦合侵蚀下碱矿渣水泥相演变的热力学模拟","Zuo, Yibing (Huazhong University of Science and Technology); Liao, Yishun (Wuhan University of Science and Technology); Ye, G. (TU Delft Materials and Environment)","","2023","Thermodynamic parameters of chloride and sulfate intercalated hydrotalcites were deduced. The phase evolutions in alkali‑activated slag cement upon only sodium chloride or only magnesium sulfate attack and combined attack of those two salts were investigated via thermodynamic modelling. Friedel's salt was predicted to form under sodium chloride attack, while monosulfate, ettringite, gypsum, magnesium silicate hydrate and sulfate intercalated hydrotalcite were predicted to form upon magnesium sulfate attack. The combined attack of sodium chloride and magnesium sulfate exhibited not only characteristics by single attack of those two salts but also coupling effects which promoted the formation of chloride intercalated hydrotalcite and inhibited the formation of Friedel's salt and magnesium silicate hydrate. An increase of the magnesium sulfate proportion led to lower capacity of chemically binding chloride.","alkali‑activated slag cement; combined attack; magnesium sulfate; phase evolution; sodium chloride; thermodynamic modelling","zh","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-03","","","Materials and Environment","","",""
"uuid:c28a2c4a-648b-4d76-b77f-25858c5b8926","http://resolver.tudelft.nl/uuid:c28a2c4a-648b-4d76-b77f-25858c5b8926","Mechanical analysis of a type of wire rope subjected to tension","Ma, Yuanxing (Zhejiang University); Shi, Baobin (Zhejiang University); Ali, Liaqat (Zhejiang University); Bai, Yong (Zhejiang University); Fang, P. (TU Delft Transport Engineering and Logistics)","","2023","Wire ropes are widely observed in many industries such as marine engineering and civil engineering, as a type of structure that can bear huge axial force. There are various kinds of wire ropes in practical engineering, corresponding to different usage scenarios. This paper focuses on 6 × 36SW + 1WR with a diameter of 16 mm. The axial mechanical properties of the wire rope are investigated by experimental, theoretical, and numerical methods. The stress–strain curve and ultimate strength of the steel wire rope obtained in the test are compared with the corresponding results from the finite element simulation. The comparison demonstrates the accuracy and reliability of the finite element model. In addition, a series of parametric studies are conducted to investigate the influence of pitch length and friction coefficient, etc. The purpose of this paper is to propose an accurate and efficient finite element model for the mechanical analysis of wire ropes.","FEM; Parametric studies; tension; Wire Rope","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-16","","","Transport Engineering and Logistics","","",""
"uuid:017b2a75-543a-4dff-8c94-e9a1f0fe289b","http://resolver.tudelft.nl/uuid:017b2a75-543a-4dff-8c94-e9a1f0fe289b","The influence of contact relaxation on underwater noise emission and seabed vibrations due to offshore vibratory pile installation","Molenkamp, T. (TU Delft Offshore Engineering); Tsouvalas, A. (TU Delft Dynamics of Structures; TU Delft Offshore Engineering); Metrikine, A. (TU Delft Offshore Engineering; TU Delft Engineering Structures)","","2023","The growing interest in offshore wind leads to an increasing number of wind farms planned to be constructed in the coming years. Installation of these piles often causes high underwater noise levels that harm aquatic life. State-of-the-art models have problems predicting the noise and seabed vibrations from vibratory pile driving. A significant reason for that is the modeling of the sediment and its interaction with the driven pile. In principle, linear vibroacoustic models assume perfect contact between pile and soil, i.e., no pile slip. In this study, this pile-soil interface condition is relaxed, and a slip condition is implemented that allows vertical motion of the pile relative to the soil. First, a model is developed which employs contact spring elements between the pile and the soil, allowing the former to move relative to the latter in the vertical direction. The developed model is then verified against a finite element software. Second, a parametric study is conducted to investigate the effect of the interface conditions on the emitted wave field. The results show that the noise generation mechanism depends strongly on the interface conditions. Third, this study concludes that models developed to predict noise emission from impact pile driving are not directly suitable for vibratory pile driving since the pile-soil interaction becomes essential for noise generation in the latter case.","underwater noise; offshore pile driving; vibratory pile driving; soil-structure interaction; particle motion; seabed vibrations","en","journal article","","","","","","","","","","Engineering Structures","Offshore Engineering","","",""
"uuid:89d9bee9-b433-451e-94d5-3ab96677ac55","http://resolver.tudelft.nl/uuid:89d9bee9-b433-451e-94d5-3ab96677ac55","Pre-demolition concrete waste stream identification: classification framework","Nedeljković, Marija (TU Delft Materials and Environment; Rijkswaterstaat); Tošić, Nikola (Universitat Politecnica de Catalunya); Schlangen, E. (TU Delft Materials and Environment); Fennis, Sonja (Rijkswaterstaat)","","2023","Demand for high quality recycled concrete aggregates (RCA) to offset the use of primary materials is significantly rising due to circular economy goals and high-value reuse of concrete. The quality of RCA significantly affects their availability for new concrete production due to the variability of parent concrete streams. The optimization of recycling procedures is under development to improve the quality of RCA, however, the costs and energy efficiency of such processes are of practical concern. With this in mind, this paper presents a new framework for reducing the variability of RCA quality by identifying concrete members before their demolition. The goal of identifying demolished concrete members from a structure is to provide groups of concrete members with similar mechanical and chemical properties through a systematic classification of the structural members. The quality assessment of concrete structures and their mechanical and chemical (composition, contamination) properties prior to demolition is generally recognized as challenging due to the absence of guidelines and the lack of easy-to-use in situ characterization techniques. This paper proposes experimental approaches that can non-destructively determine the properties of concrete structures, with a major emphasis on the measurement of the chemical composition of concrete before demolition. Characteristic quality indicators to classify concrete members are first proposed and can be instrumental in setting up future studies. A new method is proposed for in situ chemical composition testing of existing concrete structures; assuming that no records about the parent concrete are available. Next, the challenging parameters for in situ, non-destructive measurements are outlined. The practical application of the proposed method and its uptake in industry can potentially unlock a huge potential for optimized material recovery and contribute greatly to a fully circular construction industry.","concrete quality; strength; composition; in situ non-destructive testing; characteristic quality indicators; handheld XRF","en","journal article","","","","","","Special thanks to Wim Ekkelenkamp, Erik Hoven, and Peter Broere for valuable discussions related to concrete demolition and recycling. Student Abdellah Hussein is acknowledged for his insights on the topic of concrete demolition.","","","","","Materials and Environment","","",""
"uuid:6a1a0505-c1ee-4058-b369-9f65e3f48160","http://resolver.tudelft.nl/uuid:6a1a0505-c1ee-4058-b369-9f65e3f48160","Experimental Study on Mechanical Properties of Concrete Containing Waste Glass and Its Application on Concrete-Filled Steel Tubular Columns","Diao, Yan (Xihua University); Chen, Long (Chengdu Tianfu International Airport); Huang, Y. (TU Delft Concrete Structures)","","2023","Waste glass (WG), as a nonbiodegradable material, poses a threat to environmental protection. The reuse of WG as a raw material to replace cement or aggregate in concrete production is gaining attention for recycling purposes. However, the optimal proportion of WG in concrete mixtures and its particle size distribution are hard to determine. Large glass particles are prone to leading to the undesirable alkali–silica reaction (ASR) in concrete. Therefore, in this study, cement and aggregate in concrete mixtures are partially replaced by combinations of glass powder (<30 μm) and glass beads (0.2–1.7 mm), respectively. Glass concretes (GCs) containing waste glass at various replacement ratios (0, 10, 15, 20, and 30%) are prepared, and their flowability and compressive strength are evaluated and compared. Finally, steel tubes filled by ordinary concrete (OCFSTs) and steel tubes filled by glass concrete (GCFSTs) are fabricated and tested in axial compression. The test results show that the slump and slump flow increase when the replacement ratio is lower than 20%, and the maximum slump value (250 mm) is achieved for concrete with the use of 20% waste glass. With regard to compressive strength, as the glass replacement percentage is increased, the compressive strength of GC continues to reduce. The maximum decrease of compressive strength (merely 70% of compressive strength for original concrete) is observed in GC mixed with 20% glass, which might be attributed to the smooth surface of glass, consequently weakening the interfacial bond strength between the glass and matrix. In terms of the bearing capacity of GCFSTs, the axial compressive strength of GCFSTs decreases as more GC is used. However, no obvious reduction is observed compared to OCFSTs (less than 10% for GCFSTs containing 30% GP). Moreover, GCFSTs show greater (no less than 25% more) deformational ability at peak strength over OCFST columns, demonstrating that GC is a promising alternative for normal concrete. Finally, the feasibility of existing design codes (AISC, EC4, and GB50936-2014) to assess the bearing capacity of GCFSTs is evaluated by comparing the test and calculated results. The current codes, in general, give a conservative prediction and EC4 provides the closest value (predicted to experimental peak load ratio is 0.9).","waste glass (WG); recycling; glass concrete (GC); replacement ratios; flowability; compressive strength; steel tube filled by glass concrete (GCFST); design codes","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:e2f80b9b-a06a-4ac4-abc1-ab7051d7055c","http://resolver.tudelft.nl/uuid:e2f80b9b-a06a-4ac4-abc1-ab7051d7055c","Loneliness and vertical and horizontal collectivism and individualism: A multinational study","Schermer, Julie Aitken (University of Western Ontario); Branković, Marija (Singidunum University); Čekrlija, Đorđe (University of Banja Luka); MacDonald, Kristi Baerg (University of Western Ontario); Park, Joonha (NUCB Business School); Papazova, Eva (Institute for Research in Education); Volkodav, Tatiana (Kuban State University); Iliško, Dzintra (Daugavpils University); Wlodarczyk, Anna (Universidad Catolica de Norte); Kwiatkowska, Maria Magdalena (Cardinal Stefan Wyszyński University in Warsaw); Rogoza, Radosław (Cardinal Stefan Wyszyński University in Warsaw); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science); Ha, Truong Thi Khanh (Vietnam National University Hanoi); Kowalski, Christopher Marcin (University of Western Ontario); Malik, Sadia (University of Sargodha); Lins, Samuel (Universidade do Porto); Navarro-Carrillo, Ginés (Universidad de Jaén); Aquino, Sibele D. (PUC-Rio); Doroszuk, Marta (Jagiellonian University); Riđić, Ognjen (International University of Sarajevo); Pylat, Natalia (Ukrainian Catholic University); Özsoy, Emrah (University of Sakarya); Tan, Chee Seng (Universiti Tunku Abdul Rahman); Mamuti, Agim (University Mother Theresa); Ardi, Rahkman (Airlangga University); Jukić, Tomislav (University Josip Juraj Strossmayer); Uslu, Osman (University of Sakarya); Buelvas, Laura Martinez (Universidad Tecnológica de Bolívar); Liik, Kadi (Tallinn University); Kruger, Gert (University of Johannesburg)","","2023","This paper investigates how horizontal and vertical individualism and collectivism predict self-report loneliness in addition to the variance accounted for by age and sex in 28 countries (N = 8,345). Horizontal and vertical aspects of individualism and collectivism had small but significant contributions to predicting loneliness. Horizontal-collectivism (for 19 country samples) and, to a lesser extent, horizontal-individualism (for seven country samples), significantly predicted lower loneliness scores. Vertical-individualism (for 16 country samples), and to a lesser extent, vertical-collectivism (for six country samples), predicted feeling more loneliness among our participants. Adjusted R2 values suggested that between 0.6% and 27.7% of self-report loneliness was predicted. These results suggest that those who value egalitarian social relations also tend to report being less lonely whereas those who value individuality and competitiveness endorse the loneliness items more. These results are of importance to those investigating and helping lonely individuals by appreciating the influence of perceived culture.","Collectivism; Horizontalism-verticalism; Individualism; Loneliness","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:b19d57c1-21b9-4e50-a40e-872cdd936a6d","http://resolver.tudelft.nl/uuid:b19d57c1-21b9-4e50-a40e-872cdd936a6d","On the use of a rounded sonotrode for the welding of thermoplastic composites","Jongbloed, B.C.P. (TU Delft Intelligent Manufacturing systems; TU Delft Stichting SAM|XL); Teuwen, Julie J.E. (TU Delft Aerospace Manufacturing Technologies); Villegas, I.F. (TU Delft Aerospace Structures & Computational Mechanics)","","2023","Continuous ultrasonic welding is an attractive welding technique for thermoplastic composite structures. In this process, a metallic sonotrode connected to a piezoelectric transducer and to a press moves along the parts to be welded applying ultrasonic vibrations and a static welding force on the welding overlap. Thus far, the research carried out on this topic makes use of sonotrodes featuring a flat contact surface with the parts to be welded, which limits the use of the process to the welding of overlaps with no curvature in the welding direction. With the final aim of assessing whether this process can also be applied to curved structures, this paper explores the feasibility of using a rounded sonotrode for continuous ultrasonic welding of thermoplastic composites. The main conclusions drawn from the results obtained in this research is that it is indeed possible to continuously weld thermoplastic composite panels with a rounded sonotrode and that high-quality welds can be obtained from such a process. Furthermore, the use of a rounded sonotrode has the positive effect of lowering the temperatures at the welding interface as well as the temperatures within the adherends. On the other hand, the use of such sonotrode leads to a decreased, although still competitive, welding speed and, potentially, an increased welding force, thereby setting boundary conditions that need to be considered for each specific application.","Fusion bonding; Continuous ultrasonic welding; Consolidation; Joining; CF/PPS","en","journal article","","","","","","","","","","","Intelligent Manufacturing systems","","",""
"uuid:56f0d7c4-3c27-48e8-9896-a355f440986b","http://resolver.tudelft.nl/uuid:56f0d7c4-3c27-48e8-9896-a355f440986b","A Survey on Machine Learning in Hardware Security","Köylü, T.C. (TU Delft Computer Engineering); Reinbrecht, Cezar; Gebregiorgis, A.B. (TU Delft Computer Engineering); Hamdioui, S. (TU Delft Quantum & Computer Engineering); Taouil, M. (TU Delft Computer Engineering)","","2023","Hardware security is currently a very influential domain, where each year countless works are published concerning attacks against hardware and countermeasures. A significant number of them use machine learning, which is proven to be very effective in other domains. This survey, as one of the early attempts, presents the usage of machine learning in hardware security in a full and organized manner. Our contributions include classification and introduction to the relevant fields of machine learning, a comprehensive and critical overview of machine learning usage in hardware security, and an investigation of the hardware attacks against machine learning (neural network) implementations.","","en","journal article","","","","","","","","","","Quantum & Computer Engineering","Computer Engineering","","",""
"uuid:48ab97e4-6bdd-4a34-a293-379b389efc06","http://resolver.tudelft.nl/uuid:48ab97e4-6bdd-4a34-a293-379b389efc06","Web3 Sybil avoidance using network latency","Stokkink, Q.A. (TU Delft Dataintensive Systems); Ileri, Can Umut (TU Delft Dataintensive Systems); Epema, D.H.J. (TU Delft Dataintensive Systems); Pouwelse, J.A. (TU Delft Dataintensive Systems)","","2023","Web3 is emerging as the new Internet-interaction model that facilitates direct collaboration between strangers without a need for prior trust between network participants and without central authorities. However, one of its shortcomings is the lack of a defense mechanism against the ability of a single user to generate a surplus of identities, known as the Sybil attack. Web3 has a Sybil attack problem because it uses peer sampling to establish connections between users. We evaluate the promising but under-explored direction of Sybil avoidance using network latency measurements, according to which two identities with equal latencies are suspected to be operated from the same node, and thus are likely Sybils. Network latency measurements have two desirable properties: they are only malleable by attackers by adding latency, and they do not require any trust between network participants. Our basic SybilSys mechanism avoids Sybil attackers using only network latency measurements if attackers do not actively exploit their malleability. We present an enhanced version of SybilSys that protects against targeted attacks using a variant of the flow correlation attack, which we name TrafficJamTrigger. We show how the message flows of Round-Trip Time measurements can be used to expose attack patterns and we propose and evaluate six classifiers to recognize these patterns. Our experiments show, through both emulation and real-world deployment, that enhanced SybilSys can serve a fundamental role for Web3, effectively establishing connections to real users even in the face of networks consisting of 99% Sybils.","Sybil attack; Latency; Network; Round-trip time; Identity","en","journal article","","","","","","","","","","","Dataintensive Systems","","",""
"uuid:1e2b4de9-e97f-4db7-ab71-5be29e6a5991","http://resolver.tudelft.nl/uuid:1e2b4de9-e97f-4db7-ab71-5be29e6a5991","Efficient waveguide power combiners at mm-wave frequencies","van Schelven, R.M. (TU Delft Tera-Hertz Sensing); Spirito, M. (TU Delft Electronics); Cavallo, D. (TU Delft Tera-Hertz Sensing)","","2023","In this study, an efficient power combiner for mm-wave frequency transmitters is investigated. The combiner is based on a parallel plate waveguide (PPW) excited with multiple parallel feeds. The Doherty power combiner scheme is also integrated in the proposed concept, to increase the efficiency of the amplifiers when implementing amplitude modulation. The advantage of the proposed PPW combiner with respect to other concepts, for example, the ones based on substrate-integrated waveguide, is the wider bandwidth and the scalability to an arbitrary number of inputs. Measured results from a demonstrator realised in standard printed circuit board technology are presented. Two variations of the combiner are implemented, one terminated with a 50 Ω coaxial output, and another integrated with an antenna. In the latter case, the waveguide is folded so that both the power combiner and the antenna fit within a half wavelength size, and thus would be compatible with a dense antenna array implementation.","millimetre waves; parallel plate waveguides; power combiners","en","journal article","","","","","","","","","","","Tera-Hertz Sensing","","",""
"uuid:1f0c99bc-8cca-47ae-ae3d-abe9d906308f","http://resolver.tudelft.nl/uuid:1f0c99bc-8cca-47ae-ae3d-abe9d906308f","The Need for Speed: A Fast Guessing Entropy Calculation for Deep Learning-Based SCA","Perin, G. (Universiteit Leiden); Wu, L. (TU Delft Cyber Security); Picek, S. (Radboud Universiteit Nijmegen)","","2023","The adoption of deep neural networks for profiling side-channel attacks opened new perspectives for leakage detection. Recent publications showed that cryptographic implementations featuring different countermeasures could be broken without feature selection or trace preprocessing. This success comes with a high price: an extensive hyperparameter search to find optimal deep learning models. As deep learning models usually suffer from overfitting due to their high fitting capacity, it is crucial to avoid over-training regimes, which require a correct number of epochs. For that, early stopping is employed as an efficient regularization method that requires a consistent validation metric. Although guessing entropy is a highly informative metric for profiling side-channel attacks, it is time-consuming, especially if computed for all epochs during training, and the number of validation traces is significantly large. This paper shows that guessing entropy can be efficiently computed during training by reducing the number of validation traces without affecting the efficiency of early stopping decisions. Our solution significantly speeds up the process, impacting the performance of the hyperparameter search and overall profiling attack. Our fast guessing entropy calculation is up to 16× faster, resulting in more hyperparameter tuning experiments and allowing security evaluators to find more efficient deep learning models.","deep learning; fast guessing entropy; guessing entropy; side-channel attacks; validation phase","en","journal article","","","","","","","","","","","Cyber Security","","",""
"uuid:38720e27-3f13-449f-af27-a710631145e5","http://resolver.tudelft.nl/uuid:38720e27-3f13-449f-af27-a710631145e5","Contactless Size Reference in Forensic Photography: Design and Verification of the Novel FreeRef-1 System","Schiks, L.A.H. (TU Delft Medical Instruments & Bio-Inspired Technology); Cook, M.S. (TU Delft Medical Instruments & Bio-Inspired Technology; Universiteit van Amsterdam); Lipman, L.R. (Student TU Delft); van Dijke, A.P. (TU Delft Medical Instruments & Bio-Inspired Technology; Netherlands Forensic Institute - NFI); Hutchinson, K. (TU Delft Medical Instruments & Bio-Inspired Technology); van den Hoven, Paul (Netherlands Forensic Institute - NFI); Loeve, A.J. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2023","In photographs of evidence in forensic investigations, physical size references (e.g., rulers or stickers) are often placed next to a trace to allow us to take measurements from photos. However, this is laborious and introduces contamination risks. The FreeRef-1 system is a contactless size reference system that allows us to take forensic photographs without having to be close to the evidence, and allows photographing under large angles without losing accuracy. The FreeRef-1 system performance was assessed using technical verification tests, inter-observer checks and user tests with forensic professionals. The results show that the measurements taken with photos using the FreeRef-1 system were at least as accurate as those taken using conventional techniques. Furthermore, with the FreeRef-1 system, even photographs taken under strongly oblique angles provided accurate measurements. The results suggest that the FreeRef-1 system will facilitate photographing evidence even in hard-to-reach places, such as under tables and on walls and ceilings, while increasing the accuracy and speed.","measurements; crime scene investigations; forensic research; forensic photography","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:7b77fbea-e890-4750-9d03-39967a7cb61c","http://resolver.tudelft.nl/uuid:7b77fbea-e890-4750-9d03-39967a7cb61c","Micro 3D Printing Elastomeric IP-PDMS Using Two-Photon Polymerisation: A Comparative Analysis of Mechanical and Feature Resolution Properties","van Altena, P.F.J. (TU Delft Micro and Nano Engineering); Accardo, A. (TU Delft Micro and Nano Engineering)","","2023","The mechanical properties of two-photon-polymerised (2PP) polymers are highly dependent on the employed printing parameters. In particular, the mechanical features of elastomeric polymers, such as IP-PDMS, are important for cell culture studies as they can influence cell mechanobiological responses. Herein, we employed optical-interferometer-based nanoindentation to characterise two-photon-polymerised structures manufactured with varying laser powers, scan speeds, slicing distances, and hatching distances. The minimum reported effective Young’s modulus (YM) was 350 kPa, while the maximum one was 17.8 MPa. In addition, we showed that, on average, immersion in water lowered the YM by 5.4%, a very important point as in the context of cell biology applications, the material must be employed within an aqueous environment. We also developed a printing strategy and performed a scanning electron microscopy morphological characterisation to find the smallest achievable feature size and the maximum length of a double-clamped freestanding beam. The maximum reported length of a printed beam was 70 µm with a minimum width of 1.46 ± 0.11 µm and a thickness of 4.49 ± 0.05 µm. The minimum beam width of 1.03 ± 0.02 µm was achieved for a beam length of 50 µm with a height of 3.00 ± 0.06 µm. In conclusion, the reported investigation of micron-scale two-photon-polymerized 3D IP-PDMS structures featuring tuneable mechanical properties paves the way for the use of this material in several cell biology applications, ranging from fundamental mechanobiology to in vitro disease modelling to tissue engineering.","IP-PDMS; two-photon polymerisation; nanoindentation; Young’s modulus; elastomer","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:8e1a39cd-3ea7-49d9-95be-c8d3754f6e2a","http://resolver.tudelft.nl/uuid:8e1a39cd-3ea7-49d9-95be-c8d3754f6e2a","A scanning focus nuclear microscope with multi-pinhole collimation","Nguyen, M.P. (TU Delft RST/Radiation, Science and Technology; TU Delft RST/Biomedical Imaging; MILabs B.V.); Arif, M. (TU Delft RST/Biomedical Imaging); Oostenrijk, Bart (MILabs B.V.); Goorden, M.C. (TU Delft RST/Medical Physics & Technology); Beekman, F.J. (TU Delft RST/Biomedical Imaging; MILabs B.V.)","","2023","Microscopic nuclear imaging down to spatial resolutions of a few hundred microns can already be achieved using low-energy gamma emitters (e.g. 125I, ∼30 keV) and a basic single micro-pinhole gamma camera. This has been applied to in vivo mouse thyroid imaging, for example. For clinically used radionuclides such as 99mTc, this approach fails due to penetration of the higher-energy gamma photons through the pinhole edges. To overcome these resolution degradation effects, we propose a new imaging approach: scanning focus nuclear microscopy (SFNM). We assess SFNM using Monte Carlo simulations for clinically used isotopes. SFNM is based on the use of a 2D scanning stage with a focused multi-pinhole collimator containing 42 pinholes with narrow pinhole aperture opening angles to reduce photon penetration. All projections of different positions are used to iteratively reconstruct a three-dimensional image from which synthetic planar images are generated. SFNM imaging was tested using a digital Derenzo resolution phantom and a mouse ankle joint phantom containing 99mTc (140 keV). The planar images were compared with those obtained using a single-pinhole collimator, either with matched pinhole diameter or with matched sensitivity. The simulation results showed an achievable 99mTc image resolution of 0.04 mm and detailed 99mTc bone images of a mouse ankle with SFNM. SFNM has strong advantages over single-pinhole imaging in terms of spatial resolution.","collimator; high resolution; nuclear microscope; pinhole; SPECT","en","journal article","","","","","","","","","","RST/Radiation, Science and Technology","RST/Biomedical Imaging","","",""
"uuid:46e5884c-772a-4bef-b243-203a05fa15c2","http://resolver.tudelft.nl/uuid:46e5884c-772a-4bef-b243-203a05fa15c2","A Gradient-Descent Optimization Assisted Gray-Box Impedance Modeling of EV chargers","Wang, L. (TU Delft DC systems, Energy conversion & Storage); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","Extracting an electric vehicle (EV) charger's input impedance with the analytical model (white-box approach) or the frequency sweep (black-box approach) is limited by the parameter confidentiality or the measurement noise, respectively. To overcome these challenges, a gradient-descent (GD) optimization-based gray-box modeling approach is proposed. To start with, a sensitivity study on the analytical impedance model of an EV charger with a typical controller is carried out to identify the influential frequency range per controller and circuit parameter. On top of that, given an EV charger with unknown control and circuit information, a GD optimization-based algorithm for multiple parameter estimation is designed to identify the unknown controller and circuit parameters based on the measured impedance, by assuming the EV charger is using the typical controller. Then, an analytical input impedance of the black-box EV charger can be obtained. Moreover, the low-accuracy issue commonly encountered when estimating multiple parameters with GD optimization is mitigated with the proposed algorithm. Compared to pure frequency sweep, the proposed approach achieves a higher accuracy for the coupling impedance and a comparable accuracy for the diagonal impedance. The effectiveness of the proposed approach is validated by experimental results.","EV Charging; Power Quality; impedance modeling; Parameter Estimation; Gray Box Model; gradient-based optimization; Voltage Source Converter (VSC)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","DC systems, Energy conversion & Storage","","",""
"uuid:173c2590-22ac-483b-bad3-d22546429e1c","http://resolver.tudelft.nl/uuid:173c2590-22ac-483b-bad3-d22546429e1c","Preloading of four-legged jack-ups in clay: Geotechnical time effects and fulfilment of preloading criteria","Sonnema, Wouter (Heerema Marine Contractors); Brinkman, Sanne (Van Oord); Brinkgreve, R.B.J. (TU Delft Geo-engineering); Pisano, F. (TU Delft Geo-engineering)","","2023","Presented here is a numerical study on the preloading of four-legged jack-ups, such as those commonly employed in the construction of offshore wind farms. The need for reducing jack-up installation time is particularly felt within the offshore industry, especially when multiple preloading cycles are necessary in clayey soils to fulfil given preloading criteria. This is due to clays experiencing delayed deformations, causing load redistribution among all legs while the ideal situation of steady preload on all spudcans is pursued. This work employs three-dimensional finite element (3D FE) modelling to analyse the preloading performance of a reference jack-up vessel in clayey soils using a wished-in-place (WIP) approach. Detailed modelling of time effects due to soil consolidation and viscosity is introduced, with some emphasis on how to derive material parameters from typical site investigation and laboratory soil data. The results of specific parametric studies are presented to support the suitability of the adopted analysis approach, also with regard to the adoption of alternative preloading procedures. The constitutive modelling of time-dependent clay’s behaviour is shown to play a crucial role in the considered framework, and will require further research for 3D FE modelling to provide reliable quantitative support to real wind farm installation projects.","Jack-up; Spudcan; Preloading; Clay; Finite elements; Offshore engineering","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:8af0030b-3c93-4b2b-8baa-b83faa49e1b9","http://resolver.tudelft.nl/uuid:8af0030b-3c93-4b2b-8baa-b83faa49e1b9","Black-Box Online Aerodynamic Performance Optimization for a Seamless Wing with Distributed Morphing","Ruland, Oscar (Student TU Delft); Mkhoyan, T. (TU Delft Arts & Crafts; TU Delft Aerospace Structures & Computational Mechanics); De Breuker, R. (TU Delft Aerospace Structures & Computational Mechanics); Wang, Xuerui (TU Delft Aerospace Structures & Computational Mechanics)","","2023","","Aerodynamic Performance; Aircraft Wing Design; Artificial Neural Network; Wind Tunnels; Optimization Algorithm; Newton Raphson Method; Zero Lift Drag Coefficient; Evolutionary Algorithm","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-20","","","Arts & Crafts","","",""
"uuid:cfc94691-11ec-4e0f-85b8-08172bf56e98","http://resolver.tudelft.nl/uuid:cfc94691-11ec-4e0f-85b8-08172bf56e98","Toward an Ethical Framework for Smart Cities and the Internet of Things","Singh, Munindar P. (University of North Carolina); Murukannaiah, P.K. (TU Delft Interactive Intelligence)","","2023","As smart cities increasingly become real, an ethical framework for them becomes increasingly necessary. Surprisingly, current approaches largely disregard such a framework and concentrate primarily on challenges pertaining to the data lifecycle. However, a smart city involves much more than data gathering: it involves the interactions of residents, businesses, and government agencies with respect to public and private resources subject to potentially subtle regulations and other norms. This article introduces a sociotechnical view of smart cities and shows how it may be profitably mapped to the moral foundation theory to provide a comprehensive ethical framework.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-22","","","Interactive Intelligence","","",""
"uuid:3594d89d-cfb8-463c-83bb-a15f25c08dc8","http://resolver.tudelft.nl/uuid:3594d89d-cfb8-463c-83bb-a15f25c08dc8","Nabehandeling essentieel voor kwaliteit beton: Opnieuw aandacht aan nabehandeling in Stutech-studierapport","Ottele, M. (TU Delft Materials and Environment); van der Wolf, Mark (Ballast Nedam Infra Projects)","","2023","De essentie van het goed nabehandelen van beton is al vaak besproken. Nabehandeling is noodzakelijk voor beton met een lange levensduur en een mooi uiterlijk. Een CROW-onderzoek naar betonaantasting in combinatie met mosgroei was aanleiding het thema nogmaals te bestuderen. Resultaat is een nieuw studierapport van Stutech-studiegroep 68 ‘Nabehandeling’.","","nl","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-30","","","Materials and Environment","","",""
"uuid:604a22c3-1212-487f-8c96-35f63920b679","http://resolver.tudelft.nl/uuid:604a22c3-1212-487f-8c96-35f63920b679","Balancing water rights in metropolitan water conservation areas: The case of Chengdu, China","Chen, Gao (Leibniz Institute for Research on Society and Space (IRS)); Bracken, G. (TU Delft Spatial Planning and Strategy); Herdt, T. (TU Delft Urban Design)","","2023","Water justice can be a delicate balancing act. Conservation regulations ensure urban areas’ water demands are met, but these may conflict with the water rights of local people living in catchment areas. No metropolis wants to face water shortages, yet prioritising municipalities’ rights over those of local people can result in water injustice. This paper explores water rights and water justice, defining both to show how the latter is achieved by balancing the former. It examines Chengdu’s water catchment area using field observation, interviews, and document analysis and investigates the causes of water conflict through the lens of local culture. The study then outlines a water justice framework involving domestic habits and lifestyle, production of water, and management principles with the aim of providing parity of rights that highlight local cultural factors. The justice criteria outlined here could also be used in other places where power structures disregard local people’s water rights.","water justice; water rights; water conservation; local culture; Chengdu (China)","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:6c1bd3fd-8961-4eb8-9777-397e4c466e94","http://resolver.tudelft.nl/uuid:6c1bd3fd-8961-4eb8-9777-397e4c466e94","ImECGnet: Cardiovascular Disease Classification from Image-Based ECG Data Using a Multi-branch Convolutional Neural Network","Ghahremani, A. (TU Delft Web Information Systems); Lofi, C. (TU Delft Web Information Systems)","","2023","Reliable Cardiovascular Disease (CVD) classification performed by a smart system can assist medical doctors in recognizing heart illnesses in patients more efficiently and effectively. Electrocardiogram (ECG) signals are an important diagnostic tool as they are already available early in the patients’ health diagnosis process and contain valuable indicators for various CVDs. Most ECG processing methods represent ECG data as a time series, often as a matrix with each row containing the measurements of a sensor lead; and/or the transforms of such time series like wavelet power spectrums. While methods processing such time-series data have been shown to work well in benchmarks, they are still highly dependent on factors like input noise and sequence length, and cannot always correlate lead data from different sensors well. In this paper, we propose to represent ECG signals incorporating all lead data plotted as a single image, an approach not yet explored by literature. We will show that such an image representation combined with our newly proposed convolutional neural network specifically designed for CVD classification can overcome the aforementioned shortcomings. The proposed (Convolutional Neural Network) CNN is designed to extract features representing both the proportional relationships of different leads to each other and the characteristics of each lead separately. Empirical validation on the publicly available PTB, MIT-BIH, and St.-Petersburg benchmark databases shows that the proposed method outperforms time series-based state-of-the-art approaches, yielding classification accuracy of 97.91%, 99.62%, and 98.70%, respectively.","classification; Convolutional Neural Network (CNN); Electrocardiogram (ECG)","en","journal article","","","","","","","","","","","Web Information Systems","","",""
"uuid:cf5f6e05-9cd3-4ad5-a494-fe8968d73c34","http://resolver.tudelft.nl/uuid:cf5f6e05-9cd3-4ad5-a494-fe8968d73c34","Time-Domain Modelling of Pulsed Photoconducting Sources - Part II: Characterization of an LT GaAs Bow-Tie Antenna","Fiorellini Bernardis, A. (TU Delft Tera-Hertz Sensing); Sberna, P.M. (TU Delft EKL Processing); Bueno Lopez, J. (TU Delft Electronics); Zhang, H. (TU Delft Tera-Hertz Sensing); Llombart, Nuria (TU Delft Tera-Hertz Sensing); Neto, A. (TU Delft Tera-Hertz Sensing)","","2023","Drude's description of the response of low-temperature gallium arsenide to optical pulse excitation is used to evaluate the components of a time-domain Norton equivalent circuit of a photoconductive antenna (PCA) source. The saturation of the terahertz (THz) radiated power occurring at large optical excitation levels was previously associated by the scientific community to radiation and charge screening of the bias. With the present circuit, we are able to model accurately the measured saturation as only due to the EM feedback from the antenna to the bias. The predicted THz radiated power is shown to match very accurately the measurements when the circuit is combined with an accurate description of the experimental conditions and the modeling of the THz quasi-optical (QO) channel.","Equivalent circuit; photoconductive antennas (PCAs); terahertz (THz); THz radiated power; THz sources; THz technology","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-19","","","Tera-Hertz Sensing","","",""
"uuid:5d06d62c-f54f-4a64-b07e-1c81ce6d6534","http://resolver.tudelft.nl/uuid:5d06d62c-f54f-4a64-b07e-1c81ce6d6534","Dependence and risk spillovers among clean cryptocurrencies prices and media environmental attention","Ndubuisi, G.O. (TU Delft Economics of Technology and Innovation); Urom, Christian (Paris School of Business)","","2023","This paper examines the relationships among cryptocurrency environmental attention and clean cryptocurrencies prices using Time-Varying Parameter Vector Auto-Regression (TVP-VAR) and wavelets techniques. Results show strong connectedness among these variables, implying that the prices of clean cryptocurrencies are influenced by attention on cryptocurrency sustainability. Connectedness is stronger with positive shocks on environmental attention than negative shocks. Also, in the short-term, clean cryptocurrencies prices lead environmental attention, especially after 2021. However, there are notable periods when environmental attention led clean cryptocurrency prices before 2021. In the long-term, clean cryptocurrencies such as Hedera, Polygon, Cosmos, IOTA, TRON, Stellar, Tezos and Ripple lead environmental attention. In the presence of bitcoin, the degrees of connectedness increased across both shocks on cryptocurrency environmental attention. In all cases, the bitcoin market is the main destination of shocks from the system. We highlight some crucial implications of these results.","Asymmetric connectedness; Clean cryptocurrency; Cryptocurrency environmental attention; Environmental sustainability; Risk spillovers; Wavelets coherence","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-05","","","Economics of Technology and Innovation","","",""
"uuid:a8cdf4b9-a0e5-498c-98ed-afa25a63eb8d","http://resolver.tudelft.nl/uuid:a8cdf4b9-a0e5-498c-98ed-afa25a63eb8d","An OmpW-dependent T4-like phage infects Serratia sp. ATCC 39006","Mahler, M.S. (TU Delft BN/Stan Brouns Lab; University of Otago); Malone Portu, L.M. (TU Delft BN/Stan Brouns Lab; University of Otago; Kavli institute of nanoscience Delft); van den Berg, D.F. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); Smith, Leah M. (University of Otago); Brouns, S.J.J. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); Fineran, Peter C. (University of Otago)","","2023","Serratia sp. ATCC 39006 is a Gram-negative bacterium that has been used to study the function of phage defences, such as CRISPR-Cas, and phage counter-defence mechanisms. To expand our phage collection to study the phage-host interaction with Serratia sp. ATCC 39006, we isolated the T4-like myovirus LC53 in Ōtepoti Dunedin, Aotearoa New Zealand. Morphological, phenotypic and genomic characterization revealed that LC53 is virulent and similar to other Serratia, Erwinia and Kosakonia phages belonging to the genus Winklervirus. Using a transposon mutant library, we identified the host ompW gene as essential for phage infection, suggesting that it encodes the phage receptor. The genome of LC53 encodes all the characteristic T4-like core proteins involved in phage DNA replication and generation of viral particles. Furthermore, our bioinformatic analysis suggests that the transcriptional organization of LC53 is similar to that of Escherichia coli phage T4. Importantly, LC53 encodes 18 tRNAs, which likely compensate for differences in GC content between phage and host genomes. Overall, this study describes a newly isolated phage infecting Serratia sp. ATCC 39006 that expands the diversity of phages available to study phage-host interactions.","phage genome; Serratia sp. ATCC39006 phage; T4-like; Winklervirus","en","journal article","","","","","","","","","","","BN/Stan Brouns Lab","","",""
"uuid:8faec508-1dbc-489b-8929-e6594f0a577c","http://resolver.tudelft.nl/uuid:8faec508-1dbc-489b-8929-e6594f0a577c","Defining “k f-factors” for threshold reactions","van Sluijs, R. (k0-Ware, Heerlen); Blaauw, Menno (TU Delft RID/KEWO/Kwaliteitszorg-IMS)","","2023","The k0-method (De Corte in The k0-standardization method: move to the optimization of neutron activation analysis. Habil. Thesis, Ghent University, Belgium, 1987) was developed solely for the use of (n, γ) nuclear reactions in neutron activation analysis. For this, a definition of only the thermal and epi-thermal flux was needed. The fast flux of the fission neutrons was not taken into account although it was considered for primary interferences by De Corte0. The energy distribution of the fission neutrons can be rather well described by a Watt distribution but is reactor dependent. To complicate things, the activation cross-section behaviour is nuclide dependent. In order to incorporate threshold reactions in the k0-method we propose to use predefined kf-factors, measuring the fast flux using a Ni-58 monitor, and to introduce an h-factor that accounts for all deviations for a specific reaction and irradiation facility. It is shown, based on data from Verheijke, that there are useful correlations for Ni-58, Ti-47 and Ti-48. Activation cross section functions indicate that there are possible more relations that might allow h-factors to be predicted.","ENDF/B-VIII.0; Fast neutron spectrum; k-method; Neutron activation analysis; Threshold reactions","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-30","","","","","",""
"uuid:d5f73623-b10e-46d9-81d5-8c0fb401cc3f","http://resolver.tudelft.nl/uuid:d5f73623-b10e-46d9-81d5-8c0fb401cc3f","Graph Neural Networks for Intelligent Transportation Systems: A Survey","Rahmani, S. (TU Delft Transport and Planning); Baghbani, Asiye (Concordia University); Bouguila, Nizar (Concordia University); Patterson, Zachary (Concordia University)","","2023","Graph neural networks (GNNs) have been extensively used in a wide variety of domains in recent years. Owing to their power in analyzing graph-structured data, they have become broadly popular in intelligent transportation systems (ITS) applications as well. Despite their widespread applications in different transportation domains, there is no comprehensive review of recent advancements and future research directions that covers all transportation areas. Accordingly, in this survey, for the first time, we provide an overview of GNN studies in the general domain of ITS. Unlike previous surveys, which have been limited to traffic forecasting problems, we explore how GNN frameworks have evolved for different ITS applications, including traffic forecasting, demand prediction, autonomous vehicles, intersection management, parking management, urban planning, and transportation safety. Also, we micro-categorize the studies based on their transportation application to identify domain-specific research directions, opportunities, and challenges, which have been missing in previous surveys. Moreover, we identify unique and undiscussed research opportunities and directions, which is the result of reviewing a wide range of transportation applications. The neglected role of edge and graph learning in ITS applications, developing multi-modal models, and exploiting the power of unsupervised and reinforcement learning methods for developing more powerful GNNs are some examples of such new discussions in this survey. Finally, we have identified popular baseline models and datasets in each transportation domain, which facilitate the development and evaluation of future GNN-based frameworks.","Deep learning; deep learning; Forecasting; GNN; Graph neural networks; intelligent transportation systems; ITS; Laplace equations; Safety; Search problems; Transportation","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:8f804348-8be1-4c0e-98b4-2e11a6f351c0","http://resolver.tudelft.nl/uuid:8f804348-8be1-4c0e-98b4-2e11a6f351c0","Predictive Control of a Human–in–the–Loop Network System Considering OperatorComfort Requirements","Sadowska, Anna D. (Schlumberger Cambridge Research); Maestre, José María (University of Seville; Kyoto University); Kassking, Ruud (Royal HaskoningDHV); van Overloop, P.J.A.T.M. (TU Delft Water Resources); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2023","We propose a model-predictive control (MPC)-based approach to solve a human-in-the-loop control problem for a network system lacking sensors and actuators to allow for a fully automatic operation. The humans in the loop are, therefore, essential; they travel between the network nodes to provide the remote controller with measurements and to actuate the system according to the controller’s commands. Time instant optimization MPC is utilized to compute when the measurement and actuation actions are to take place to coordinate them with the network dynamics. The time instants also minimize the burden of human operators by tracking their energy levels and scheduling the necessary breaks. Fuel consumption related to the operators’ travel is also minimized. The results in a digital twin of the Dez Main Canal illustrate that the new algorithm outperforms previous methods in terms of meeting operational objectives and taking care of human well-being, but at the cost of higher computational requirements.","Human-in-the-loop; Irrigation; model-predictive control (MPC); Network systems; network systems; Predictive control; Schedules; Sensors; Stress; Time measurement","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-24","","Delft Center for Systems and Control","Water Resources","","",""
"uuid:2c28cc89-d36a-4ec6-bd99-789c6088c8f1","http://resolver.tudelft.nl/uuid:2c28cc89-d36a-4ec6-bd99-789c6088c8f1","A state-dependent multilaminate constitutive model for anisotropic sands","Bayraktaroglu, H. (TU Delft Geo-engineering); Hicks, M.A. (TU Delft Geo-engineering); Korff, M. (TU Delft Geo-engineering); Galavi, Vahid (DIANA FEA)","","2023","Experimental studies show that initial fabric and its evolution under different stress paths highly influence soil behaviour. Even though different sample preparation methods create different inherent anisotropies and cause different material responses, the same initial fabric structure under different stress paths also results in different material behaviours. In this paper, a simple state-dependent, bounding surface-based elastoplastic constitutive model, that can simulate the anisotropic nature of sands including the effect of principal stress rotation, is described. The model is developed based on a semi-micromechanical concept within the multilaminate framework and, to include the inherent anisotropy of sand, a deviatoric fabric tensor describing the initial microstructure is introduced. In addition, a fabric evolution rule compatible with anisotropic critical state theory (ACST) is employed to describe the evolving fabric structure and induced anisotropy towards the critical state (CS). In contrast to the classical strain-driven formulation for fabric evolution, a micro-level evolution rule is proposed. This paper presents concise theoretical aspects of the multilaminate framework and the anisotropic elastoplastic constitutive formulation. The model's capability under drained and undrained monotonic loading conditions at different stress states, relative densities and principal stress orientations is demonstrated by simulating experimental data for Toyoura sand.","anisotropy; constitutive relations; fabric/structure of soils; plasticity; sands","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:9088d7d3-287f-48f6-a049-d24c2b33f6d4","http://resolver.tudelft.nl/uuid:9088d7d3-287f-48f6-a049-d24c2b33f6d4","Dynamic Shrinkage Estimation of the High-Dimensional Minimum-Variance Portfolio","Bodnar, Taras (Stockholm University); Parolya, N. (TU Delft Statistics); Thorsén, Erik (Stockholm University)","","2023","In this paper, new results in random matrix theory are derived, which allow us to construct a shrinkage estimator of the global minimum variance (GMV) portfolio when the shrinkage target is a random object. More specifically, the shrinkage target is determined as the holding portfolio estimated from previous data. The theoretical findings are applied to develop theory for dynamic estimation of the GMV portfolio, where the new estimator of its weights is shrunk to the holding portfolio at each time of reconstruction. Both cases with and without overlapping samples are considered in the paper. The non-overlapping samples corresponds to the case when different data of the asset returns are used to construct the traditional estimator of the GMV portfolio weights and to determine the target portfolio, while the overlapping case allows intersections between the samples. The theoretical results are derived under weak assumptions imposed on the data-generating process. No specific distribution is assumed for the asset returns except from the assumption of finite 4+ɛ, ɛ >0, moments. Also, the population covariance matrix with unbounded largest eigenvalue can be considered. The performance of new trading strategies is investigated via an extensive simulation. Finally, the theoretical findings are implemented in an empirical illustration based on the returns on stocks included in the S&P 500 index.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-23","","","Statistics","","",""
"uuid:3bdb867e-4b4b-4a7c-a55e-461a1569d7f2","http://resolver.tudelft.nl/uuid:3bdb867e-4b4b-4a7c-a55e-461a1569d7f2","Literature review papers: the search and selection process","van Wee, G.P. (TU Delft Transport and Logistics); Banister, David (University of Oxford)","","2023","In an earlier publication (Van Wee & Banister, 2016), we explained that literature review papers (LRP) should explicitly report on the search and selection process of documents included. In this paper, we present two approaches for reporting the methodology for doing this: a basic approach and a reasoned approach. The basic approach includes reporting databases(s), keywords, search strings, snowballing, the selection of documents, presenting an overview of documents included and reporting additional selection criteria (if applicable). The reasoned approach adds to the basic approach (what was done) by explicitly explaining the motivation for choices and showing the selection process graphically (why it was done). The two approaches should be seen as options, and not the only alternatives. We recommend that authors of LRPs depart from these approaches and modify them where appropriate. The important lesson is that authors should be explicit about the approach adopted, as this enables the reader to understand the thinking behind the LRP and the conclusions drawn.","basic and reasoned approaches; Literature review; methodology","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:f61dc1cf-776b-42e0-838a-bd128d344fcc","http://resolver.tudelft.nl/uuid:f61dc1cf-776b-42e0-838a-bd128d344fcc","Understanding the Housing Pathways and Migration Plans of Young Talents in Metropolises–A Case Study of Shenzhen","Jin, C. (TU Delft Real Estate Management); Li, B. (TU Delft Real Estate Management); Jansen, S.J.T. (TU Delft Real Estate Management); Boumeester, H.J.F.M. (TU Delft Real Estate Management); Boelhouwer, P.J. (TU Delft Real Estate Management)","","2023","In the context of skyrocketing house prices and fierce competition for talents between cities, this study explores the housing pathways of young talents and their future migration plans in Shenzhen, China. Using the housing pathways approach and Bourdieu’s theory of practice with three concepts, this study uncovers how structural factors and the often-overlooked agency factors together influence the formation of different housing pathways. Drawing on 18 semi-structured interviews with young talents, four different housing pathways were identified: staying at parents’ home, private renting to owning, talented renting, and progressive private renting. We found that the interaction of habitus and different forms of capital shapes different housing pathways. In addition, young talents following different housing pathways have various future migration plans. This paper sheds new light on the use of the housing pathways approach and Bourdieu’s theory of practice in providing a nuanced understanding of housing and migration behaviour.","capital; field; habitus; housing pathways; migration plans; Young talents","en","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:630778e8-9778-49a1-8d79-70cf5d338175","http://resolver.tudelft.nl/uuid:630778e8-9778-49a1-8d79-70cf5d338175","Thermomechanical Oriented Reliability Enhancement of Si MOSFET Panel-Level Packaging Fusing Ant Colony Optimization With Backpropagation Neural Network","Jiang, Jing (Fudan University); Chen, Wei (Fudan University); Qian, Yichen (Hohai University); Meda, Abdulmelik H. (The Hong Kong Polytechnic University); Fan, X. (Lamar University); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Fan, J. (Fudan University)","","2023","Considerable advancements in power semiconductor devices have resulted in such devices being increasingly adopted in applications of energy generation, conversion, and transmission. Hence, we proposed a fan-out panel-level packaging (FOPLP) design for 30-V Si-based metal-oxide-semiconductor field-effect transistor (MOSFET). To achieve superior reliability of packaging, we applied the nondominated sorting genetic algorithm with elitist strategy (NSGA-II) and ant colony optimization-backpropagation neural network (ACO-BPNN) to optimize the design of redistribution layer (RDL) in FOPLP. We first quantified the thermal resistance and thermomechanical coupling stress of the designed package under thermal cycling loading. Next, NSGA-II and ACO-BPNN were used to optimize the size of the RDL blind via. Finally, the effectiveness of the proposed reliability optimization methods was verified by performing thermal shock reliability aging tests on the prepared devices.","Ant Colony Neural Network; Fan-out panel-level packaging; Genetic Algorithm; MOSFET; Packaging; Power device; Reliability; Reliability optimization; Stress; Thermal resistance; Thermal stresses; Thermomechanical processes","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-09","","","Electronic Components, Technology and Materials","","",""
"uuid:5ad94538-e490-4982-8315-97f04cd53641","http://resolver.tudelft.nl/uuid:5ad94538-e490-4982-8315-97f04cd53641","Ergodic Theory of Multi-layer Interacting Particle Systems","Redig, F.H.J. (TU Delft Applied Probability); van Wiechen, H. (TU Delft Applied Probability)","","2023","We consider a class of multi-layer interacting particle systems and characterize the set of ergodic probability measures with finite moments. The main technical tool is duality combined with successful coupling.","Coupling; Duality; Interacting particle systems; Multi-layer random walks","en","journal article","","","","","","","","","","","Applied Probability","","",""
"uuid:1e99a7f8-035f-4682-a028-ec250a9aa5a4","http://resolver.tudelft.nl/uuid:1e99a7f8-035f-4682-a028-ec250a9aa5a4","Realistic μPMU Data Generation for Different Real-Time Events in an Unbalanced Distribution Network","Haleem Medattil Ibrahim, M.I. (TU Delft Intelligent Electrical Power Grids; University of Petroleum and Energy Studies); Sharma, Madhu (University of Petroleum and Energy Studies); Subramaniam Rajkumar, Vetrivel (TU Delft Intelligent Electrical Power Grids)","","2023","Monitoring, protection, and control processes are becoming more complex as distributed energy resources (DERs) penetrate distribution networks (DNs). This is due to the inherent nature of power DNs and the bi-directional flow of current from various sources to the loads. To improve the system’s situational awareness, the grid dynamics of the entire DER integration processes must be carefully monitored using synchronized high-resolution real-time measurement data from physical devices installed in the DN. μPMUs have been introduced into the DN to help with this. In comparison to traditional measurement devices, μPMUs can measure voltage, current, and their phasors, in addition to frequency and rate of frequency change (ROCOF). In this study, an approach to generating realistic event data for a real utility DN utilizing strategically installed μPMUs is proposed. The method employs an IEEE 34 test feeder with 12 μPMUs installed in strategic locations to generate real-time events-based realistic μPMU data for various situational awareness applications in an unbalanced DN. The node voltages and line currents were used to analyze the various no-fault and fault events. The author generated the data as part of his PhD research project, utilizing his real-time utility grid operation experience to be used for various situational awareness and fault location studies in a real unbalanced DN. The DN was modeled in DIgSILENT PowerFactory (DP) software. The generated realistic μPMU data can be utilized for developing data-driven algorithms for different event-detection, classification and section-identification research works.","μPMUs; real-time events; data generation; distribution network; fault events; situational awareness; modeling and simulation; load flow analysis; RMS simulation","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:879b0219-f7c4-402c-9cc2-2487ace820c4","http://resolver.tudelft.nl/uuid:879b0219-f7c4-402c-9cc2-2487ace820c4","What does heritability of Alzheimer’s disease represent?","Baker, Emily (Cardiff University); Leonenko, Ganna (Cardiff University); Schmidt, Karl Michael (Cardiff University); Hill, Matthew (Cardiff University); Myers, Amanda J. (University of Miami); Shoai, Maryam (University College London (UCL)); de Rojas, Itziar (Universitat Internacional de Catalunya; Instituto de Salud Carlos III); Tesi, N. (TU Delft Pattern Recognition and Bioinformatics; Amsterdam UMC; Amsterdam Neuroscience, Neurodegeneration); Holstege, H. (TU Delft Intelligent Systems; Amsterdam UMC; Amsterdam Neuroscience, Neurodegeneration)","","2023","Introduction Both late-onset Alzheimer’s disease (AD) and ageing have a strong genetic component. In each case, many associated variants have been discovered, but how much missing heritability remains to be discovered is debated. Variability in the estimation of SNP-based heritability could explain the differences in reported heritability. Methods We compute heritability in five large independent cohorts (N = 7,396, 1,566, 803, 12,528 and 3,963) to determine whether a consensus for the AD heritability estimate can be reached. These cohorts vary by sample size, age of cases and controls and phenotype definition. We compute heritability a) for all SNPs, b) excluding APOE region, c) excluding both APOE and genome-wide association study hit regions, and d) SNPs overlapping a microglia gene-set. Results SNP-based heritability of late onset Alzheimer’s disease is between 38 and 66% when age and genetic disease architecture are correctly accounted for. The heritability estimates decrease by 12% [SD = 8%] on average when the APOE region is excluded and an additional 1% [SD = 3%] when genome-wide significant regions were removed. A microglia gene-set explains 69–84% of our estimates of SNP-based heritability using only 3% of total SNPs in all cohorts. Conclusion The heritability of neurodegenerative disorders cannot be represented as a single number, because it is dependent on the ages of cases and controls. Genome-wide association studies pick up a large proportion of total AD heritability when age and genetic architecture are correctly accounted for. Around 13% of SNP-based heritability can be explained by known genetic loci and the remaining heritability likely resides around microglial related genes.","","en","journal article","","","","","","","","","","Intelligent Systems","Pattern Recognition and Bioinformatics","","",""
"uuid:95ea6576-69d7-4ecc-a0d7-35e616562253","http://resolver.tudelft.nl/uuid:95ea6576-69d7-4ecc-a0d7-35e616562253","Unprotected Left-Turn Behavior Model Capturing Path Variations at Intersections","Zhao, Jing (University of Shanghai for Science and Technology); Knoop, V.L. (TU Delft Transport and Planning); Sun, Jian (Tongji University); Ma, Zian (Tongji University); Wang, M. (TU Delft Transport and Planning; Technische Universität Dresden)","","2023","Path dispersion (the spatial distribution of vehicular paths) is an important feature of traffic flow inside intersections and differs from traffic flow running along traffic lanes at road segment, especially under conflicting movements. The path dispersion reflects the operational features of traffic flow and is related to driving behaviour, arrival flow patterns, layout design, and the traffic control and management scheme. This study aims to improve the understanding of the overall path dispersion of unprotected left-turns and the opposing through movement. A behavioural simulation model was established to represent the overall path dispersion. Human behaviours regarding vehicle trajectory planning with and without conflicting vehicles were modelled based on optimal control and integrated into the proposed discrete event simulation framework. The descriptive power and accuracy of the proposed simulation model were validated using empirical data. The effects of the spatial size of the intersection, crossing angle, and traffic volume on the path dispersion of the left-turn and through movement were explored based on numerical experiments. The results show that the proposed simulation model can represent the path dispersion of left-turn and opposing through movement well for both the calibrated intersections and newly added intersections without model parameter recalibration with an average error of 8.92%.","Path dispersion; driving behaviors; conflicting movements; intersections","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-03","","Transport and Planning","Transport and Planning","","",""
"uuid:631ddc7f-e8c3-4616-b433-1cc0a1cf2ce4","http://resolver.tudelft.nl/uuid:631ddc7f-e8c3-4616-b433-1cc0a1cf2ce4","Mass balance of the Greenland and Antarctic ice sheets from 1992 to 2020","Otosaka, I.N. (University of Leeds); Shepherd, Andrew (University of Leeds); Ivins, Erik R. (California Institute of Technology); Schlegel, Nicole-Jeanne (California Institute of Technology); Amory, Charles (Université Grenoble Alpes); Simon, K.M. (TU Delft Physical and Space Geodesy); Schrama, Ernst (TU Delft Astrodynamics & Space Missions); van der Wal, W. (TU Delft Astrodynamics & Space Missions); Wouters, B. (TU Delft Physical and Space Geodesy; Universiteit Utrecht)","","2023","Ice losses from the Greenland and Antarctic ice sheets have accelerated since the 1990s, accounting for a significant increase in the global mean sea level. Here, we present a new 29-year record of ice sheet mass balance from 1992 to 2020 from the Ice Sheet Mass Balance Inter-comparison Exercise (IMBIE). We compare and combine 50 independent estimates of ice sheet mass balance derived from satellite observations of temporal changes in ice sheet flow, in ice sheet volume, and in Earth's gravity field. Between 1992 and 2020, the ice sheets contributed 21.0±1.9g€¯mm to global mean sea level, with the rate of mass loss rising from 105g€¯Gtg€¯yr-1 between 1992 and 1996 to 372g€¯Gtg€¯yr-1 between 2016 and 2020. In Greenland, the rate of mass loss is 169±9g€¯Gtg€¯yr-1 between 1992 and 2020, but there are large inter-annual variations in mass balance, with mass loss ranging from 86g€¯Gtg€¯yr-1 in 2017 to 444g€¯Gtg€¯yr-1 in 2019 due to large variability in surface mass balance. In Antarctica, ice losses continue to be dominated by mass loss from West Antarctica (82±9g€¯Gtg€¯yr-1) and, to a lesser extent, from the Antarctic Peninsula (13±5g€¯Gtg€¯yr-1). East Antarctica remains close to a state of balance, with a small gain of 3±15g€¯Gtg€¯yr-1, but is the most uncertain component of Antarctica's mass balance. The dataset is publicly available at 10.5285/77B64C55-7166-4A06-9DEF-2E400398E452 (IMBIE Team, 2021).","Antarctica; Greenland; ice sheet; remote sensing; sea level","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:6a6ee478-6ad7-4715-8628-77717a6244ed","http://resolver.tudelft.nl/uuid:6a6ee478-6ad7-4715-8628-77717a6244ed","Aerosol first indirect effect of African smoke at the cloud base of marine cumulus clouds over Ascension Island, southern Atlantic Ocean","De Graaf, Martin (Royal Netherlands Meteorological Institute (KNMI)); Sarna, K. (TU Delft Atmospheric Remote Sensing); Brown, Jessica (Wageningen University & Research); Tenner, Elma V. (Student TU Delft); Schenkels, Manon (Universiteit Utrecht); Donovan, D.P. (Royal Netherlands Meteorological Institute (KNMI))","","2023","The interactions between aerosols and clouds are among the least understood climatic processes and were studied over Ascension Island. A ground-based UV polarization lidar was deployed on Ascension Island, which is located in the stratocumulus-to-cumulus transition zone of the southeastern Atlantic Ocean, to infer cloud droplet sizes and droplet number density near the cloud base of marine boundary layer cumulus clouds. The aerosol–cloud interaction (ACI) due to the presence of smoke from the African continent was determined during the monsoonal dry season. In September 2016, a cloud droplet number density ACIN of 0.3 ± 0.21 and a cloud effective radius ACIr of 0.18 ± 0.06 were found, due to the presence of smoke in and under the clouds. Smaller droplets near the cloud base makes them more susceptible to evaporation, and smoke in the marine boundary layer over the southeastern Atlantic Ocean will likely accelerate the stratocumulus-to-cumulus transition. The lidar retrievals were tested against more traditional radar–radiometer measurements and shown to be robust and at least as accurate as the lidar–radiometer measurements. The lidar estimates of the cloud effective radius are consistent with previous studies of cloud base droplet sizes. The lidar has the large advantage of retrieving both cloud and aerosol properties using a single instrument.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:9fe719f4-0856-458c-a27c-226acacb73c6","http://resolver.tudelft.nl/uuid:9fe719f4-0856-458c-a27c-226acacb73c6","Employing Deep Ensemble Learning for Improving the Security of Computer Networks against Adversarial Attacks","Nowroozi, Ehsan (Bahçeşehir Üniversitesi); Mohammadi, Mohammadreza (Università degli Studi di Padova); Savas, Erkay (Sabanci University); Mekdad, Yassine (Florida International University); Conti, M. (TU Delft Cyber Security; University of Padua; University of Washington)","","2023","In the past few years, Convolutional Neural Networks (CNN) have demonstrated promising performance in various real-world cybersecurity applications, such as network and multimedia security. However, the underlying fragility of CNN structures poses major security problems, making them inappropriate for use in security-oriented applications, including computer networks. Protecting these architectures from adversarial attacks necessitates using security-wise architectures that are challenging to attack. In this study, we present a novel architecture based on an ensemble classifier that combines the enhanced security of 1-Class classification (known as 1C) with the high performance of conventional 2-Class classification (known as 2C) in the absence of attacks. Our architecture is referred to as the 1.5-Class (cmb-classifier) classifier and is constructed using a final dense classifier, one 2C classifier (i.e., CNNs), and two parallel 1C classifiers (i.e., auto-encoders). In our experiments, we evaluated the robustness of our proposed architecture by considering eight possible adversarial attacks in various scenarios. We performed these attacks on the 2C and cmb-classifier architectures separately. The experimental results of our study showed that the Attack Success Rate (ASR) of the I-FGSM attack against a 2C classifier trained with the N-BaIoT dataset is 0.9900. In contrast, the ASR is 0.0000 for the cmb-classifier.","Adversarial Attacks; Adversarial Examples; Adversarial Machine Learning; Computer architecture; Computer networks; Computer security; Convolutional neural networks; Counter-Forensics; Cybersecurity; Deep-Learning Security; Ensemble Classifiers; Forensics; Secure Classification; Support vector machines; Training","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-23","","","Cyber Security","","",""
"uuid:f417b2b8-ab43-457d-aa8a-22cbd08dbf50","http://resolver.tudelft.nl/uuid:f417b2b8-ab43-457d-aa8a-22cbd08dbf50","Creating spaces and cultivating mindset for transdisciplinary learning and experimentation: Pathways beyond the International Transdisicplinarity Conference 2021","Pearce, B.J. (TU Delft Policy Analysis); Vienni-Baptista, Bianca (ETH Zürich); Stauffacher, Michael (ETH Zürich); Paulsen, Theres (Swiss Academies of Arts and Sciences); Krütli, Pius (ETH Zürich); Buser, Tobias (Global Alliance for Inter- and Transdisciplinarity); Salsabila, Nabila Putri (ETH Zürich); Christl, Celine","","2023","This Special Focus highlights the potential of transdisciplinary research and learning to confront the complexity of the challenges facing society today. By serving as a bridge between science, practice and society, transdisciplinarity can be a means to help foster collaboration between diverse actors, question existing paradigms of knowledge co-production and build pathways towards transformation","learning; online conference; science-society interface; td-net; transdisciplinarity","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:e37b9578-3731-4060-9e69-6c4744608920","http://resolver.tudelft.nl/uuid:e37b9578-3731-4060-9e69-6c4744608920","Exact closed-form expressions for the complete RTM correction","Klees, R. (TU Delft Physical and Space Geodesy); Seitz, Kurt (Karlsruhe Institut für Technologie); Slobbe, D.C. (TU Delft Physical and Space Geodesy)","","2023","We present exact, closed-form expressions for the complete RTM correction and the harmonic correction to disturbing potential, gravity disturbance, gravity anomaly, and height anomaly. They need to be applied in quasi-geoid modelling whenever data points are buried inside the masses after residual terrain model (RTM) reduction and analytically downward-continued functionals of the disturbing potential at the original locations of the data points are required. Compared to recent work of the authors published in this journal, no Taylor series enter the expressions and numerical instabilities of the harmonic downward continuation from the RTM surface to the Earth’s surface are avoided as are inaccuracies in the free-air upward continuation from the Earth’s surface to the RTM surface caused by a lack of precise information about higher-order derivatives of the disturbing potential. The new expressions can easily be implemented in any existing RTM software package and do not require additional computational resources. For two test areas located in western Norway and the Auvergne in France, we compute the complete RTM correction and the harmonic correction to the afore-mentioned functionals of the disturbing potential. Overall, all harmonic corrections are non-negative with maximum values of 1.54 m 2/ s 2, 263.0 μ Gal, 263.9 μ Gal, and 15.7 m (Norway) and 1.55 m 2/ s 2, 263.3 μ Gal, 263.3 μ Gal, and 15.8 cm (Auvergne) for disturbing potential, gravity disturbance, gravity anomaly, and height anomaly, respectively. The medians are 0.02 m 2/ s 2, 33.6 μ Gal, 33.7 μ Gal, and 0.3 cm (Norway) and 0.01 m 2/ s 2, 19.2 μ Gal, 19.2 μ Gal, and 0.1 cm (Auvergne). We also show that the nth Taylor polynomials used in the recent work of the authors published in this journal may have large remainders depending on the topography in the vicinity of the evaluation point no matter how n is chosen. Finally, we show that the commonly used expression for the harmonic correction to gravity anomaly introduced in 1981 is almost exact, though it was derived along a completely different line of reasoning. The errors do not exceed 49 μ Gal in both test areas. Moreover, the errors have a negligible impact on the computed height anomalies in one-centimetre quasi-geoid modelling, as the mean error does not exceed a few μ Gal in both test areas.","Auasi-geoid modelling; Complete RTM correction; Harmonic correction; RTM reduction","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:710ccabb-542e-409c-a0dd-658fcbdc3a48","http://resolver.tudelft.nl/uuid:710ccabb-542e-409c-a0dd-658fcbdc3a48","Compliant manipulator design method (COMAD) for the type synthesis of all serial and parallel multi-DoF compliant mechanisms, with example of a Schönflies motion generator","Huisjes, A.E. (TU Delft Mechatronic Systems Design); van der Wijk, V. (TU Delft Mechatronic Systems Design)","","2023","This article presents the compliant manipulator design method (COMAD) for the synthesis of serial and parallel multi-DoF compliant mechanisms. Currently, the freedom and constraint topology (FACT)-method results in flexure systems being a serial kinematic solution for multi-DoF motions. In the COMAD method parallel solutions are included too through 3 steps: (1) obtaining the serial and parallel kinematic solutions for an intended set of end-effector DoFs with the type synthesis of legs-method; (2) transforming each legtype into a flexure leg by using the FACT method; (3) combining legs in parallel to obtain complete compliant mechanism designs. It was applied for a compliant Schönflies motion generator – having three translations and one rotation – resulting in 5 different 4-DoF flexure legs. 4 designs were new compared to the result obtained using the FACT method. Then, a set of legs was combined in parallel resulting in a compliant Schönflies mechanism, which was manufactured. Its mobility was experimentally evaluated by modal analysis. The intended motions separately became visible during its first four eigenmodes. They are the mechanism's DoFs as their stiffness is relatively low.","Multi-DoF flexure systems; Parallel compliant mechanisms; Spatial motion; Synthesis method","en","journal article","","","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:0411a8ad-a483-4537-8a0e-ab5bc1f4f382","http://resolver.tudelft.nl/uuid:0411a8ad-a483-4537-8a0e-ab5bc1f4f382","Gedragsinzichten bieden meer beleidskansen dan er nu worden benut","van de Riet, O. (Ministerie van Financiën); Pietersma, S. (Ministerie van Financiën); Enserink, B. (TU Delft Policy Analysis)","","2023","Gedragsinzichten zijn onontbeerlijk om te komen tot effectief beleid, de mens is immers geen homo economicus. Dat geldt echter niet alleen voor uitvoeringsvraagstukken, maar ook voor het ontwerpen van beleid. Hier ligt er nog een groot onbenut potentieel.","","nl","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:aae8b45a-91bb-4556-8513-ea339e6dff2f","http://resolver.tudelft.nl/uuid:aae8b45a-91bb-4556-8513-ea339e6dff2f","Sedimentary cover and structural trends affecting the groundwater flow in the Nubian Sandstone Aquifer System: Inferences from geophysical, field and geochemical data","Mohamed, Ahmed (Assiut University); Alarifi, Saad S. (King Saud University); Abdelrady, Ahmed (TU Delft Water Resources)","","2023","This study combined gravity data from the Earth Gravitational Model (EGM2008) with other data to better understand the spatial variations of the sedimentary cover and the structural trends that affect groundwater flow in the Nubian Sandstone Aquifer System. Our findings were verified and evidenced by geological, geochronological, geochemical data, and earthquake records: 1) The Uweinat-Aswan basement uplift, which runs east-west, partially isolates the Dakhla subbasin from the shallower northern Sudan subbasin, and thereby impeding the south-to-north groundwater flow from northern Sudan platform to the Dakhla subbasin; 2) A thickening of the sedimentary cover in the NE-SW direction from the southern Kufra through the northern Kufra to the Dakhla subbasin; 3) The sedimentary cover was found to increase from less than 500 m in the south (Northern Sudan and Uweinat region) to more than 6 km in the north (Mediterranean coast); 4) A number of structural trends (NE-SW, N-S, E-W, and NW-SE) affecting the region; 5) A large Pelusium megashear system that runs northeast to southwest makes it easier for groundwater to flow from the Kufra subbasin to the Dakhla subbasin; 6) Along the paths that groundwater takes, like from Siwa to Qattara and from northwest Farafra to north Bahariya, and along structures that run in the same direction as the flow, a progressive increase in 36Cl groundwater ages were observed; 7) It is a better way to learn about the hydrogeological context of large aquifers and figure out how to best manage these underground water sources.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:3d23a34b-92a3-4b99-879b-8dd689537f2b","http://resolver.tudelft.nl/uuid:3d23a34b-92a3-4b99-879b-8dd689537f2b","Two-stage risk-constrained stochastic optimal bidding strategy of virtual power plant considering distributed generation outage","Ghasemi-Olanlari, Farzin (K.N. Toosi University of Technology); Moradi Sepahvand, M. (TU Delft Intelligent Electrical Power Grids); Amraee, Turaj (K.N. Toosi University of Technology)","","2023","This paper presents an optimal bidding strategy for a technical and commercial virtual power plant (VPP) in medium-term time horizon. A VPP includes various distributed energy resources (DERs) that can participate in the Pool and Futures markets. Although medium/long-term scheduling provides the opportunity to participate in the futures market, it also raises the possibility of unit failure. In this regard, the impact of distributed generation (DG) units’ failure, as an important challenge in VPP, is incorporated in the proposed model. The model is formulated as a risk-constrained two-stage stochastic problem. The VPP signs futures market contracts in the first stage, and in the second stage, it participates in the day-ahead (DA) market and manages its DERs. Long short-term memory neural network and scenario generation and reduction methods are used to capture the uncertainty parameters of electrical load, DA market prices, wind speed, and solar radiation in the proposed model. The performance of proposed model is investigated in different cases. The obtained results show that the VPP can compensate the losses caused by the DG units’ failure through taking advantage of the arbitrage opportunity.","distributed power generation; power markets; power system economics; power system management; recurrent neural nets","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:6fa093e9-3f38-4ac5-b7cf-fd8557505447","http://resolver.tudelft.nl/uuid:6fa093e9-3f38-4ac5-b7cf-fd8557505447","Barriers and facilitators for the provision of radiology services in Zimbabwe: A qualitative study based on staff experiences and observations","Hinrichs-Krapels, S. (TU Delft Policy Analysis); Tombo, Lazarus (University Hospitals Birmingham); Boulding, Harriet (King’s College London); Majonga, Edith D. (University of Zimbabwe); Cummins, Carole (University of Birmingham); Manaseki-Holland, Semira (University of Birmingham)","","2023","Despite its fundamental role in diagnostic and curative care, radiology has been described as a neglected essential service in many low and middle-income countries (LMICs). Previous studies have demonstrated basic equipment and infrastructure shortages in LMIC settings, but no studies to date have gone further in understanding the perceptions and experiences of staff delivering radiology services, as a way of identifying their perspectives on barriers and facilitators for delivering services, and the potential for where improvements can be made. Our qualitative study aimed to: (a) identify barriers for delivering radiology services, and (b) suggest potential facilitators for improvement of radiology service delivery in the Zimbabwean context; from the perspective of radiology staff. We conducted semi-structured interviews (n = 13) and three focus groups (n = 24 radiographers), followed by four half- to full- days of field observations to validate insights from the interviews and focus groups in all three public hospitals and one private hospital in the Harare metropolitan area. Our study identified four main barriers for delivering radiology services: (i) poor basic infrastructure, equipment, and consumables; (ii) suboptimal equipment maintenance; (iii) shortage of radiology staff and skills development; and (iv) lack of wider integration and support for radiology services. We also identified a strong sense of motivation among staff to keep radiology services, pointing to what may be an enabler and facilitator for improving radiology services. These findings point to potential risks to patient safety and quality of delivering radiology services. More importantly, we found a strong sense of personal motivation displayed by the staff, suggesting there is the potential to maintain and improve existing practices, but this would require investments to train and remunerate more radiology staff, as well as investing in continuing professional development.","","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:27cb21c7-2fcb-4257-ab2d-230cce7e7392","http://resolver.tudelft.nl/uuid:27cb21c7-2fcb-4257-ab2d-230cce7e7392","A Federated Platform Enabling a Systematic Collaboration Among Devices, Data and Functions for Smart Mobility","You, Linlin (Sun Yat-sen University; Massachusetts Institute of Technology); Danaf, Mazen (Massachusetts Institute of Technology); Zhao, Fang (MIT Alliance for Research and Technology (SMART)); Guan, Jinping (Harbin Institute of Technology); Azevedo, Carlos Lima (Massachusetts Institute of Technology; Technical University of Denmark); Atasoy, B. (TU Delft Transport Engineering and Logistics; Massachusetts Institute of Technology); Ben-Akiva, Moshe (Massachusetts Institute of Technology)","","2023","Through the vast adoption and application of emerging technologies, the intelligence and autonomy of smart mobility can be substantially elevated to address more diversified demands and supplies. Along with this trend, a systematic collaboration among three essential elements of smart mobility services, namely devices, data and functions, is being studied to comprehensively break down the intrinsic barriers that existed in current solutions, to support the integration of connectable devices, the fusion of heterogeneous data, the composability of reusable functions, and the flexibility in their cooperations. To enable such a collaboration, this paper proposes a federated platform, called Future Mobility Sensing Advisor (FMSA), which can 1) manage the three elements through standardized interfaces separately and uniformly; 2) create a fully connected knowledge graph to orchestrate the three elements efficiently and effectively; 3) support the client-server interaction in centralized and federated modes to handle service requests and edge resources with various availability and accessibilities jointly and adaptively; and 4) accommodate various mobility services to foster harmonious and sustainable mobility tenderly and invisibly. Moreover, the efficiency and effectiveness of the platform are also tested through a performance evaluation, and a pilot supported at the Great Boston Area, respectively. As a result, it shows that FMSA can 1) achieve high performance by using the two interaction modes selectively, and 2) renovate smart mobility towards sustainability through personalized services that can measure user preferences and system objectives mutually.","federated computing; federated platform; service orchestration; Smart mobility; systematic collaboration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-23","","","Transport Engineering and Logistics","","",""
"uuid:8cefc53f-4228-4380-a703-a1ca89aa44df","http://resolver.tudelft.nl/uuid:8cefc53f-4228-4380-a703-a1ca89aa44df","Estimates of disease burden caused by foodborne pathogens in contaminated dairy products in Rwanda","Sapp, Amanda C. (University of Florida; Abrams Public Health Center, Tucson); Nane, G.F. (TU Delft Applied Probability); Amaya, Mirna P. (University of Florida); Niyonzima, Eugène (University of Rwanda); Hategekimana, Jean Paul (University of Rwanda); VanSickle, John J. (University of Florida); Gordon, Ronald M. (University of Florida); Havelaar, Arie H. (University of Florida)","","2023","BACKGROUND: The Girinka program in Rwanda has contributed to an increase in milk production, as well as to reduced malnutrition and increased incomes. But dairy products can be hazardous to health, potentially transmitting diseases such as bovine brucellosis, tuberculosis, and cause diarrhea. We analyzed the burden of foodborne disease due to consumption of raw milk and other dairy products in Rwanda to support the development of policy options for the improvement of the quality and safety of milk. METHODS: Disease burden data for five pathogens (Campylobacter spp., nontyphoidal Salmonella enterica, Cryptosporidium spp., Brucella spp., and Mycobacterium bovis) were extracted from the 2010 WHO Foodborne Disease Burden Epidemiology Reference Group (FERG) database and merged with data of the proportion of foodborne disease attributable to consuming dairy products from FERG and a separately published Structured Expert Elicitation study to generate estimates of the uncertainty distributions of the disease burden by Monte Carlo simulation. RESULTS: According to WHO, the foodborne disease burden (all foods) of these five pathogens in Rwanda in 2010 was like or lower than in the Africa E subregion as defined by FERG. There were 57,500 illnesses occurring in Rwanda owing to consumption of dairy products, 55 deaths and 3,870 Disability Adjusted Life Years (DALYs) causing a cost-of-illness of $3.2 million. 44% of the burden (in DALYs) was attributed to drinking raw milk and sizeable proportions were also attributed to traditionally (16-23%) or industrially (6-22%) fermented milk. More recent data are not available, but the burden (in DALYs) of tuberculosis and diarrheal disease by all causes in Rwanda has declined between 2010 and 2019 by 33% and 46%, respectively. CONCLUSION: This is the first study examining the WHO estimates of the burden of foodborne disease on a national level in Rwanda. Transitioning from consuming raw to processed milk (fermented, heat treated or otherwise) may prevent a considerable disease burden and cost-of-illness, but the full benefits will only be achieved if there is a simultaneous improvement of pathogen inactivation during processing, and prevention of recontamination of processed products.","Brucella; Campylobacter; Cryptosporidium; Dairy; Disability-adjusted life years; Disease burden; Incidence; Mortality; Mycobacterium bovis; Risk assessment; Rwanda; Salmonella","en","journal article","","","","","","","","","","","Applied Probability","","",""
"uuid:d1917891-e416-42af-9deb-230fe2f003d7","http://resolver.tudelft.nl/uuid:d1917891-e416-42af-9deb-230fe2f003d7","Understanding Perceptions of Cycling Infrastructure Provision and its Role in Cycling Equity","Jahanshahi, Danial (The University of Auckland); Costello, Seósamh B. (The University of Auckland); Dirks, Kim Natasha (The University of Auckland); Chowdhury, Subeh (The University of Auckland); van Wee, G.P. (TU Delft Transport and Logistics)","","2023","Ensuring equity is considered in all types of decision making, including with respect to cycling provision, is important. Studies have investigated equity in relation to provision of cycling infrastructure and facilities. However, identifying other factors that need consideration in cycling equity is important. This study explored the impact of cycling infrastructure provision on individual perceptions of cycling infrastructure in relation to sociodemographic characteristics in Auckland, New Zealand. The results indicated that bicycle lane availability did not significantly influence perceptions of cycling infrastructure; however, ethnicity and whether a person was a regular cyclist did. Among noncyclists and potential cyclists, ethnicity was the only factor found to significantly influence perceptions of cycling infrastructure. Maori, the indigenous people of New Zealand, and Pacific Islanders rated the provision of cycling infrastructure higher than others for the same level of bicycle infrastructure in their community. Whereas Maori had the highest percentage of potential cyclists among all ethnicities, Pacific Islanders had the highest percentage of noncyclists (64.9%), the lowest percentage of potential cyclists, and one of the lowest percentages of regular cyclists. The study showed that cycling provision perceptions were more affected by factors like ethnicity, education, and bicycle user type than objective measures of bicycle infrastructure. Following the capabilities approach of justice, this study suggests that equitable provision of cycling infrastructure may not lead to an equitable cycling environment. To achieve this, interpersonal and intrapersonal indicators such as ethnicity and community-related factors must also be considered to encourage and empower all population groups to cycle.","bicycles; equity (justice); equity in transportation; perception; transportation and society","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-26","","","Transport and Logistics","","",""
"uuid:34060a21-4df9-4159-bc38-4a8c36527595","http://resolver.tudelft.nl/uuid:34060a21-4df9-4159-bc38-4a8c36527595","An analytical model for the velocity and gas fraction profiles near gas-evolving electrodes","Rajora, A. (TU Delft Energy Technology); Haverkort, J.W. (TU Delft Energy Technology)","","2023","Understanding multiphase flow close to the electrode surface is crucial to the design of electrolyzers, such as alkaline water electrolyzers for the production of green hydrogen. Vertical electrodes develop a narrow gas plume near their surface. We apply the integral method to the mixture model. Considering both exponentially varying and step-function gas fraction profiles, we derive analytical relations for plume thickness, velocity profile, and gas fraction near the electrode as a function of height and current density. We verify these analytical relations with the numerical solutions obtained using two-dimensional mixture model simulations. We find that for low gas fractions, the plume thickness decreases with an increase in current density for an exponentially varying gas fraction profile. In contrast, the plume thickness increases with increasing current density at high gas fractions for an approximately step-function-shaped gas fraction profile, in agreement with experiments from the literature.","Bubbles; Multiphase flow; Natural convection; Scaling analysis; Water electrolysis","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:9320ac11-1d5d-4691-b721-d8e21e2798c9","http://resolver.tudelft.nl/uuid:9320ac11-1d5d-4691-b721-d8e21e2798c9","2023 Spring/Summer ARFTG Microwave Measurement Conference [IMS2023]","Spirito, M. (TU Delft Electronics); Jargon, Jeffrey (National Institute of Standards and Technology); Martens, Jon (Anritsu Corporation, Morgan Hill); Lewis, Dennis (Boeing)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-11","","","Electronics","","",""
"uuid:17e40185-f18e-44b5-bb2b-93fa2f55807f","http://resolver.tudelft.nl/uuid:17e40185-f18e-44b5-bb2b-93fa2f55807f","Comparisons as a discursive tool: shaping megaproject narratives in the United Kingdom","Sergeeva, Natalya (University College London (UCL)); Ninan, Dr Johan (TU Delft Integral Design & Management)","","2023","The mobilization of narratives is essential in integrating people and constructing identities that help in navigating complexity, uncertainty, and conflictuality. This paper explores how comparisons are used as a discursive tool to shape narratives and bring about changes in policy and society, using the High Speed Two megaproject in the UK as a case study. We examine the comparisons that promoters and protesters employ in an organizational setting. In particular, we explore how the narratives that result from these comparisons—on questions including the need for the megaproject, the benefits of the megaproject, alternatives to the megaproject, and issues of noise, sustainability, compensation, and branding—help their efforts to organize. The research highlights how comparisons serve as an important cue in discourse and how different forms of comparison can help to create narratives and shape policy outcomes.","narratives,; comparisons; organizing; megaprojects; policy outcomes","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:adbb9b90-64aa-4c22-abd8-abeb79ff6426","http://resolver.tudelft.nl/uuid:adbb9b90-64aa-4c22-abd8-abeb79ff6426","Risk Quantification and Visualization Method for Loss-of-Control Scenarios in Flight","Wang, Guozhi (Air Force Engineering University); Pei, Binbin (Air Force Engineering University); Xu, Haojun (Air Force Engineering University); Lv, Maolong (Air Force Engineering University); Zhao, Z. (TU Delft Dataintensive Systems); Bu, Xiangwei (Air Force Engineering University)","","2023","This paper proposes a flight risk analysis method that combines risk assessment and visual deduction to study the causes of flight accidents, specifically the loss of control caused by failure factors. The goal is to explore the impact of these failure factors on loss-of-control events and illustrate the risk evolution under different scenarios in a clear and intuitive manner. To achieve this, the paper develops a failure scenario tree to guide flight simulations under different loss-of-control scenarios. The next step involves developing a multi-parameters risk assessment method that can quantify flight risk at each time step of the flight simulation. This assessment method uses entropy weight and a grey correlation algorithm to assign variable weights to the different parameters. Finally, the paper presents the visual deduction of the risk evolution process under different loss-of-control scenarios using a risk tree that concisely represents the time-series risk assessment results and failure logical chains. Taking three common failure factors (actuator failure, engine failure, and wing icing) as cases, the paper designs 25 different loss-of-control scenarios to demonstrate the flight risk analysis method. By comparing the risk evolution process under different loss-of-control scenarios, the paper explores the impact of the failure factors on flight safety. The analysis results indicate that this method combines risk analysis from both individual and global perspectives, enabling effective analysis of risk evolution in loss-of-control events.","loss of control; failure factors; risk quantitative assessment; risk visual deduction; failure scenario tree; risk tree","en","journal article","","","","","","","","","","","Dataintensive Systems","","",""
"uuid:83aab0a9-e16a-45fe-8c13-48f15eed4951","http://resolver.tudelft.nl/uuid:83aab0a9-e16a-45fe-8c13-48f15eed4951","Modifying the Environment or Human Nature?: What is the Right Choice for Space Travel and Mars Colonisation?","Balistreri, Maurizio (University of Turin); Umbrello, S. (TU Delft Ethics & Philosophy of Technology)","","2023","As space travel and intentions to colonise other planets are becoming the norm in public debate and scholarship, we must also confront the technical and survival challenges that emerge from these hostile environments. This paper aims to evaluate the various arguments proposed to meet the challenges of human space travel and extraterrestrial planetary colonisation. In particular, two primary solutions have been present in the literature as the most straightforward solutions to the rigours of extraterrestrial survival and flourishing: (1) geoengineering, where the environment is modified to become hospitable to its inhabitants, and (2) human (bio)enhancement where the genetic heritage of humans is modified to make them more resilient to the difficulties they may encounter as well as to permit them to thrive in non-terrestrial environments. Both positions have strong arguments supporting them but also severe philosophical and practical drawbacks when exposed to different circumstances. This paper aims to show that a principled stance where one position is accepted wholesale necessarily comes at the opportunity cost of the other where the other might be better suited, practically and morally. This paper concludes that case-by-case evaluations of the solutions to space travel and extraterrestrial colonisation are necessary to ensure moral congruency and the survival and flourishing of astronauts now and into the future.
th century. From the static point of view, the original roof structure presented a number of undersized structural elements, and its members were poorly or not connected among each other and to the masonry, making the church vulnerable to seismic loads as well. Thus, the roof was retrofitted with wood-based techniques, including an overlay of plywood panels, against seismic actions. These affordable, rapid, easily realizable interventions enabled both the conservation and seismic retrofitting of the roof, providing an adequate load-carrying capacity for static loads, and an effective diaphragm action against seismic loads. The conducted numerical analyses showed that the realized interventions greatly improve the seismic behaviour of the building. Besides, when the additional energy dissipation provided by the plywood panels overlay is taken into account in the numerical model, the church would even potentially be able to fully withstand the expected seismic action of the site.","Architectural conservation; masonry buildings; plywood panels; seismic retrofitting; timber floors","en","journal article","","","","","","","","","","","Bio-based Structures & Materials","","",""
"uuid:f8f91893-21ff-45e0-9a26-af42fbf5151a","http://resolver.tudelft.nl/uuid:f8f91893-21ff-45e0-9a26-af42fbf5151a","The effects of role transitions and adaptation in human–cobot collaboration","Vianello, L. (Lorraine University); Ivaldi, Serena (Lorraine University); Aubry, Alexis (Lorraine University); Peternel, L. (TU Delft Human-Robot Interaction)","","2023","Collaborative robots (cobots) have the potential to augment the productivity and life quality of human operators in the context of Industry 4.0 by providing them with physical assistance. For this reason, it is necessary to define the relationship between humans and cobots and to study how the two agents adapt to each other. However, to the best of our knowledge, literature is still missing insight into how humans perceive and react to changes in the cobot behavior (e.g. changes in the learned trajectory and in the role the robot assumes). Specifically, a study of how humans adapt to changing roles and control strategies of collaborating robots is missing. To fill this gap, we propose a human study in which 16 participants executed a collaborative human–robot sawing task where the cobot altered between three different control strategies. We examined human adaptation when cobot suddenly changed the control strategy from one to another, resulting in six experimental conditions. The experiments were performed on a setup involving Kuka LBR iiwa robotic arm. The results suggest that transition influences movement performance in the early stages and at steady state, subjects prefer to abandon modes that require more effort and they adapt faster to energy-demanding modes. Finally, for the specific task we studied, subjects tend to prefer collaborative modes to ones in which the robot assumes a fixed role.","Adaptation; Collaborative robots; Human–robot physical interaction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-01","","","Human-Robot Interaction","","",""
"uuid:1f90683c-525f-4539-a449-5b822ca99890","http://resolver.tudelft.nl/uuid:1f90683c-525f-4539-a449-5b822ca99890","3D CFD Study of Scour in Combined Wave–Current Flows around Rectangular Piles with Varying Aspect Ratios","Dutta, Debasish (Indian Institute of Technology Kharagpur); Afzal, Mohammad Saud (Indian Institute of Technology Kharagpur); Alhaddad, S.M.S. (TU Delft Offshore and Dredging Engineering)","","2023","This study utilizes three-dimensional simulations to investigate scour in combined wave–current flows around rectangular piles with various aspect ratios. The simulation model solves the Reynolds-averaged Navier–Stokes (RANS) equations using the k–ω turbulence model, and couples the Exner equation to compute bed elevation changes. The model also employs the level-set approach to realistically capture the free surface, and couples a hydrodynamic module with a morphological module to simulate the scour process. The morphological module employs a modified critical bed shear stress formula on a sloping bed and a sand-slide algorithm for erosion and deposition calculations in the sediment bed. To validate the numerical model, simulations are conducted in a truncated numerical wave tank with the Dirichlet boundary condition and active wave absorption method. After validation, the numerical model is used to investigate the effect of aspect ratio and the Keulegan–Carpenter (KC) number on scour depth in a combined wave–current environment. The study finds that the normalized scour depth is highest for a rectangular pile with an aspect ratio of 2:1 and lowest for an aspect ratio of 1:2. The maximum normalized scour depth (S/D) for aspect ratios of 2:1 are 0.151, 0.218, and 0.323 for KC numbers 3.9, 5.75, and 10, respectively, whereas the minimum normalized scour depth (S/D) for aspect ratios of 1:2 are 0.132, 0.172, and 0.279. Additionally, the research demonstrates that the normalized scour depth increases with an increase in the KC number for a fixed wave–current parameter (Ucw).","CFD; REEF3D; local scour; wave–current flow; rectangular cylinder","en","journal article","","","","","","","","","","","Offshore and Dredging Engineering","","",""
"uuid:76b72af9-e641-47f8-b6bd-fe36c5bf3f40","http://resolver.tudelft.nl/uuid:76b72af9-e641-47f8-b6bd-fe36c5bf3f40","Pelvis perturbations in various directions while standing in staggered stance elicit concurrent responses in both the sagittal and frontal plane","van Mierlo, Michelle (University of Twente); Ormiston, Jean A. (University of Twente; Sint Maartenskliniek, Nijmegen); Vlutters, Mark (University of Twente); Van Asseldonk, Edwin H.F. (University of Twente); van der Kooij, H. (TU Delft Support Biomechanical Engineering; University of Twente)","","2023","Increasing knowledge on human balance recovery strategies is important for the development of balance assistance strategies using assistive devices like a powered lower-limb exoskeleton. One of the postures which is relevant for this scenario, but underexposed in research, is staggered stance, a posture with one foot in front. We therefore aimed to gain a better understanding of balance recovery in staggered stance. We studied balance responses at joint- and muscle levels to pelvis perturbations in various directions while standing in this posture. Ten healthy individuals participated in this study. We used one actuator beside and one behind the participant to apply 150 ms perturbations in mediolateral (ML), anteroposterior (AP) and diagonal directions, with a magnitude of 3, 6, 9 and 12% of the participant’s body weight (BW). Meanwhile, motion capture, ground reaction forces and moments, and electromyography of the muscles around the ankles and hips were recorded. The perturbations caused movements of the centre of mass (CoM) and centre of pressure (CoP) in the direction of the perturbation. These were often accompanied by motions in a direction different from the perturbation direction. After perturbations perpendicular to the line between both feet, large and significant AP deviations were present of the CoM (-0.27 till 0.40 cm/%BW, p < 0.029) and CoP (-0.99 till 0.80 cm/%BW, p < 0.001). Also, stronger responses on joint and muscle level were present after these perturbations, compared to AP and diagonal perturbations collinear with the line between both feet. The hip, knee and ankle joints contributed differently to the balance responses after the different perturbation directions. To conclude, standing in a staggered stance posture makes individuals more vulnerable to perturbations perpendicular to the line between both feet, requiring larger responses on joint level as well as contributions in the sagittal plane","","en","journal article","","","","","","","","","","","Support Biomechanical Engineering","","",""
"uuid:509a3e72-35be-4f97-b48d-763cbff34823","http://resolver.tudelft.nl/uuid:509a3e72-35be-4f97-b48d-763cbff34823","Additive Manufacturing of Linear Continuous Permittivity Profiles and their Application to Cylindrical Dielectric Resonator Antennas","Hehenberger, S.P. (TU Delft Microwave Sensing, Signals & Systems; German Aerospace Center); Caizzone, Stefano (German Aerospace Center); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","The utilization of additive manufacturing (AM) to engineer the permittivity profile of dielectric resonator antennas (DRAs) is considered. For the first time, the capabilities of AM are exploited to create continuously swept permittivity profiles and applied to cylindrical DRAs. The spatial variant lattices (SVL) synthesis algorithm is implemented to create the desired permittivity profiles from a single material, and resulting geometries are manufactured using a high-permittivity material in a fused deposition modeling AM process. Three individual antennas for global navigation satellite system bands are designed and manufactured, two inhomogeneous DRAs with continuous permittivity profiles along the radial and vertical axis, and one homogeneous DRA for comparison. The manufactured antennas are characterized by impedance, realized gain, and axial ratio. Experimental results agree well with simulations and show increased impedance-, gain-, and axial-ratio bandwidths for both inhomogeneous antennas compared to the homogeneous one.","3d printing; additive manufacturing; dielectric crystals; dielectric resonator antenna; inhomogeneous permittivity; spatially varried lattices","en","journal article","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:b132a949-d801-442a-b4aa-09874d87c56f","http://resolver.tudelft.nl/uuid:b132a949-d801-442a-b4aa-09874d87c56f","The Advances in Conversion Techniques in Triboelectric Energy Harvesting: A Review","Peng, W. (TU Delft Electronic Components, Technology and Materials); Du, S. (TU Delft Electronic Instrumentation)","","2023","A triboelectric nanogenerator (TENG) is a new transducer utilizing contact electrification and electrostatic induction to transform mechanical energy into electric energy. Due to its high energy density and flexibility, it can be employed to make electronic devices self-powered by harvesting ambient mechanical energy in many application scenarios, such as biomedical devices, wearable electronics, and Internet-of-Things (IoT) sensors. However, due to the time-varying and low internal capacitance of a TENG, it is challenging to extract electrical energy from it. Hence, good power conversion techniques are crucial in TENG energy harvesting systems. Currently, studies on dedicated integrated power conversion techniques are very limited. Due to the exponentially increasing research interests in TENG, a comprehensive study on the TENG energy harvesting system, emphasizing integrated-circuit (IC) power conversion techniques, is urgently needed. This paper summarizes and compares the state-of-the-art triboelectric energy harvesting systems, focusing on different power conversion techniques for output power enhancement. Some techniques, which have been widely used in other relevant energy harvesting systems, are also mentioned to inspire innovative design strategies for TENG systems.","Power management; triboelectric; transducer; rectifier; switched-capacitor converter; synchronous switching; MPPT","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-29","","","Electronic Components, Technology and Materials","","",""
"uuid:a5f7c70c-3a90-48f4-a1a7-e01d2ba9b482","http://resolver.tudelft.nl/uuid:a5f7c70c-3a90-48f4-a1a7-e01d2ba9b482","Barriers towards Open Government Data Value Co-Creation: An empirical investigation","Wiedenhöft, Guilherme Costa (ICEAC-FURG, Rio Grande); Matheus, R. (TU Delft Information and Communication Technology); Saxena, Stuti (Graphic Era University, Dehradun); Alexopoulos, Charalampos (University of the Aegean)","","2023","Whilst extant literature on Open Government Data (OGD) focuses upon value creation and innovation, there is scant emphasis upon the Value Co-Creation (VCC) that might result with the engagement of the public sector agencies and the users at large. The present study seeks to appreciate the barriers towards OGD VCC by adopting a qualitative research methodology wherein interviews are being conducted with key personnel manning the OGD initiatives in Brazil. Impediments veering around VCC may be counted the internal, social and cultural and data factors. Findings from the present study lend credence to the fact that a systematic strategizing is important for the success of OGD VCC lest Value Co-Destruction (VCD) happen. From a developing country's perspective, the present study acts as a sounding-board for bearing in mind the caveats deduced vis-a-via the success of the VCC processes.","Brazil; Open Government Data (OGD); Open Government Data failure; Value Co-Creation (VCC); Value Co-Destruction (VCD)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-20","","","Information and Communication Technology","","",""
"uuid:15a99b29-6338-4cde-a671-f22118349fdc","http://resolver.tudelft.nl/uuid:15a99b29-6338-4cde-a671-f22118349fdc","Model Predictive Path Planning of AGVs: Mixed Logical Dynamical Formulation and Distributed Coordination","Xin, Jianbin (Zhengzhou University); Wu, Xuwen (Zhengzhou University); D'Ariano, Andrea (University of Roma Tre); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Zhang, Fangfang (Zhengzhou University)","","2023","Most of the existing path planning methods of automated guided vehicles (AGVs) are static. This paper proposes a new methodology for the path planning of a fleet of AGVs to improve the flexibility, robustness, and scalability of the AGV system. We mathematically describe the transport process as a dynamical system using an ad hoc mixed logical dynamical (MLD) model. Based on our MLD model, model predictive control is proposed to determine the collision paths dynamically, and the corresponding optimization problem is formulated as 0-1 integer linear programming. An alternating direction method of multipliers (ADMM)-based decomposition technique is then developed to coordinate the AGVs and reduce the computational burden, aiming for real-time decisions. The proposed methodology is tested on industrial scenarios, and results from numerical experiments show that the proposed method can obtain high transport productivity of the multi-AGV system at a low computational burden and deal with uncertainties resulting from the industrial environment.","Automated guided vehicles; Mathematical models; mixed logical dynamical model; model predictive control; Path planning; path planning; Planning; Predictive models; Robot kinematics; Robots; Task analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-22","","","Transport Engineering and Logistics","","",""
"uuid:225489f0-eb0b-4c59-9f97-b3ce63aac365","http://resolver.tudelft.nl/uuid:225489f0-eb0b-4c59-9f97-b3ce63aac365","Lessons from natural flight for aviation: then, now and tomorrow","Harvey, Christina (University of California); de Croon, G.C.H.E. (TU Delft Control & Simulation); Taylor, Graham K. (University of Oxford); Bomphrey, Richard J. (Royal Veterinary College University of London)","","2023","Powered flight was once a capability limited only to animals, but by identifying useful attributes of animal flight and building on these with technological advances, engineers have pushed the frontiers of flight beyond our predecessors’ wildest imaginations. Yet, there remain many key characteristics of biological flight that elude current aircraft design, motivating a careful re-analysis of what we have learned from animals already, and how this has been revealed experimentally, as well as a specific focus on identifying what remains unknown. Here, we review the literature to identify key contributions that began in biology and have since been translated into aeronautical devices or capabilities. We identify central areas for future research and highlight the importance of maintaining an open line of two-way communication between biologists and engineers. Such interdisciplinary, bio-informed analyses continue to push forward the frontiers of aeronautics and experimental biology alike.","Aerodynamics; Biomechanics; Birds; Control; Bio-inspired; Insect","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:d6cff2b1-21cb-4f22-9d9d-9aa3fc9c4652","http://resolver.tudelft.nl/uuid:d6cff2b1-21cb-4f22-9d9d-9aa3fc9c4652","Sequential ensemble Monte Carlo sampler for on-line Bayesian inference of time-varying parameter In engineering applications","Lye, Adolphus (University of Liverpool); Marino, Luca (University of Oxford); Cicirello, A. (TU Delft Mechanics and Physics of Structures; University of Oxford; University of Liverpool); Patelli, Edoardo (University of Strathclyde)","","2023","Several on-line identification approaches have been proposed to identify parameters and evolution models of engineering systems and structures when sequential datasets are available via Bayesian inference. In this work, a robust and “tune-free” sampler is proposed to extend one of the sequential Monte Carlo implementations for the identification of time-varying parameters which can be assumed constant within each set of data collected but might vary across different sequences of datasets. The proposed approach involves the implementation of the affine-invariant Ensemble sampler in place of the Metropolis–Hastings sampler to update the samples. An adaptive-tuning algorithm is also proposed to automatically tune the step-size of the affine-invariant ensemble sampler which, in turn, controls the acceptance rate of the samples across iterations. Furthermore, a numerical investigation behind the existence of inherent lower and upper bounds on the acceptance rate, making the algorithm robust by design, is also conducted. The proposed method allows for the off-line and on-line identification of the most probable models under uncertainty. The proposed sampling strategy is first verified against the existing sequential Monte Carlo sampler in a numerical example. Then, it is validated by identifying the time-varying parameters and the most probable model of a nonlinear dynamical system using experimental data.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-24","","","Mechanics and Physics of Structures","","",""
"uuid:fa0f46e5-4317-4495-88f4-14b3a45d06b3","http://resolver.tudelft.nl/uuid:fa0f46e5-4317-4495-88f4-14b3a45d06b3","Effectiveness of personalized ventilation in reducing airborne infection risk for long-term care facilities","de Haas, Marloes M.A. (Eindhoven University of Technology); Loomans, Marcel G.L.C. (Eindhoven University of Technology); te Kulve, Marije (BBA Binnenmilieu); Boerstra, A.C. (TU Delft Environmental & Climate Design; BBA Binnenmilieu); Kort, Helianthe S.M. (Eindhoven University of Technology)","","2023","Throughout history, the human population has experienced major outbreaks of infectious diseases. In December 2019 the previously unknown SARS-CoV-2 virus emerged, which had a huge impact globally. Residents of long- term care facilities (LTCFs) showed to be highly susceptible to infection due to their frailty. Respiratory infectious diseases, such as COVID-19, can spread among others via the airborne transmission route. This is caused by sharing the same indoor environment. To reduce the risk of infection via the airborne route, it is important to consider ventilation and other building services system measures, including personalized ventilation (PV). PV has the potential of being a suitable solution for LTCFs, as it could still allow interaction between residents and visitors in the common rooms, which is regarded very important from a mental health perspective. To identify the potential of PV in the context of infection risk, a laboratory experiment was conducted to investigate its effectiveness on the infection risk reduction. The research was performed in a controlled climate chamber. In the experiment a person was mimicked and positioned close to a PV system that provided filtered recirculated air. A particle source maintained a constant particle concentration in the room. The performance of the PV system was measured through the particle concentration near the breathing zone as compared to the room concentration. Several design parameters were investigated. Translating the outcomes to a fictive (equivalent) ventilation rate, the Wells-Riley equation was applied to determine the infection risk. The outcomes indicated that, in this laboratory setting, the PV system can reduce the risk of an infection up to 50%. The performance is affected by the distance of the supply head to the breathing zone, the angle of the supply head, airflows in the room and the location of the particle source. To further optimize the system and allow its application in LTCFs, several aspects still need further attention, such as mobility/placing the person, the breathing pattern of the user and factors influencing the comfort and use.","experimental study; infection prevention; Ventilation; Wells-Riley","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:8ad5b1ef-be19-41db-986f-c78b31327986","http://resolver.tudelft.nl/uuid:8ad5b1ef-be19-41db-986f-c78b31327986","Phenophase-based comparison of field observations to satellite-based actual evaporation estimates of a natural woodland: miombo woodland, southern Africa","Zimba, H.M. (TU Delft Water Resources; Ministry of Agriculture and Livestock, Zambia); Coenders-Gerrits, Miriam (TU Delft Water Resources); Kawawa, Banda (University of Zambia); Schilperoort, B. (TU Delft Atmospheric Remote Sensing); van de Giesen, N.C. (TU Delft Water Resources); Nyambe, Imasiku (University of Zambia); Savenije, Hubert (TU Delft Water Resources)","","2023","The trend and magnitude of actual evaporation across the phenophases of miombo woodlands are unknown. This is because estimating evaporation in African woodland ecosystems continues to be a challenge, as flux observation towers are scant if not completely lacking in most ecosystems. Furthermore, significant phenophase-based discrepancies in both trend and magnitude exist among the satellitebased evaporation estimates (i.e. Global Land Evaporation Amsterdam Model (GLEAM), moderate resolution imaging spectroradiometer (MODIS), operational simplified surface energy balance (SSEBop), and water productivity through open-access remotely sensed derived data (WaPOR)), making it difficult to ascertain which of the estimates are close to field conditions. Despite the many limitations with estimation of evaporation in woodlands, the development and application of the distributed temperature system (DTS) is providing deepened insights and improved accuracy in woodland energy partitioning for evaporation assessment. In this study, the Bowen ratio distributed temperature sensing (BRDTS) approach is used to partition available energy and estimate actual evaporation across three canopy phenophases of the miombo woodland, covering the entire 2021 dry season (May–October) and early rain season (November– December) at a representative site in Mpika in Zambia, southern Africa. To complement the field experiment, four satellite-based evaporation estimates are compared to the field observations. Our results show that actual evaporation of the miombo woodland appears to follow the trend of the net radiation, with the lowest values observed during the phenophase with the lowest net radiation in the cool dry season and the highest values during the phenophase with peak net radiation in the early rainy season. It appears the continued transpiration during the driest period in the dormant phenophase (with lowest canopy cover and photosynthetic activities) may be influenced by the species-dependent adapted physiological attributes such as access to moisture in deep soils (i.e. due to deep rooting), plant water storage, and the simultaneous leaf fall and leaf flush among miombo plants. Of the four satellite-based evaporation estimates, only the WaPOR has a similar trend to the field observations across the three phenophases. However, all four satellitebased estimates underestimate the actual evaporation during the dormant and green-up phenophases. Large coefficients of variation in actual evaporation estimates among the satellite-based estimates exist in the dormant and green-up phenophases and are indicative of the difficulty in estimating actual evaporation in these phenophases. The differences between field observations and satellite-based evaporation estimates can be attributed to the model structure, processes, and inputs.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:720c7697-54c6-4519-a567-e755837d118a","http://resolver.tudelft.nl/uuid:720c7697-54c6-4519-a567-e755837d118a","“I did not see that coming”: A latent variable structural equation model for understanding the effect of road predictability on crashes along horizontal curves","Afghari, A.P. (TU Delft Safety and Security Science); Vos, J. (TU Delft Transport and Planning); Farah, H. (TU Delft Transport and Planning); Papadimitriou, E. (TU Delft Safety and Security Science)","","2023","Driver anticipation plays a crucial role in crashes along horizontal curves. Anticipation is related to road predictability and can be influenced by roadway geometric design. Therefore, it is essential to understand which geometric design elements can influence anticipation and cause the road to be (un)predictable. This exercise, however, is not straightforward because anticipation is individual-specific whereas road geometric design is location-specific; anticipation is latent and measuring it may not be trivial; anticipation may have several stages from the preceding tangent until the midst of the curve; and not all drivers anticipate in the same way and thus there may well be unobserved heterogeneity in the effect of anticipation on crash risk. Despite methodological advancements in crash risk modelling, there is no econometric model that can adequately explain the above complexities. This study aims to fill this gap by developing an econometric model with a new latent variable, named ‘predictability’ that is measured by individual-specific driving behaviour indicators and predicted by location-specific road geometric factors. The model is specified with random parameters to account for unobserved heterogeneity and is empirically tested by a unique dataset including detailed geometric design and driver behaviour data obtained for 156 curves in the Netherlands. Results indicate that higher exposure and uphill vertical grade are associated with increased likelihood of vehicle crashes along horizontal curves, whereas adequate superelevation and higher predictability are associated with decreased likelihood of those crashes. Pavement friction influences this likelihood too but it has varied effects. Road predictability is influenced by the differences in angle of horizontal curves, vertical grades, and width of consecutive road segments.","Anticipation; Crash risk; Horizontal curve; Predictability; Structural equation modelling","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:0cd8d0c0-49e8-4163-bd95-0773075eb2ac","http://resolver.tudelft.nl/uuid:0cd8d0c0-49e8-4163-bd95-0773075eb2ac","Snel, sneller, toch niet zo snel?: Versnelling van beroep in het wetsvoorstel Versterking regie volkshuisvesting","Boeve, M.N. (TU Delft Urban Development Management); de Jong, Klaske (Universiteit van Amsterdam)","","2023","Het concept wetsvoorstel Versterking regie volkshuisvesting bevat een aantal voorstellen om de rechtsbeschermingsprocedures bij ruimtelijke projecten te versnellen. In dit artikel wordt ingegaan op de waarde van die voorstellen in het licht van reeds bestaande versnellingsmogelijkheden en toekomstige mogelijkheden onder de Omgevingswet. Daarbij worden diverse rapporten besproken en zijn interviews met juristen uit de praktijk gehouden.","","nl","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-01","","","Urban Development Management","","",""
"uuid:4ff202a0-e39e-4a5b-8b0d-3979eb19dc61","http://resolver.tudelft.nl/uuid:4ff202a0-e39e-4a5b-8b0d-3979eb19dc61","baseLess: lightweight detection of sequences in raw MinION data","Noordijk, Ben (Wageningen University & Research); Nijland, Reindert (Wageningen University & Research); Carrion, Victor J. (Universiteit Leiden; Netherlands Institute of Ecology; Universidad de Málaga); Raaijmakers, Jos M. (Universiteit Leiden; Netherlands Institute of Ecology); De Ridder, Dick (Wageningen University & Research); de Lannoy, C.V. (TU Delft BN/Chirlmin Joo Lab; Wageningen University & Research)","","2023","With its candybar form factor and low initial investment cost, the MinION brought affordable portable nucleic acid analysis within reach. However, translating the electrical signal it outputs into a sequence of bases still requires mid-tier computer hardware, which remains a caveat when aiming for deployment of many devices at once or usage in remote areas. For applications focusing on detection of a target sequence, such as infectious disease monitoring or species identification, the computational cost of analysis may be reduced by directly detecting the target sequence in the electrical signal instead. Here, we present baseLess, a computational tool that enables such target-detection-only analysis. BaseLess makes use of an array of small neural networks, each of which efficiently detects a fixed-size subsequence of the target sequence directly from the electrical signal. We show that baseLess can accurately determine the identity of reads between three closely related fish species and can classify sequences in mixtures of 20 bacterial species, on an inexpensive single-board computer.","","en","journal article","","","","","","","","","","","BN/Chirlmin Joo Lab","","",""
"uuid:b49ee384-d138-41c7-87ab-8d71cc8d19f7","http://resolver.tudelft.nl/uuid:b49ee384-d138-41c7-87ab-8d71cc8d19f7","A Wavelet-Based Approach to FRF Identification From Incomplete Data","Dirkx, Nic (ASML; Eindhoven University of Technology); Tiels, Koen (Eindhoven University of Technology); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2023","Frequency response function (FRF) estimation from measured data is an essential step in the design, control, and analysis of complex dynamical systems, including thermal and motion systems. Especially for systems that require long measurement time, missing samples in the data record, e.g., due to measurement interruptions, often occur. The aim of this article is to achieve accurate identification of nonparametric FRF models of periodically excited systems from noisy output measurements with missing samples. An identification framework is established that exploits a wavelet-based transform to separate the effect of the missing samples in the time domain from the system characteristics in tre frequency domain. The framework encompasses both a time-invariant and a time-varying wavelet-based estimator, which provides different mechanisms to address the missing samples. Experimental results from a thermodynamical system confirm that the estimators enable accurate identification.","Frequency response; linear systems; missing data; system identification; wavelet transforms","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-22","","","Team Jan-Willem van Wingerden","","",""
"uuid:86f4e264-eff8-4b65-9594-0634ca56c837","http://resolver.tudelft.nl/uuid:86f4e264-eff8-4b65-9594-0634ca56c837","FeelPen: A Haptic Stylus Displaying Multimodal Texture Feels on Touchscreens","Kodak, B.L. (TU Delft Human-Robot Interaction); Vardar, Y. (TU Delft Human-Robot Interaction)","","2023","The ever-emerging mobile market induced a blooming interest in stylus-based interactions. Most state-of-the-art styluses either provide no haptic feedback or only deliver one type of sensation, such as vibration or skin stretch. Improving these devices with display abilities of a palette of tactile feels can pave the way for rendering realistic surface sensations, resulting in more natural virtual experiences. However, integrating necessary actuators and sensors while keeping the compact form factor of a stylus for comfortable user interactions challenges their design. This situation also limits the scientific knowledge of relevant parameters for rendering compelling artificial textures for stylus-based interactions. To address these challenges, we developed FeelPen, a haptic stylus that can display multimodal texture properties (compliance, roughness, friction, and temperature) on touchscreens. We validated the texture rendering capability of our design by conducting system identification and psychophysical experiments. The experimental results confirmed that FeelPen could render a variety of modalities with wide parameter ranges necessary to create perceptually salient texture feels, making it a one-of-a-kind stylus. Our unique design and experimental results pave the way for new perspectives with stylus-based interactions on future touchscreens.","Electrovibration; haptic interface; haptics; perceptual dimensions; tactile perception; texture rendering","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-19","","","Human-Robot Interaction","","",""
"uuid:510b71ef-ef76-4b7c-8814-7cf59f154734","http://resolver.tudelft.nl/uuid:510b71ef-ef76-4b7c-8814-7cf59f154734","Effect of strain hardening on the rotation capacity of welded I-section high-strength steel beams","Wong, W.J. (TU Delft Ship and Offshore Structures); Walters, C.L. (TU Delft Ship and Offshore Structures)","","2023","High-strength steel beams are known to have less plastic rotation capacity than beams with lower yield strengths. This has been related to the decreased strain-hardening ability of high-strength steels, and various rules and standards for steel structures stipulate maximum limits on the allowable yield-to-tensile strength ratio ((Formula presented.)), which indirectly acts as a measure of strain hardening. While the literature suggests that there is an interdependence between strain hardening ability, yield strength, cross-sectional slenderness and rotation capacity, the presently prescribed limits on (Formula presented.) (e.g. 0.91, 0.94, 0.95) are typically constant for a given material regardless of the other parameters mentioned. This computational study hence investigates how the rotation capacity is simultaneously dependent on yield strength, strain hardening ability and cross-sectional slenderness, and how each parameter affects the relationship between the others. The results show that, with the geometrical aspect kept constant through the use of normalised slenderness parameters, a higher yield strength leads to higher rotation capacity for a given (Formula presented.), while the well-known decrease of rotation capacity with higher (Formula presented.) is confirmed. This suggests the possibility of more efficient use of high-strength steels with high (Formula presented.) when the interdependence of all the variables are accounted for. The results also suggest the importance of accounting for the relative slendernesses of the web and the flange and whether the buckling behaviour is web- or flange-dominated, since a switch between a web- and flange- dominated buckling response could lead to a reverse in the trend between the rotation capacity and the overall cross-sectional slenderness.","ductility; high-strength steels; plastic buckling; plastic hinge; rotation capacity; Yield-to-tensile-strength ratio","en","journal article","","","","","","","","","","","Ship and Offshore Structures","","",""
"uuid:4140665f-7b97-4d7f-86f7-3b46b0a767d7","http://resolver.tudelft.nl/uuid:4140665f-7b97-4d7f-86f7-3b46b0a767d7","Imitrob: Imitation Learning Dataset for Training and Evaluating 6D Object Pose Estimators","Sedlar, Jiri (Czech Technical University); Stepanova, Karla (Czech Technical University); Skoviera, Radoslav (Czech Technical University); Behrens, Jan K. (Czech Technical University); Tuna, Matus (Comenius University); Sejnova, Gabriela (Czech Technical University); Sivic, Josef (Czech Technical University); Babuska, R. (TU Delft Learning & Autonomous Control; Czech Technical University)","","2023","This letter introduces a dataset for training and evaluating methods for 6D pose estimation of hand-held tools in task demonstrations captured by a standard RGB camera. Despite the significant progress of 6D pose estimation methods, their performance is usually limited for heavily occluded objects, which is a common case in imitation learning, where the object is typically partially occluded by the manipulating hand. Currently, there is a lack of datasets that would enable the development of robust 6D pose estimation methods for these conditions. To overcome this problem, we collect a new dataset (Imitrob) aimed at 6D pose estimation in imitation learning and other applications where a human holds a tool and performs a task. The dataset contains image sequences of nine different tools and twelve manipulation tasks with two camera viewpoints, four human subjects, and left/right hand. Each image is accompanied by an accurate ground truth measurement of the 6D object pose obtained by the HTC Vive motion tracking device. The use of the dataset is demonstrated by training and evaluating a recent 6D object pose estimation method (DOPE) in various setups.","6D object pose estimation; computer vision for automation; Learning from demonstration; perception for grasping and manipulation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-20","","","Learning & Autonomous Control","","",""
"uuid:1baf58ae-0693-418d-9626-758df0c62873","http://resolver.tudelft.nl/uuid:1baf58ae-0693-418d-9626-758df0c62873","Understanding Chloride Diffusion Coefficient in Cementitious Materials","Xu, Z. (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment)","","2023","One of the key problems that affect the durability of reinforced concrete structures is the corrosion of rebar induced by chloride. Despite the complicated transport mechanism of chloride ions in cementitious materials, diffusion is still the key mechanism of chloride ingress. The determination of the chloride diffusion coefficient will help to predict the chloride profile inside the cementitious materials and estimate the service life with regard to chloride-induced corrosion. However, this paper shows that the chloride diffusion coefficient in the literature is sometimes misunderstood. Such a misunderstanding results in the overestimation of the chloride resistance of cementitious materials. To clarify the chloride diffusion coefficient, this paper first presents the steady- and non-steady-state diffusion equations in cementitious materials. The factors that influence the diffusive flux are identified. The effective and apparent diffusion coefficients are then clearly explained and properly defined. We also point out the obscure definitions of the effective diffusion coefficient in the literature. The varied definitions of the effective diffusion coefficient are the result of the consideration of different factors affecting the diffusion process. Subsequently, this paper discusses two natural diffusion test methods that are frequently employed in cementitious materials to measure the chloride diffusion coefficient. The influencing factors considered by the measured diffusion coefficients are analyzed in detail. Then, the diffusion coefficients determined in some of the studies are reviewed. It is shown that three typical errors could occur when numerically determining the diffusion coefficients.","cementitious materials; diffusion coefficient; chloride transport; natural diffusion test","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:be56d686-f8be-4335-8255-63454400bbb1","http://resolver.tudelft.nl/uuid:be56d686-f8be-4335-8255-63454400bbb1","'No net land take' of net niks?: Redactioneel","Boeve, M.N. (TU Delft Urban Development Management)","","2023","In dit redactioneel wordt ingegaan op de Europese doelstelling voor het terugdringen van het nettoruimtebeslag tot nul in 2050. Auteur geeft daar een kritische beschouwing van en geeft een vingerwijzing voor de focus in Nederland.","","nl","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:30605d15-1065-4d94-932e-6a2a4162e5e4","http://resolver.tudelft.nl/uuid:30605d15-1065-4d94-932e-6a2a4162e5e4","De natuurkunde van Spiderman","Pols, C.F.J. (TU Delft ImPhys/Docenten)","","2023","In filmscenes gebeuren regelmatig dingen waarvan niet meteen duidelijk is of het in het echt ook kan. Op de WND-conferentie van 2014 liet ik een samengestelde korte
film zien waarbij steeds de vraag was: komt wat we zien in de filmscene overeen met de gebeurtenis zoals deze in het echt zou plaatsvinden? De vraag ‘is dit echt of worden we genept?’ is een leuke en uitdagende vraag voor leerlingen waarbij ze natuurkunde moeten gebruiken om de vraag te beantwoorden. De Spidermanfilms bieden tal van scenes waarin deze vraag gesteld kan worden.","","nl","journal article","","","","","","","","","","","ImPhys/Docenten","","",""
"uuid:cfcf8ebd-8db4-4b5e-a174-6c0c16b21dfd","http://resolver.tudelft.nl/uuid:cfcf8ebd-8db4-4b5e-a174-6c0c16b21dfd","An Incremental Inverse Reinforcement Learning Approach for Motion Planning with Separated Path and Velocity Preferences","Avaei, S. (Student TU Delft); van der Spaa, L.F. (TU Delft Biomechatronics & Human-Machine Control; Honda Research Institute Europe); Peternel, L. (TU Delft Human-Robot Interaction); Kober, J. (TU Delft Learning & Autonomous Control)","","2023","Humans often demonstrate diverse behaviors due to their personal preferences, for instance, related to their individual execution style or personal margin for safety. In this paper, we consider the problem of integrating both path and velocity preferences into trajectory planning for robotic manipulators. We first learn reward functions that represent the user path and velocity preferences from kinesthetic demonstration. We then optimize the trajectory in two steps, first the path and then the velocity, to produce trajectories that adhere to both task requirements and user preferences. We design a set of parameterized features that capture the fundamental preferences in a pick-and-place type of object transportation task, both in the shape and timing of the motion. We demonstrate that our method is capable of generalizing such preferences to new scenarios. We implement our algorithm on a Franka Emika 7-DoF robot arm and validate the functionality and flexibility of our approach in a user study. The results show that non-expert users are able to teach the robot their preferences with just a few iterations of feedback.","learning from demonstration; human preferences; incremental inverse reinforcement learning; coactive learning; physical human–robot interaction","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:3f6434dc-ea94-47cb-aa6e-1b3bb6239ce4","http://resolver.tudelft.nl/uuid:3f6434dc-ea94-47cb-aa6e-1b3bb6239ce4","Facades-as-a-Service: Systemic managerial, financial, and governance innovation to enable a circular economy for buildings. Lessons learnt from a full-scale pilot project in the Netherlands","Azcarate Aguerre, J.F. (TU Delft Architectural Technology); den Heijer, A.C. (TU Delft Real Estate Management); Arkesteijn, M.H. (TU Delft Real Estate Management); Vergara d'Alençon, L.M. (TU Delft Housing Institutions & Governance); Klein, T. (TU Delft Architectural Technology)","","2023","Introduction: The challenge of the energy transition in the built environment has, in recent years, been exacerbated by rising awareness of the material resource limitations we face on the path towards sustainable development. In this context the concepts of Circular Economy (CE) and Product-Service Systems (PSS) have emerged as potentially complementary industrial and business strategies to overcome the interdependent material resource and clean energy challenges.
Research significance: Research in the field of circular and PSS-based construction frequently centres on the design and engineering of products, mainly through technical strategies such as design for disassembly and adaptability, and the use of the different “R’s” (Reuse, Repair, Remanufacturing, etc.) to extend and/or reset the service lives of building materials and components. Such an approach often ignores the fact that these strategies require changes in the management, financing, and governance aspects of products and therefore buildings, throughout their entire service-lives. This paper will focus on the systemic administrative (i.e. management, financing, and governance) challenges of the circular and servitisation transitions in the building and construction sector, to enable products which are “Circular by Design”, to effectively support regenerative processes.
Research question: The paper asks how traditional building products’ management, financing, and governance processes prevent or delay the implementation of CE and PSS models. It explores the demand side’s perspective (commissioners, building owners and facility managers), taking a systemic view to the search for new practical, strategic, and scalable administrative models.
Methodology: The research method applies the DAS model (De Jonge et al., 2009; Van der Zwart et al., 2009; den Heijer, 2011; den Heijer et al., 2016) to data gathered from focus group discussion and co-design sessions involving multidisciplinary teams of experts from both academy and industry, as well as literature. The research was conducted within the context of the TU Delft Facades-as-a-Service full-scale pilot project.
Results: The research has shown that, while PSS models to enable material circularity can be partially implemented within the current managerial, financial, and governance framework, this implementation is not efficient, effective, or scalable. This is because standard modes of operation in these disciplines are misaligned with that goal. The practical barriers resulting from this misalignment increase the complexity, risk perception, and therefore cost of PSS alternatives, and thus prevent their organic adoption despite increasing market interest. Recommendations are made for policymakers, financiers, suppliers, and building owners to overcome these barriers.","product-service systems; circular economy; energy retrofit; building envelope; performance contracting; systemic innovation","en","journal article","","","","","","","","","","","Architectural Technology","","",""
"uuid:989cc593-ce94-44c2-aac6-14bf6bdc743e","http://resolver.tudelft.nl/uuid:989cc593-ce94-44c2-aac6-14bf6bdc743e","A resilience assessment framework for complex engineered systems using graphical evaluation and review technique (GERT)","Geng, S. (TU Delft Safety and Security Science; Nanjing University of Aeronautics and Astronautics); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia); Mitici, Mihaela (Universiteit Utrecht); Liu, Sifeng (Nanjing University of Aeronautics and Astronautics)","","2023","System resilience characterizes the capability of maintaining the required functionality under disruptions, which is of great significance in evaluating the productivity and safety of complex engineered systems. Although most studies conduct resilience assessment from qualitative and quantitative perspectives, system functionality that reflects functional requirements for complex engineered systems needs to be elaborated. In addition, given that complex engineered systems achieve dynamic performance during disruptions, measuring the actual performance under uncertainty is imperative. To this end, this paper develops a quantitative framework to assess the resilience of complex engineered systems. The developed framework comprises three phases, functionality analysis, performance evaluation, and resilience assessment. Firstly, system functionality is analyzed using a functional tree illustrating the relationship between functions. The overall objective, primary functions, and sub-functions are identified according to task requirements. Secondly, system performance is quantified considering uncertain factors through Graphical Evaluation and Review Technique (GERT). Probabilistic branches and network logic are employed to represent the implementation of various functions. Finally, resilience assessment is carried out from the perspectives of anticipation, absorption, adaptation, and restoration abilities. A case study on the satellite network shows the effectiveness of the developed framework. The developed framework determines system functionality based on task requirements, evaluates system performance with limited information, and accurately assesses system resilience.","Complex engineered systems; Functionality analysis; Performance evaluation; Resilience assessment; Risk management","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:642b6252-8d07-4a8a-b6c0-1da9b9a28650","http://resolver.tudelft.nl/uuid:642b6252-8d07-4a8a-b6c0-1da9b9a28650","Shaping a multidisciplinary understanding of team trust in human-AI teams: a theoretical framework","Ulfert, Anna-Sophie (Eindhoven University of Technology); Georganta, Eleni (Universiteit van Amsterdam); Centeio Jorge, C. (TU Delft Interactive Intelligence); Mehrotra, S. (TU Delft Interactive Intelligence); Tielman, M.L. (TU Delft Interactive Intelligence)","","2023","Intelligent systems are increasingly entering the workplace, gradually moving away from technologies supporting work processes to artificially intelligent (AI) agents becoming team members. Therefore, a deep understanding of effective human-AI collaboration within the team context is required. Both psychology and computer science literature emphasize the importance of trust when humans interact either with human team members or AI agents. However, empirical work and theoretical models that combine these research fields and define team trust in human-AI teams are scarce. Furthermore, they often lack to integrate central aspects, such as the multilevel nature of team trust and the role of AI agents as team members. Building on an integration of current literature on trust in human-AI teaming across different research fields, we propose a multidisciplinary framework of team trust in human-AI teams. The framework highlights different trust relationships that exist within human-AI teams and acknowledges the multilevel nature of team trust. We discuss the framework’s potential for human-AI teaming research and for the design and implementation of trustworthy AI team members.","","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:3303f45a-48ad-4d4a-81bd-0c3b8eb3a947","http://resolver.tudelft.nl/uuid:3303f45a-48ad-4d4a-81bd-0c3b8eb3a947","Giant Magneto-Resistive (GMR) Sensors for Non-Contacting Partial Discharge Detection","Chen, Y. (TU Delft DC systems, Energy conversion & Storage; South China University of Technology); Castro Heredia, L.C. (TU Delft ESP LAB); Smit, J.J. (TU Delft EEMS - General; IWO (Inst. for Science & Development / Inst. voor Wetenschap & Ontwikkeling)); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Ross, Robert (TU Delft High Voltage Technology Group; IWO (Inst. for Science & Development / Inst. voor Wetenschap & Ontwikkeling))","","2023","Partial discharge (PD) detection is a standardized technique to qualify the insulation condition in power equipment. The main purpose of the article is to evaluate the performance of an extra high-sensitivity adapted giant magneto-resistive (xMR) sensor for non-contacting PD detection. First, compensation and signal conditioning circuits of the sensor are designed. Frequency response and time-domain response to fast calibrator pulses of the sensor with the implemented circuit are measured. Besides, PD experiments based on corona and surface models are carried out and compared with measurements using a high-frequency current transformer (HFCT). The results show that the xMR system can measure the magnetic fields produced by the PDs at distances up to 50 cm. The correlation between the HFCT and xMR signals is proportional under different voltages, showing that PDs can be effectively detected and evaluated by this method. PDs in a cross-linked polyethylene (XLPE) cable with an artificial discharging defect are successfully measured, demonstrating the sensitivity and performance of the xMR system.","Cross-linked polyethylene (XLPE) cable; electromagnetic field measurement, giant magneto-resistive (GMR) sensor; Giant magneto-resistive (GMR); partial discharge (PD)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-19","","","DC systems, Energy conversion & Storage","","",""
"uuid:2d7ca7b9-069e-4528-9ce3-5c07f27df20f","http://resolver.tudelft.nl/uuid:2d7ca7b9-069e-4528-9ce3-5c07f27df20f","Social Network Analysis of the Schistosomiasis control program in two local government areas in Oyo state, Nigeria: Insights for NTD elimination plans","Onasanya, A.A. (TU Delft Design for Sustainability); van Engelen, J.M.L. (TU Delft Design for Sustainability); Oladunni, Opeyemi (Adeleke University, Ede); Oladepo, Oladimeji (University of Ibadan, Ibadan); Diehl, J.C. (TU Delft Design for Sustainability)","","2023","BACKGROUND: Schistosomiasis is one of the neglected tropical diseases targeted for elimination by 2030. Achieving disease elimination requires collaboration between stakeholders, country ownership and the involvement of community-level stakeholders. The state of stakeholder relationship determines the ease and timeliness of meeting disease elimination targets. Mapping stakeholder relationships is critical for assessing gaps in the schistosomiasis control program implementation, and providing a roadmap for improved stakeholder cohesion. The study aimed to measure the cohesiveness of the contact, collaboration and resource-sharing networks, across 2 local government areas in Oyo state, Nigeria. MATERIALS AND METHODS: This study used a Network Representative design for Social Network Analysis (SNA). The study was conducted within Oyo state, Nigeria using 2 Local Government Areas (LGAs): Ibadan North (urban) and Akinyele (rural). Stakeholders were identified using a link-tracing approach. Data was collected using Qualtrics software from stakeholders across the state, local government, healthcare, academia, and non-governmental organizations. Data was analysed using Gephi software for network cohesion across the three networks. RESULTS: The social network analysis revealed high clustering and low density across the three networks implying low cohesion across multiple stakeholder categories. The contact and collaborative networks were the most active with the lowest level of cohesion seen in the resource-sharing network. Stakeholders were more active in the rural LGA than the urban, and stakeholders within the organized governance and public health system were the dominant actors in the schistosomiasis control program. CONCLUSION: The low cohesion, high clustering and low network density among stakeholders within the schistosomiasis control program should be addressed in other to drive innovation and meet the WHO schistosomiasis elimination target.","","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:0bb5734d-6043-4c77-ba97-08d448e31d6b","http://resolver.tudelft.nl/uuid:0bb5734d-6043-4c77-ba97-08d448e31d6b","Switch Voltage Rating Selection Considering Cost-Oriented Redundancy and Modularity-based Trade-offs in Modular Multilevel Converter","Ahmadi, M. (TU Delft DC systems, Energy conversion & Storage); Shekhar, A. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","Modular Multilevel Converters (MMCs) find increasing applications in medium to high-voltage systems. In such systems, reliability-oriented selection of power electronic switches becomes essential because higher modularity implies an increased number of components. The trade-off between the impact of higher modularity on converter reliability is quantitatively established, corresponding to redundancy costs for the given lifetime requirements. Therefore, this paper proposes a method for an optimal choice among available market switch voltage rating for the MMC. It is shown that the sub-modules (SMs) based on 1.7 kV switches are the most suitable (instead of 1.2 kV and 3.3 kV switches) for two case studies adapting data from the medium voltage grid in The Netherlands. Moreover, the insights from these case studies are generalized to DC link voltage in the range of 10-220 kV and average loading of 1-100%. The sensitivity analysis is performed for the different failure rates (FRs), required lifetime, components cost, and energy price. Sensitivity analysis is also performed to identify the impact of FIDES and Military Handbook (MIL-HDBK) methods. The impact of converter power capacity is studied under the variable current rating. Finally, a generalized form of the proposed method is presented and applied in the published works.","cost assessment; Costs; Insulated gate bipolar transistors; Modular multilevel converter (MMC); modularity; Power system reliability; Redundancy; redundancy; Reliability; reliability analysis; sensitivity analysis; Switches; Voltage","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-30","","","DC systems, Energy conversion & Storage","","",""
"uuid:45b77942-97f1-4494-bd8f-d3df9cd9766b","http://resolver.tudelft.nl/uuid:45b77942-97f1-4494-bd8f-d3df9cd9766b","Hierarchical Motion Planning and Tracking for Autonomous Vehicles Using Global Heuristic Based Potential Field and Reinforcement Learning Based Predictive Control","Du, Guodong (ETH Zürich; Beijing Institute of Technology); Zou, Yuan (Beijing Institute of Technology); Zhang, Xudong (Beijing Institute of Technology); Li, Z. (TU Delft Transport and Planning); Liu, Qi (Beijing Institute of Technology)","","2023","The autonomous vehicle is widely applied in various ground operations, in which motion planning and tracking control are becoming the key technologies to achieve autonomous driving. In order to further improve the performance of motion planning and tracking control, an efficient hierarchical framework containing motion planning and tracking control for the autonomous vehicles is constructed in this paper. Firstly, the problems of planning and control are modeled and formulated for the autonomous vehicle. Then, the logical structure of the hierarchical framework is described in detail, which contains several algorithmic improvements and logical associations. The global heuristic planning based artificial potential field method is developed to generate the real-time optimal motion sequence, and the prioritized Q-learning based forward predictive control method is proposed to further optimize the effectiveness of tracking control. The hierarchical framework is evaluated and validated by the numerical simulation, virtual driving environment simulation and real-world scenario. The results show that both the motion planning layer and the tracking control layer of the hierarchical framework perform better than other previous methods. Finally, the adaptability of the proposed framework is verified by applying another driving scenario. Furthermore, the hierarchical framework also has the ability for the real-time application.","Autonomous vehicle; Autonomous vehicles; global heuristic based potential field; Heuristic algorithms; motion planning; Planning; Prediction algorithms; Real-time systems; Reinforcement learning; reinforcement learning based predictive control; Tracking; tracking control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-17","","","Transport and Planning","","",""
"uuid:97995b3d-bf2c-4626-8369-fa6bb1e4f111","http://resolver.tudelft.nl/uuid:97995b3d-bf2c-4626-8369-fa6bb1e4f111","Investigation of Polyurethane Modified Cold Bitumen for Fast Cold In-Place Recycling","Lin, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Welvaarts, Bart (De Jong Zuurmond); Brouns, Koen (Pavecare BV)","","2023","Cold in-place recycling is gaining more attention worldwide because of its lower energy consumption, while the normally used asphalt emulsion and foamed asphalt cannot meet this requirement of short traffic disturbance and road performance of the surface layer. In this research, a polyurethane-modified cold binder (PMCB) was designed and investigated for the fast and high-quality cold in-place recycling of reclaimed asphalt. For the first step, functional group analysis and fluorescent microscopy were used to reveal the curing process and the modification mechanism of the PMCB. Then a series of rheological tests were used to comprehensively evaluate the viscoelastic properties of the PMCB at different curing stages. Finally, the mechanical performance of the PMCB mortar sample was evaluated with the monotonic tensile test and tensile fatigue test. The results indicated that the polymerization reaction in the PMCB consisted of three reactions, and the urethane/urea linkage led to the formation of the polymeric network. The polyurethane polymeric network led to a significant increase in the complex modulus and a decrease of the phase angle. The PMCB also exhibits suitable viscosity at environmental temperatures, good relaxation properties at low temperatures, and less temperature sensitivity. Compared to the base asphalt and styrene butadiene styrene polymer modified bitumen mortar samples, the PMCB mortar samples showed significant advantages in tensile strength, dissipation energy, and tensile fatigue properties. Furthermore, the polyurethane-modified cold asphalt mixture (PMCM) showed better indirect tensile strength than the porous asphalt mixture with fresh aggregate and fresh asphalt binder when the curing time of the PMCM reached 6 h.","asphalt binder modifiers; binders; cold recycling; construction; infrastructure; materials; project delivery methods; rheological properties","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-01-25","","","Pavement Engineering","","",""
"uuid:1321c786-7b93-41ca-9898-42e73e2b1f62","http://resolver.tudelft.nl/uuid:1321c786-7b93-41ca-9898-42e73e2b1f62","Nonlinear inviscid aerodynamics of a wind turbine rotor in surge, sway, and yaw motions using a free-wake panel method","Pinto Ribeiro, A. (TU Delft Wind Energy); Casalino, D. (TU Delft Wind Energy); Ferreira, Carlos (TU Delft Wind Energy)","","2023","We investigate the aerodynamics of a surging, heaving, and yawing wind turbine with numerical simulations based on a free-wake panel method. We focus on the UNAFLOW (UNsteady Aerodynamics of FLOating Wind turbines) case: a surging wind turbine which was modeled experimentally and with various numerical methods. Good agreement with experimental data is observed for amplitude and phase of the thrust with surge motion. We achieve numerical results of a wind turbine wake that accurately reproduce experimentally verified effects of surging motion. We then extend our simulations beyond the frequency range of the UNAFLOW experiments and reach results that do not follow a quasi-steady response for surge. Finally, simulations are done with the turbine in yaw and heave motion, and the impact of the wake motion on the blade thrust is examined. Our work seeks to contribute a different method to the pool of results for the UNAFLOW case while extending the analysis to conditions that have not been simulated before and providing insights into nonlinear aerodynamic effects of wind turbine motion.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:ff938f5d-ceee-4113-ba42-cabb34d80247","http://resolver.tudelft.nl/uuid:ff938f5d-ceee-4113-ba42-cabb34d80247","Automated control for investigation of the insufflation-ventilation interaction in experimental laparoscopy","van Weteringen, Willem (Erasmus MC); Sterke, F. (TU Delft Medical Instruments & Bio-Inspired Technology; Erasmus MC); Vlot, J. (Erasmus MC); Wijnen, René M.H. (Erasmus MC); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2023","In laparoscopic surgery the abdominal cavity is insufflated with pressurized carbon dioxide gas to create workspace. This pressure is exerted through the diaphragm onto the lungs, competing with ventilation and hampering it. In clinical practice the difficulty of optimizing this balance can lead to the application of harmfully high pressures. This study set out to create a research platform for the investigation of the complex interaction between insufflation and ventilation in an animal model. The research platform was constructed to incorporate insufflation, ventilation and relevant hemodynamic monitoring devices, controlling insufflation and ventilation from a central computer. The core of the applied methodology is the fixation of physiological parameters by applying closed-loop control of specific ventilation parameters. For accurate volumetric measurements the research platform can be used in a CT scanner. An algorithm was designed to keep blood carbon dioxide and oxygen values stable, minimizing the effect of fluctuations on vascular tone and hemodynamics. This design allowed stepwise adjustment of insufflation pressure to measure the effects on ventilation and circulation. A pilot experiment in a porcine model demonstrated adequate platform performance. The developed research platform and protocol automation have the potential to increase translatability and repeatability of animal experiments on the biomechanical interactions between insufflation and ventilation.","","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:70176e97-ba0d-48c0-9b4b-9a2708fb603c","http://resolver.tudelft.nl/uuid:70176e97-ba0d-48c0-9b4b-9a2708fb603c","ecpc: an R-package for generic co-data models for high-dimensional prediction","van Nee, Mirrelijn M. (Amsterdam Public Health); Wessels, L.F.A. (TU Delft Pattern Recognition and Bioinformatics; Molecular Carcinogenesis; Netherlands Cancer Institute; Computational Cancer Biology); van de Wiel, Mark A. (Amsterdam Public Health)","","2023","BACKGROUND: High-dimensional prediction considers data with more variables than samples. Generic research goals are to find the best predictor or to select variables. Results may be improved by exploiting prior information in the form of co-data, providing complementary data not on the samples, but on the variables. We consider adaptive ridge penalised generalised linear and Cox models, in which the variable-specific ridge penalties are adapted to the co-data to give a priori more weight to more important variables. The R-package ecpc originally accommodated various and possibly multiple co-data sources, including categorical co-data, i.e. groups of variables, and continuous co-data. Continuous co-data, however, were handled by adaptive discretisation, potentially inefficiently modelling and losing information. As continuous co-data such as external p values or correlations often arise in practice, more generic co-data models are needed. RESULTS: Here, we present an extension to the method and software for generic co-data models, particularly for continuous co-data. At the basis lies a classical linear regression model, regressing prior variance weights on the co-data. Co-data variables are then estimated with empirical Bayes moment estimation. After placing the estimation procedure in the classical regression framework, extension to generalised additive and shape constrained co-data models is straightforward. Besides, we show how ridge penalties may be transformed to elastic net penalties. In simulation studies we first compare various co-data models for continuous co-data from the extension to the original method. Secondly, we compare variable selection performance to other variable selection methods. The extension is faster than the original method and shows improved prediction and variable selection performance for non-linear co-data relations. Moreover, we demonstrate use of the package in several genomics examples throughout the paper. CONCLUSIONS: The R-package ecpc accommodates linear, generalised additive and shape constrained additive co-data models for the purpose of improved high-dimensional prediction and variable selection. The extended version of the package as presented here (version number 3.1.1 and higher) is available on ( https://cran.r-project.org/web/packages/ecpc/ ).","Empirical Bayes; High-dimensional data; Penalised generalised linear models; Prior information; R","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:6ffff815-8775-43e8-b1cc-196240bcb1f6","http://resolver.tudelft.nl/uuid:6ffff815-8775-43e8-b1cc-196240bcb1f6","CoPR: Toward Accurate Visual Localization With Continuous Place-Descriptor Regression","Zaffar, M. (TU Delft Intelligent Vehicles); Nan, L. (TU Delft Urban Data Science); Kooij, J.F.P. (TU Delft Intelligent Vehicles)","","2023","Visual place recognition (VPR) is an image-based localization method that estimates the camera location of a query image by retrieving the most similar reference image from a map of geo-tagged reference images. In this work, we look into two fundamental bottlenecks for its localization accuracy: 1) reference map sparseness and 2) viewpoint invariance. First, the reference images for VPR are only available at sparse poses in a map, which enforces an upper bound on the maximum achievable localization accuracy through VPR. We, therefore, propose Continuous Place-descriptor Regression (CoPR) to densify the map and improve localization accuracy. We study various interpolation and extrapolation models to regress additional VPR feature descriptors from only the existing references. Second, we compare different feature encoders and show that CoPR presents value for all of them. We evaluate our models on three existing public datasets and report on average around 30% improvement in VPR-based localization accuracy using CoPR, on top of the 15% increase by using a viewpoint-variant loss for the feature encoder. The complementary relation between CoPR and relative pose estimation is also discussed.","Continuous Place-descriptor Regression (CoPR); pose estimation; visual localization (VL); visual place recognition (VPR)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-12","","","Intelligent Vehicles","","",""
"uuid:48750d98-022d-4a97-8b92-458a803e4460","http://resolver.tudelft.nl/uuid:48750d98-022d-4a97-8b92-458a803e4460","Low-cost fluorescence microscope with microfluidic device fabrication for optofluidic applications","Nagalingam, Nagaraj (TU Delft Complex Fluid Processing); Raghunathan, Aswin (Student TU Delft); Korede, V.B. (TU Delft Complex Fluid Processing); Overmars, E.F.J. (TU Delft Fluid Mechanics); Hung, S. (TU Delft Team Carlas Smith); Hartkamp, Remco (TU Delft Complex Fluid Processing); Padding, J.T. (TU Delft Complex Fluid Processing); Smith, C.S. (TU Delft Team Carlas Smith); Eral, H.B. (TU Delft Complex Fluid Processing)","","2023","Optofluidic devices have revolutionized the manipulation and transportation of fluid at smaller length scales ranging from micrometers to millimeters. We describe a dedicated optical setup for studying laser-induced cavitation inside a microchannel. In a typical experiment, we use a tightly focused laser beam to locally evaporate the solution laced with a dye resulting in the formation of a microbubble. The evolving bubble interface is tracked using high-speed microscopy and digital image analysis. Furthermore, we extend this system to analyze fluid flow through fluorescence-Particle Image Velocimetry (PIV) technique with minimal adaptations. In addition, we demonstrate the protocols for the in-house fabrication of a microchannel tailored to function as a sample holder in this optical setup. In essence, we present a complete guide for constructing a fluorescence microscope from scratch using standard optical components with flexibility in the design and at a lower cost compared to its commercial analogues.","Microfluidics; Experiments; Laser-induced cavitation; Fluorescence microscopy; High-speed imaging","en","journal article","","","","","","","","","","","Complex Fluid Processing","","",""
"uuid:1d10c425-c5a8-484d-941f-baa6b10dd7e1","http://resolver.tudelft.nl/uuid:1d10c425-c5a8-484d-941f-baa6b10dd7e1","Reinventing a Rural Area: A Case Study into Cultural Festivals in Oldambt, The Netherlands","Koreman, M.C.J. (TU Delft Urban Development Management)","","2023","The Oldambt area, in the northeast of the Netherlands, has recently suffered from depopulation and a negative image. However, four high-quality cultural festivals have been developed in or moved towards the area during the last decade. The festivals have different organisational models. This paper assesses how they contribute to rural regeneration through semi-structured interviews with stakeholders around the festivals and local youth. It adds to the existing literature by introducing the concept of rural regeneration, stemming from neo-endogenous rural development, into festival research and by conducting multiple case studies in one area. The paper investigates the festivals’ local legitimacy, rootedness, and ability to create interconnectedness. The findings suggest that the festivals are locally supported, use local resources, and benefit the area, notwithstanding their organisational model. The festivals also help to establish networks within and outside of Oldambt, and there is thus a positive effect on regeneration. The recent more positive developments in Oldambt may be related to the organisation of the festivals.","festivals; regeneration; cultural capital; community development; rural areas; The Netherlands","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:dbb0ea73-8281-4dbf-aca4-885d8ce72ff8","http://resolver.tudelft.nl/uuid:dbb0ea73-8281-4dbf-aca4-885d8ce72ff8","Temporal-topological properties of higher-order evolving networks","Ceria, A. (TU Delft Multimedia Computing); Wang, H. (TU Delft Multimedia Computing)","","2023","Human social interactions are typically recorded as time-specific dyadic interactions, and represented as evolving (temporal) networks, where links are activated/deactivated over time. However, individuals can interact in groups of more than two people. Such group interactions can be represented as higher-order events of an evolving network. Here, we propose methods to characterize the temporal-topological properties of higher-order events to compare networks and identify their (dis)similarities. We analyzed 8 real-world physical contact networks, finding the following: (a) Events of different orders close in time tend to be also close in topology; (b) Nodes participating in many different groups (events) of a given order tend to involve in many different groups (events) of another order; Thus, individuals tend to be consistently active or inactive in events across orders; (c) Local events that are close in topology are correlated in time, supporting observation (a). Differently, in 5 collaboration networks, observation (a) is almost absent; Consistently, no evident temporal correlation of local events has been observed in collaboration networks. Such differences between the two classes of networks may be explained by the fact that physical contacts are proximity based, in contrast to collaboration networks. Our methods may facilitate the investigation of how properties of higher-order events affect dynamic processes unfolding on them and possibly inspire the development of more refined models of higher-order time-varying networks.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Multimedia Computing","","",""
"uuid:f4fbf121-4659-45e4-8760-3bc821d7eaac","http://resolver.tudelft.nl/uuid:f4fbf121-4659-45e4-8760-3bc821d7eaac","Van ontkenning naar acceptatie van 'airborne' transmissie: waarom duurde het zo lang?","Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","Op 5 januari 2020 maakt de WHO (World Health Organization) het eerste nieuws over de uitbraak van de ziekte COVID-19 (Coronavirus disease 2019) als gevolg van een nieuw virus bekend. Op 11 maart 2020 wordt COVID-19, veroorzaakt door SARS-CoV-2 (SARS Coronavirus 2), door de WHO als een pandemie uitgeroepen. Op 4 april 2020 worden door de BBC 1 miljoen COVID-19 gevallen gerapporteerd.","","nl","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-01","","","Environmental & Climate Design","","",""
"uuid:e1066d62-3b52-4f3c-8cc3-de02f875f691","http://resolver.tudelft.nl/uuid:e1066d62-3b52-4f3c-8cc3-de02f875f691","Best Practice Data Sharing Guidelines for Wind Turbine Fault Detection Model Evaluation","Barber, Sarah (Eastern Switzerland University of Applied Sciences); Izagirre, Unai (Mondragon University); Serradilla, Oscar (Mondragon University); Olaizola, Jon (Mondragon University); Zugasti, Ekhi (Mondragon University); Aizpurua, Jose Ignacio (Mondragon University; IKERBASQUE, Basque Foundation for Science); Eftekhari Milani, A. (TU Delft Wind Energy); Sehnke, Frank (Center for Solar Energy and Hydrogen Research ZSW); Sakagami, Yoshiaki (Federal Institute of Santa Catarina); Henderson, Charles (Stacker Group)","","2023","In this paper, a set of best practice data sharing guidelines for wind turbine fault detection model evaluation is developed, which can help practitioners overcome the main challenges of digitalisation. Digitalisation is one of the key drivers for reducing costs and risks over the whole wind energy project life cycle. One of the largest challenges in successfully implementing digitalisation is the lack of data sharing and collaboration between organisations in the sector. In order to overcome this challenge, a new collaboration framework called WeDoWind was developed in recent work. The main innovation of this framework is the way it creates tangible incentives to motivate and empower different types of people from all over the world to share data and knowledge in practice. In this present paper, the challenges related to comparing and evaluating different SCADA-data-based wind turbine fault detection models are investigated by carrying out a new case study, the “WinJi Gearbox Fault Detection Challenge”, based on the WeDoWind framework. A total of six new solutions were submitted to the challenge, and a comparison and evaluation of the results show that, in general, some of the approaches (Particle Swarm Optimisation algorithm for constructing health indicators, performance monitoring using Deep Neural Networks, Combined Ward Hierarchical Clustering and Novelty Detection with Local Outlier Factor and Time-to-failure prediction using Random Forest Regression) appear to exhibit high potential to reach the goals of the Challenge. However, there are a number of concrete things that would have to have been done by the Challenge providers and the Challenge moderators in order to ensure success. This includes enabling access to more details of the different failure types, access to multiple data sets from more wind turbines experiencing gearbox failure, provision of a model or rule relating fault detection times or a remaining useful lifetime to the estimated costs for repairs, replacements and inspections, provision of a clear strategy for training and test periods in advance, as well as provision of a pre-defined template or requirements for the results. These learning outcomes are used directly to define a set of best practice data sharing guidelines for wind turbine fault detection model evaluation. The guidelines can be used by researchers in the sector in order to improve model evaluation and data sharing in the future.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:aa8da5a3-346f-4bad-bbd2-ad3a7672065c","http://resolver.tudelft.nl/uuid:aa8da5a3-346f-4bad-bbd2-ad3a7672065c","A comprehensive performance analysis of sequence-based within-sample testing NIPT methods","Mokveld, T.O. (TU Delft Pattern Recognition and Bioinformatics); Al-Ars, Z. (TU Delft Computer Engineering); Sistermans, Erik A. (Amsterdam UMC; Vrije Universiteit Amsterdam); Reinders, M.J.T. (TU Delft Pattern Recognition and Bioinformatics)","","2023","Background
Non-Invasive Prenatal Testing is often performed by utilizing read coverage-based profiles obtained from shallow whole genome sequencing to detect fetal copy number variations. Such screening typically operates on a discretized binned representation of the genome, where (ab)normality of bins of a set size is judged relative to a reference panel of healthy samples. In practice such approaches are too costly given that for each tested sample they require the resequencing of the reference panel to avoid technical bias. Within-sample testing methods utilize the observation that bins on one chromosome can be judged relative to the behavior of similarly behaving bins on other chromosomes, allowing the bins of a sample to be compared among themselves, avoiding technical bias.
Results
We present a comprehensive performance analysis of the within-sample testing method Wisecondor and its variants, using both experimental and simulated data. We introduced alterations to Wisecondor to explicitly address and exploit paired-end sequencing data. Wisecondor was found to yield the most stable results across different bin size scales while producing more robust calls by assigning higher Z-scores at all fetal fraction ranges.
Conclusions
Our findings show that the most recent available version of Wisecondor performs best.
with issues of non-functional and obsolete medical devices, which ultimately
end up prematurely disposed of as waste. With increasing healthcare demands,
stopping medical device disposal is imperative. One way to achieve this is
to leverage circular economy principles in designing medical devices. Circular
economy principles aim to retain products and their constituent materials to
be reused over time in the economic system. However, to what extent this has
been applied in designing medical devices specifically for low-resource settings
in Sub-Saharan Africa is missing in literature. Based on a systematic review of
29 out of 1,799 screened scientific papers, we identified the use of circular
economy principles of durability, maintenance, repair, and upgrade in designing
medical devices for this setting. Whether these principles were intentionally
applied from a circular economy approach could not be inferred in this study.
The motivational basis for using these principles was to ensure medical device
longevity to providing healthcare. No attention was given to the circular economy
principles of refurbishment, remanufacturing, and recycling, ensuring that device
components and constituent materials are recovered. These study findings serve
as a launchpad for exploring how circular principles can be used to support
the design of medical devices for low-resource settings in Sub-Saharan Africa.
Academicians and designers of medical devices can leverage this research to
contribute towards developing medical devices that support access to healthcare
for people in low-resource settings and preserve earth’s finite resources","circular economy principles; medical device design; low-resource settings; Sub-Saharan Africa; product design","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:3d20cded-0e17-4c84-a3c0-6923cb5a0b76","http://resolver.tudelft.nl/uuid:3d20cded-0e17-4c84-a3c0-6923cb5a0b76","Improved methodologies to study the performance of the ANET Compact Neutron Collimator","Sans-Planell, O. (University of Turin; Istituto Nazionale di Fisica Nucleare, Sezione di Torino); Cantini, F. (Laboratori Nazionale di Frascati dell'INFN; Istituto dei Sistemi Complessi); Costa, M. (University of Turin; Istituto Nazionale di Fisica Nucleare, Sezione di Torino); Durisi, E. (University of Turin; Istituto Nazionale di Fisica Nucleare, Sezione di Torino); Grazzi, F. (Laboratori Nazionale di Frascati dell'INFN; Istituto dei Sistemi Complessi); Mafucci, E. (University of Turin; Istituto Nazionale di Fisica Nucleare, Sezione di Torino); Monti, V. (University of Turin; Istituto Nazionale di Fisica Nucleare, Sezione di Torino); Bedogni, R. (Sezione di Firenze); Li, Y. (Student TU Delft); van Eijck, L. (TU Delft RST/Neutron and Positron Methods in Materials)","","2023","The ANET project aims at developing 2D compact neutron collimators for neutron imaging applications. The results of the ANET collimator performances, presented in this communication, are based on data collected at the FISH beamline at TU-Delft. Two independent methods to evaluate the neutron radiography resolution are described and discussed, as well as a comparison of the beam divergence with or without the ANET collimator.","Compact Neutron Collimator; Gadolinium knife-edge; Neutron radiography; Siemens star","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-06","","","RST/Neutron and Positron Methods in Materials","","",""
"uuid:8ce76ad2-361d-4555-84da-2bb21571ee59","http://resolver.tudelft.nl/uuid:8ce76ad2-361d-4555-84da-2bb21571ee59","A Highly Linear Temperature Sensor Operating up to 600°C in a 4H-SiC CMOS Technology","Mo, J. (TU Delft Electrical Engineering, Mathematics and Computer Science); LI, J. (TU Delft Electrical Engineering, Mathematics and Computer Science); Zhang, Y. (TU Delft Electrical Engineering, Mathematics and Computer Science); Romijn, J. (TU Delft Electrical Engineering, Mathematics and Computer Science); May, Alexander (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Erlbacher, Tobias (Fraunhofer Institute for Integrated Systems and Devices Technology IISB); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials)","","2023","In this work, a highly linear temperature sensor based on a silicon carbide (SiC) p-n diode is presented. Under a constant current biasing, the diode has an excellent linear response to the temperature (from room temperature to 600°C). The best linearity (coefficient of determination ${R}^{{2}}$ = 99.98%) is achieved when the current density is 0.53 mA/cm2. The maximum sensitivity of the p-n diode is 3.04 mV/°C. The temperature sensor is fully compatible with Fraunhofer Institute (FHG) IISB's open SiC CMOS (complementary metal-oxide-semiconductor) technology, thus enabling the monolithic integration with SiC readout circuits for high-temperature applications. The sensor also features a simple fabrication process. To our knowledge, the presented device is the first SiC diode temperature sensor that does not require a mesa etch or backside contacts.","high temperature; Linearity; p-n diode; Schottky diodes; Sensitivity; Silicon carbide; Temperature distribution; Temperature measurement; temperature sensor; Temperature sensors","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-09","Electrical Engineering, Mathematics and Computer Science","","Electronic Components, Technology and Materials","","",""
"uuid:e5247cd8-5512-4d96-b73b-1c035ebe6d91","http://resolver.tudelft.nl/uuid:e5247cd8-5512-4d96-b73b-1c035ebe6d91","Crossover-effects in technical skills between laparoscopy and robot-assisted surgery","Hardon, S.F. (TU Delft Medical Instruments & Bio-Inspired Technology; Amsterdam UMC); Willuth, E. (University of Heidelberg); Rahimi, A. Masie (Amsterdam UMC; Amsterdam Skills Centre for Health Sciences); Lang, F. (University of Heidelberg); Haney, Caelan M. (University of Heidelberg); Felinska, Eleni A. (University of Heidelberg); Kowalewski, Karl Friedrich (University of Heidelberg); Müller-Stich, Beat P. (University of Heidelberg); van der Peet, Donald L. (Amsterdam UMC); Daams, Freek (Amsterdam UMC); Nickel, F. (University of Heidelberg); Horeman, T. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2023","Introduction: Robot-assisted surgery is often performed by experienced laparoscopic surgeons. However, this technique requires a different set of technical skills and surgeons are expected to alternate between these approaches. The aim of this study is to investigate the crossover effects when switching between laparoscopic and robot-assisted surgery. Methods: An international multicentre crossover study was conducted. Trainees with distinctly different levels of experience were divided into three groups (novice, intermediate, expert). Each trainee performed six trials of a standardized suturing task using a laparoscopic box trainer and six trials using the da Vinci surgical robot. Both systems were equipped with the ForceSense system, measuring five force-based parameters for objective assessment of tissue handling skills. Statistical comparison was done between the sixth and seventh trial to identify transition effects. Unexpected changes in parameter outcomes after the seventh trial were further investigated. Results: A total of 720 trials, performed by 60 participants, were analysed. The expert group increased their tissue handling forces with 46% (maximum impulse 11.5 N/s to 16.8 N/s, p = 0.05), when switching from robot-assisted surgery to laparoscopy. When switching from laparoscopy to robot-assisted surgery, intermediates and experts significantly decreased in motion efficiency (time (sec), resp. 68 vs. 100, p = 0.05, and 44 vs. 84, p = 0.05). Further investigation between the seventh and ninth trial showed that the intermediate group increased their force exertion with 78% (5.1 N vs. 9.1 N, p = 0.04), when switching to robot-assisted surgery. Conclusion: The crossover effects in technical skills between laparoscopic and robot-assisted surgery are highly depended on the prior experience with laparoscopic surgery. Where experts can alternate between approaches without impairment of technical skills, novices and intermediates should be aware of decay in efficiency of movement and tissue handling skills that could impact patient safety. Therefore, additional simulation training is advised to prevent from undesired events.","Assessment; Crossover effects; Laparoscopy; Patient safety; Robot-assisted surgery; Technical skills","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:c3490c95-95b0-43af-bf2c-3a1b97334d86","http://resolver.tudelft.nl/uuid:c3490c95-95b0-43af-bf2c-3a1b97334d86","Consequences and opportunities arising due to sparser single-cell RNA-seq datasets","Bouland, G.A. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Mahfouz, A.M.E.T.A. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Reinders, M.J.T. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center)","","2023","With the number of cells measured in single-cell RNA sequencing (scRNA-seq) datasets increasing exponentially and concurrent increased sparsity due to more zero counts being measured for many genes, we demonstrate here that downstream analyses on binary-based gene expression give similar results as count-based analyses. Moreover, a binary representation scales up to ~ 50-fold more cells that can be analyzed using the same computational resources. We also highlight the possibilities provided by binarized scRNA-seq data. Development of specialized tools for bit-aware implementations of downstream analytical tasks will enable a more fine-grained resolution of biological heterogeneity.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:4996181a-a302-4b3f-b34d-26b525cd8084","http://resolver.tudelft.nl/uuid:4996181a-a302-4b3f-b34d-26b525cd8084","Serious gaming for better cooperation in flood defence asset management","den Heijer, F. (HAN University of Applied Sciences); Podt, Maarten (HAN University of Applied Sciences); Bosch-Rekveldt, M.G.C. (TU Delft Integral Design & Management); de Leeuw, Annemargreet (Deltares); Rijke, Jeroen (HAN University of Applied Sciences)","","2023","Flood defences are often situated in public spaces and are mostly multi-functional, multi-financed and multi-managed. Cooperation in flood defence asset management is important because roles and responsibilities are fragmented within and between organisations. This complex context calls for mutual understanding from responsible role-holders. Research has shown that serious games are instrumental to reflect situations in which technical and social complexity both play a role. Serious games motivate participants to learn and to change the way they learn. This paper presents the development, application, and results of a serious game ‘Dike Dilemmas Under Pressure' that aims to create better mutual understanding between actors in flood defence asset management. The game was played with 67 professionals that fulfil a diversity of roles related to flood defence asset management in the Netherlands. The analysis of the results in this paper clearly shows different preferred cooperative attitudes between different groups of role-holders and indicates potential misperceptions. The game participants were enthusiastic about the insights gained. They indicated that it helped them to recognise the broad variety of asset management dilemmas and become aware of their own cooperative attitudes and those of their colleagues towards dealing with these dilemmas. Future application of the game is recommended to monitor the cooperative attitudes of professionals in flood defence and to support the development of teams.","asset management; education and training; flood defence measures; governance and institutions","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:7111e9d6-818d-491d-be8e-b2e6d28b7e6d","http://resolver.tudelft.nl/uuid:7111e9d6-818d-491d-be8e-b2e6d28b7e6d","Effect of soot particle deposition on porous fouling formation and thermal characteristics of an exhaust gas recirculation cooler","Khoshnood, Alireza (Tarbiat Modares University); Maerefat, Mehdi (Tarbiat Modares University); Imani, Gholamreza (Persian Gulf University); Hooman, K. (TU Delft Process and Energy)","","2023","Exhaust gas recirculation (EGR) systems have been successfully employed to reduce the NOx emissions in diesel engines. However, the fouling problem in EGR coolers challenges their capability to comply with stringent environmental regulations. A few numerical simulations have considered the fouling growth in EGR coolers. Those studies modeled the evolving fouling layer to be a solid medium, therefore, fluid flow and convection heat transfer within the fouling layer, which is well-documented to be a porous medium permeable to gas flow, have not been considered yet. As such, the present study investigates the simultaneous effects of the formation of the evolving porous fouling layer (EPFL) at the walls of an EGR cooler and fluid flow and convection heat transfer simulation within this EPFL to determine its coupled effects on the thermal performance of the EGR cooler. This study also investigates the possibility of formation of a steady fouling layer (SFL) because of the opposing effects of the fouling layer growth and deposition rate. The effects of two pertinent dimensionless parameters, namely Darcy number (10-4≤Da≤5×10-3) and Reynolds number (100≤Re≤400) on the time history of the fouling layer growth, deterioration of the thermal performance of the duct, and average Nusselt number ratio (Nuav/Nuavt=0) are studied. The results show that the thermal performance of the duct decreases as the EPFL grows, which agrees well with the available experimental data. It is shown that the steady fouling layer is obtained due to a decrease in thermophoretic force and deposition rate, as a result of the EPFL formation. Finally, a correlation is proposed in terms of Reynolds and Darcy numbers for the time at which the SFL occurs.","Evolving porous fouling layer; Exhaust gas recirculation cooler; Lattice Boltzmann method; Particle deposition; Steady fouling layer; Thermophoresis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-22","","Process and Energy","","","",""
"uuid:358b53a2-6000-450d-96a2-682fd114d1ff","http://resolver.tudelft.nl/uuid:358b53a2-6000-450d-96a2-682fd114d1ff","Exploring co-creation with agri-food smallholders in Vietnam","de Koning, J.I.J.C. (TU Delft Design for Sustainability)","","2023","The food system in Vietnam is changing whilst the middle class is growing. Agrifood smallholders have the strengths of responding to the changing needs of the middle class by offering freshness, proximity and convenience but they also face increasing competition from larger and international firms. At the same time, issues with food safety are prevalent and a rising concern among consumers. For this study we completed sixteen co-creation workshops between local agri-food smallholders and consumers. The goal of these workshops was to explore the value of participatory processes, non-hierarchical decision making and creativity for smallholder firms in Vietnam through co-creation workshops focused on sustainability. The outcomes show that the workshops can stimulate customer understanding and participatory processes among the smallholder businesses, but creativity in the form of novel ideas less so. The workshops did not result in directly feasible or manageable product and service concepts. The topic of sustainable food opened a dialogue: insights between the firms and customers on this topic were mutually rich. The outcomes suggest that co-creation workshops can create a sense of community and urgency for sustainability. In the future, the challenge for smallholders is to invest in participatory processes with a long-term view on sustainability as well as come to practical design outcomes on the short term","participatory design; urban middle class; food; sustainable consumption; co-design; transitions; local knowledge","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-09","","","Design for Sustainability","","",""
"uuid:91e77dd7-2b35-4e90-a2ad-bf3c5f8af5ef","http://resolver.tudelft.nl/uuid:91e77dd7-2b35-4e90-a2ad-bf3c5f8af5ef","Catch me if you can: capturing microbial community transformation by extracellular DNA using Hi-C sequencing","Calderon Franco, D. (TU Delft BT/Environmental Biotechnology); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Abeel, T.E.P.M.F. (TU Delft Pattern Recognition and Bioinformatics; Broad Institute of MIT and Harvard); Weissbrodt, D.G. (Norwegian University of Science and Technology (NTNU))","","2023","The transformation of environmental microorganisms by extracellular DNA is an overlooked mechanism of horizontal gene transfer and evolution. It initiates the acquisition of exogenous genes and propagates antimicrobial resistance alongside vertical and conjugative transfers. We combined mixed-culture biotechnology and Hi-C sequencing to elucidate the transformation of wastewater microorganisms with a synthetic plasmid encoding GFP and kanamycin resistance genes, in the mixed culture of chemostats exposed to kanamycin at concentrations representing wastewater, gut and polluted environments (0.01–2.5–50–100 mg L−1). We found that the phylogenetically distant Gram-negative Runella (102 Hi-C links), Bosea (35), Gemmobacter (33) and Zoogloea (24) spp., and Gram-positive Microbacterium sp. (90) were transformed by the foreign plasmid, under high antibiotic exposure (50 mg L−1). In addition, the antibiotic pressure shifted the origin of aminoglycoside resistance genes from genomic DNA to mobile genetic elements on plasmids accumulating in microorganisms. These results reveal the power of Hi-C sequencing to catch and surveil the transfer of xenogenetic elements inside microbiomes.","Hi-C sequencing; Mixed cultures; Antibiotic resistance; Transformation; Plasmids","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:d5cd7153-cb7a-4b0d-8c1d-ad815d9618f1","http://resolver.tudelft.nl/uuid:d5cd7153-cb7a-4b0d-8c1d-ad815d9618f1","Exploring health and design evidence practices in eHealth systems’ development","Morales Ornelas, H.C. (TU Delft Internet of Things); Kleinsmann, M.S. (TU Delft Design, Organisation and Strategy); Kortuem, G.W. (TU Delft Internet of Things)","","2023","Evidence-based practices play an essential role in the development of eHealth systems. Prior research has investigated the challenge of shared understanding between professionals from the fields of health sciences and design and has highlighted the need for effective alignment of development and research practices in eHealth. However, there is a limited understanding of epistemological differences between these fields and how professionals conceptualise evidence. In this paper, we investigate how healthcare and design professionals think about evidence and how they implement evidence practices in their work. We interviewed eight professionals and used reflexive thematic analysis to identify the challenges and strategies associated with their evidence practices. Our results identify five shared evidence practices between healthcare and design professionals: stakeholder-driven, process-driven, problem-driven, effect-driven, and solution-driven. These five evidence practices indicate opportunities for closer alignment of development and research practices among healthcare and design professionals and offer a basis to create a shared understanding of evidence between both fields.","collaborative design; design methodology; design practice; evidence-based practice","en","journal article","","","","","","","","","","Design, Organisation and Strategy","Internet of Things","","",""
"uuid:99882db2-9557-4f9f-8e24-961fc0b7db2c","http://resolver.tudelft.nl/uuid:99882db2-9557-4f9f-8e24-961fc0b7db2c","Instability of Rotating-Cone Boundary Layer in Axial Inflow: Effect of Cone Angle","Tambe, S.S. (TU Delft Flight Performance and Propulsion); Schrijer, F.F.J. (TU Delft Aerodynamics); Gangoli Rao, A. (TU Delft Flight Performance and Propulsion); Veldhuis, L.L.M. (TU Delft Flow Physics and Technology)","","2023","Boundary-layer instability on a rotating cone induces coherent spiral vortices that are linked to the onset of laminar–turbulent transition. This type of transition is relevant to several aerospace systems with rotating components, e.g., aeroengine nose cones. Because a variety of options exist for the nose-cone shapes, it is important to know how their shape affects the boundary-layer transition phenomena. This study investigates the effect of varying cone angle on the boundary-layer instability on rotating cones facing axial inflow. It is found that increasing cone angle has a stabilizing effect on the boundary layer over rotating cones in axial inflow. The parameter space of Reynolds number Re l and local rotational speed ratio S is experimentally explored to find the spiral vortex growth on rotating cones of half angle ψ 22.5°, 45°, and 50°. The previously addressed cases of ψ 15° and 30° are also revisited. Increasing half-cone angle is found to have a stabilizing effect on the boundary layer on the rotating cones with ψ ≲ 45°; i.e., the spiral vortex growth is delayed to higher Re l and S. This effect diminishes when the half-cone angle increases from ψ 45° to 50°. The spiral vortex angle ϵ decreases with increasing rotational speed ratio S for all the investigated cones, irrespective of the half-cone angle. However, the instability on the broader cones is found to induce shorter azimuthal wavelengths.","","en","journal article","","","","","","","","","","Flow Physics and Technology","Flight Performance and Propulsion","","",""
"uuid:7a29c445-c483-4c81-81ca-2272540e4455","http://resolver.tudelft.nl/uuid:7a29c445-c483-4c81-81ca-2272540e4455","Improving Air Pollution Modelling in Complex Terrain with a Coupled WRF–LOTOS–EUROS Approach: A Case Study in Aburrá Valley, Colombia","Hinestroza-Ramirez, Jhon E. (Universidad EAFIT; Universidad Tecnológica del Chocó); Lopez-Restrepo, Santiago (Universidad EAFIT); Yarce Botero, A. (TU Delft Atmospheric Remote Sensing; Universidad EAFIT); Segers, Arjo (TNO); Rendon-Perez, Angela Maria (Universidad de Antioquia); Isaza-Cadavid, Santiago (Universidad EAFIT); Heemink, A.W. (TU Delft Mathematical Physics); Quintero, Olga Lucia (Universidad EAFIT)","","2023","Chemical transport models (CTM) are crucial for simulating the distribution of air pollutants, such as particulate matter, and evaluating their impact on the environment and human health. However, these models rely heavily on accurate emission inventory and meteorological inputs, usually obtained from reanalyzed weather data, such as the European Centre for Medium-Range Weather Forecasts (ECMWF). These inputs do not accurately reflect the complex topography and micro-scale meteorology in tropical regions where air pollution can pose a severe public health threat. We propose coupling the LOTOS–EUROS CTM model and the weather research and forecasting (WRF) model to improve LOTOS–EUROS representation. Using WRF as a meteorological driver provides high-resolution inputs for accurate pollutant simulation. We compared LOTOS–EUROS results when WRF and ECMWF provided the meteorological inputs during low and high pollutant concentration periods. The findings indicate that the WRF–LOTOS–EUROS coupling offers a more precise representation of the meteorology and pollutant dispersion than the default input of ECMWF. The simulations also capture the spatio-temporal variability of pollutant concentration and emphasize the importance of accounting for micro-scale meteorology and topography in air pollution modelling.","model coupling; air quality modelling; complex terrain; particulate matter","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:9f4b4607-c99f-40c0-b673-8bf50558317d","http://resolver.tudelft.nl/uuid:9f4b4607-c99f-40c0-b673-8bf50558317d","Active Inference and Behavior Trees for Reactive Action Planning and Execution in Robotics","Pezzato, C. (TU Delft Robot Dynamics); Hernández, Carlos (TU Delft Robot Dynamics); Bonhof, S.D. (TU Delft Robot Dynamics); Wisse, M. (TU Delft Robot Dynamics)","","2023","In this article, we propose a hybrid combination of active inference and behavior trees (BTs) for reactive action planning and execution in dynamic environments, showing how robotic tasks can be formulated as a free-energy minimization problem. The proposed approach allows handling partially observable initial states and improves the robustness of classical BTs against unexpected contingencies while at the same time reducing the number of nodes in a tree. In this work, we specify the nominal behavior offline, through BTs. However, in contrast to previous approaches, we introduce a new type of leaf node to specify the desired state to be achieved rather than an action to execute. The decision of which action to execute to reach the desired state is performed online through active inference. This results in continual online planning and hierarchical deliberation. By doing so, an agent can follow a predefined offline plan while still keeping the ability to locally adapt and take autonomous decisions at runtime, respecting safety constraints. We provide proof of convergence and robustness analysis, and we validate our method in two different mobile manipulators performing similar tasks, both in a simulated and real retail environment. The results showed improved runtime adaptability with a fraction of the hand-coded nodes compared to classical BTs.","Active inference; behavior trees (BT); biologically inspired robots; free-energy principle; mobile manipulators; reactive action planning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-02","","","Robot Dynamics","","",""
"uuid:7aa1e80a-deb6-4396-a93a-3f0cfc516729","http://resolver.tudelft.nl/uuid:7aa1e80a-deb6-4396-a93a-3f0cfc516729","Making way for design thinking in the public sector: a taxonomy of strategies","Brinkman, Geert (Erasmus Universiteit Rotterdam); van Buuren, Arwin (Erasmus Universiteit Rotterdam); Voorberg, William (Erasmus Universiteit Rotterdam); van der Bijl-Brouwer, M. (TU Delft Methodologie en Organisatie van Design)","","2023","Public organizations are increasingly turning to design thinking to address wicked societal issues, enhance innovation, and improve services. However, in general, public organizations do not provide the most receptive context for design thinking. To be applied effectively, design thinking requires sufficient tolerance for uncertainty, capacity for risk-taking, receptiveness to new ideas, and flexibility to learn and adapt. Public organizations, instead, favor rationality, stability, and accountability, and are therefore generally characterized as rigid and risk-averse. Additional efforts are thus required to make way for design thinking within this context. Until now, research on strategies to support the application of design thinking in a public sector context is limited. In this paper, 14 design thinking projects in the public sector were analyzed to identify these strategies, resulting in a practical framework of strategic actions to build confidence, form an alliance, generate support, enhance compatibility, and thereby enable design thinking in the public sector. Accordingly, this study contributes to the theory and practice of design thinking for public issues.","Design; design thinking; human-centered design; public sector design; public sector innovation","en","journal article","","","","","","","","","","","Methodologie en Organisatie van Design","","",""
"uuid:042b6f6e-882b-425f-a6b0-a3701b2bb348","http://resolver.tudelft.nl/uuid:042b6f6e-882b-425f-a6b0-a3701b2bb348","Scale-dependent blending of ensemble rainfall nowcasts and numerical weather prediction in the open-source pysteps library","Imhoff, Ruben O. (Deltares; Wageningen University & Research); De Cruz, Lesley (Royal Meteorological Institute of Belgium; Vrije Universiteit Brussel); Dewettinck, Wout (Universiteit Gent); Brauer, Claudia C. (Wageningen University & Research); Uijlenhoet, R. (TU Delft Water Resources); van Heeringen, Klaas Jan (Deltares); Velasco-Forero, Carlos (Bureau of Meteorology Australia); Nerini, Daniele (Federal Office of Meteorology and Climatology MeteoSwiss); Van Ginderachter, Michiel (Royal Meteorological Institute of Belgium); Weerts, Albrecht H. (Deltares; Wageningen University & Research)","","2023","Flash flood early warning requires accurate rainfall forecasts with a high spatial and temporal resolution. As the first few hours ahead are already not sufficiently well captured by the rainfall forecasts of numerical weather prediction (NWP) models, radar rainfall nowcasting can provide an alternative. Because this observation-based method quickly loses skill after the first 2 hr of the forecast, it needs to be combined with NWP forecasts to extend the skillful lead time of short-term rainfall forecasts, which should increase decision-making times. We implemented an adaptive scale-dependent ensemble blending method in the open-source pysteps library, based on the Short-Term Ensemble Prediction System scheme. In this implementation, the extrapolation (ensemble) nowcast, (ensemble) NWP, and noise components are combined with skill-dependent weights that vary per spatial scale level. To constrain the (dis)appearance of rain in the ensemble members to regions around the rainy areas, we have developed a Lagrangian blended probability matching scheme and incremental masking strategy. We describe the implementation details and evaluate the method using three heavy and extreme (July 2021) rainfall events in four Belgian and Dutch catchments. We benchmark the results of the 48-member blended forecasts against the Belgian NWP forecast, a 48-member nowcast, and a simple 48-member linear blending approach. Both on the radar domain and catchment scale, the introduced blending approach predominantly performs similarly or better than only nowcasting (in terms of event-averaged continuous ranked probability score and critical success index values) and adds value compared with NWP for the first hours of the forecast, although the difference, particularly with the linear blending method, reduces when we focus on catchment-average cumulative rainfall sums instead of instantaneous rainfall rates. By properly combining observations and NWP forecasts, blending methods such as these are a crucial component of seamless prediction systems.","blending; early warning; nowcasting; numerical weather prediction; open source; rainfall","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:a6372484-3549-4d47-b9ba-8a55a138887b","http://resolver.tudelft.nl/uuid:a6372484-3549-4d47-b9ba-8a55a138887b","Semicentennial Response of a Bifurcation Region in an Engineered River to Peak Flows and Human Interventions","Chowdhury, M. Kifayath (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Blom, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Ylla Arbos, C. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Verbeek, Merel C. (Rijkswaterstaat); Schropp, Max H.I. (Rijkswaterstaat); Schielen, R.M.J. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Rijkswaterstaat)","","2023","A bifurcation in an engineered river system (i.e., fixed planform and width) has fewer degrees of freedom in its response to interventions and natural changes than a natural bifurcation system. Our objective is to provide insight into how a bifurcation in an engineered river responds to peak flows and human interventions. To this end, we analyze the change in hydraulics, bed level, and bed surface grain size in the region of two bifurcations in the upper Rhine delta in the Netherlands over the last century. We show that, over the last two decades, the water discharge in one bifurcate (the Waal branch) has steadily increased at the expense of the other. This gradual increase in the water discharge of the first branch is associated with its erosion rate being larger than the other branch. The quick succession of two or three peak flow events (1993, 1995, and 1998) caused rapid sediment deposition over the upstream part of the bifurcate that has gradually lost discharge, which seems to have triggered the slow change in flow partitioning.","River bifurcation; Rhine River; Netherlands; Flow partitioning; Peak flow; Engineered Rivers; Tipping point; Gravel bed rivers; Upper Rhine delta; Flood","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:3e525070-6302-431c-b1e6-53467875dd15","http://resolver.tudelft.nl/uuid:3e525070-6302-431c-b1e6-53467875dd15","Unifying Tactical Conflict Prevention, Detection, and Resolution Methods in Non-Orthogonal Constrained Urban Airspace","Badea, C. (TU Delft Control & Simulation); Morfin Veytia, A. (TU Delft Control & Simulation); Patrinopoulou, Niki (University of Patras); Daramouskas, Ioannis (University of Patras); Ellerbroek, Joost (TU Delft Control & Simulation); Lappas, Vaios (University of Athens); Kostopoulos, Vassilios (University of Patras); Hoekstra, J.M. (TU Delft Control & Simulation)","","2023","The use of small aircraft for a wide range of missions in urban airspace is expected to increase in the future. In Europe, efforts have been invested into developing a unified system, called U-space, to manage aircraft in dense very-low-level urban airspace. The Metropolis II project aimed to research what degree of centralisation an air traffic management system should use in such airspace. The paper at hand is a follow-up, and investigates improvements that can be brought to the tactical conflict prevention, detection, and resolution module of such a system in order to harmonise these components with an organic high-density U-space environment. The proposed improvements are: the prioritisation of vertical conflict prevention in intersections, the use of intent in detecting and resolving conflicts, and the use of heading-based manoeuvres in open airspace. Results show that the use of intent information in the conflict detection process, as well as the implementation of suitable tactical prevention procedures, can greatly increase airspace safety. Furthermore, the experiments revealed that the effectiveness of conflict resolution algorithms is highly dependent on the airspace rules and structure. This reiterates the potential for increasing the safety and efficiency of operations within constrained airspace if the tactical separation modules are unified with the other components of air traffic management systems for U-space.","U-space; UTM; conflict resolution; conflict detection; conflict prevention; BlueSky simulator; constrained airspace; organic network","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:9e0166d7-4ded-4b09-b255-debaa0577a6a","http://resolver.tudelft.nl/uuid:9e0166d7-4ded-4b09-b255-debaa0577a6a","Practical Product Development Challenges","Ashruf, CMA (TU Delft Electronic Instrumentation)","","2023","Despite the many product development techniques available today, manufacturers under pressure to reduce time to market while keeping up with stricter regulatory demands are struggling more than ever with their product development processes. Here, I list a selection of problems and challenges frequently encountered in my consulting practice and checked with literature, as well as practical recommendations for improvement. While in specific situations some project management techniques prove better suited than others, overall product development success seems more dependent on the organization's willingness and ability to learn and adapt rather than on the specific technique chosen.","Companies; empowerment; implementation methodologies & project management; Industries; management of innovation; management of scientists and engineers; Product development; product innovations; project and R&D management; project success factors; Standards organizations; success factors in product development; Technological innovation; Training; Weaving","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-04","","","Electronic Instrumentation","","",""
"uuid:2868a0c2-8398-43bb-a05b-52c77224abbc","http://resolver.tudelft.nl/uuid:2868a0c2-8398-43bb-a05b-52c77224abbc","Broadband Effective Permittivity Simulation and Measurement Techniques for 3-D-Printed Dielectric Crystals","Hehenberger, Simon P. (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Caizzone, Stefano (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Thurner, Stefan (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","Frequency-dependent dielectric properties of 3-D-printed structured dielectrics (dielectric crystals) with engineered effective permittivity for micro-and mmWave applications are studied. Different modeling and measurement techniques for broadband dielectric properties of such 3-D-printed crystals are reviewed, their tradeoffs discussed, and individual results compared. Numerically obtained results from the plane wave expansion method (PWEM) and Floquet port scattering are compared with traveling-wave measurements in both guided and free-space setups. Furthermore, the shortcomings of effective media theories (EMTs) and resonance measurement methods are addressed and contrasted against broadband methods. Individual simulation and measurement setups are reviewed with respect to dielectric crystals with simple cubic (SC) and face-centered cubic (FCC) symmetry, with different unit cell sizes and volumetric infill fractions. Extracted effective permittivity values from PWEM and Floquet port simulations show excellent agreement with traveling-wave measurements in both guided and free-space scenarios. Furthermore, the discussed broadband methods predict and measure frequency-dependent effects that are not covered by EMTs and resonance measurement setups, highlighting the necessity to adopt more sophisticated simulation tools for the design of graded-index devices. It is shown that the effective media bandwidth of dielectric crystals depends on the respective unit cell symmetry and that FCC symmetry obtains a significantly increased bandwidth compared with SC symmetry.","3-D-printing; additive manufacturing (AM); cutoff; dielectric crystals (DC); effective media; Floquet port; Maxwell–Garnett; periodic dielectric; Permittivity measurement; permittivity modeling; plane wave expansion method (PWEM); structured dielectric","en","journal article","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:661d77ea-8952-4d97-ab88-967058918260","http://resolver.tudelft.nl/uuid:661d77ea-8952-4d97-ab88-967058918260","Highly Efficient Estimators with High Breakdown Point for Linear Models with Structured Covariance Matrices","Lopuhaä, H.P. (TU Delft Statistics)","","2023","A unified approach is provided for a method of estimation of the regression parameter in balanced linear models with a structured covariance matrix that combines a high breakdown point with high asymptotic efficiency at models with multivariate normal errors. Of main interest are linear mixed effects models, but our approach also includes several other standard multivariate models, such as multiple regression, multivariate regression, and multivariate location and scatter. Sufficient conditions are provided for the existence of the estimators and corresponding functionals, strong consistency and asymptotic normality is established, and robustness properties are derived in terms of breakdown point and influence function. All the results are obtained for general identifiable covariance structures and are established under mild conditions on the distribution of the observations, which goes far beyond models with elliptically contoured densities. Some results are new and others are more general than existing ones in the literature. In this way, results on high breakdown estimation with high efficiency in a wide variety of multivariate models are completed and improved.","Asymptotic distribution; Breakdown point; Influence function; Linear model with structured covariance; MM-estimation; Robust method","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-06","","","Statistics","","",""
"uuid:2c31913f-2230-41fc-b5e2-fbeed13beea6","http://resolver.tudelft.nl/uuid:2c31913f-2230-41fc-b5e2-fbeed13beea6","Randomized Testing of Byzantine Fault Tolerant Algorithms","Winter, Levin N. (Student TU Delft); Buşe, Florena (Student TU Delft); de Graaf, Daan (Student TU Delft); von Gleissenthall, Klaus (Vrije Universiteit Amsterdam); Kulahcioglu Ozkan, Burcu (TU Delft Software Engineering)","","2023","Byzantine fault-tolerant algorithms promise agreement on a correct value, even if a subset of processes can deviate from the algorithm arbitrarily. While these algorithms provide strong guarantees in theory, in practice, protocol bugs and implementation mistakes may still cause them to go wrong. This paper introduces ByzzFuzz, a simple yet effective method for automatically finding errors in implementations of Byzantine fault-tolerant algorithms through randomized testing. ByzzFuzz detects fault-tolerance bugs by injecting randomly generated network and process faults into their executions. To navigate the space of possible process faults, ByzzFuzz introduces small-scope message mutations which mutate the contents of the protocol messages by applying small changes to the original message either in value (e.g., by incrementing the round number) or in time (e.g., by repeating a proposal value from a previous message). We find that small-scope mutations, combined with insights from the testing and fuzzing literature, are effective at uncovering protocol logic and implementation bugs in real-world fault-tolerant systems.
We implemented ByzzFuzz and applied it to test the production implementations of two popular blockchain systems, Tendermint and Ripple, and an implementation of the seminal PBFT protocol. ByzzFuzz detected several bugs in the implementation of PBFT, a potential liveness violation in Tendermint, and materialized two theoretically described vulnerabilities in Ripple’s XRP Ledger Consensus Algorithm. Moreover, we discovered a previously unknown fault-tolerance bug in the production implementation of Ripple, which is confirmed by the developers and fixed.","Distributed consensus; Byzantine fault-tolerance; Random testing","en","journal article","","","","","","","","","","","Software Engineering","","",""
"uuid:2e551a9b-250c-4228-8a17-e9d3fc2cd11b","http://resolver.tudelft.nl/uuid:2e551a9b-250c-4228-8a17-e9d3fc2cd11b","Critical Analysis of Policy Integration Degrees between Heritage Conservation and Spatial Planning in Amsterdam and Ballarat","Tarrafa Silva, A. (TU Delft Heritage & Architecture); Pereira Roders, A. (TU Delft Heritage & Architecture); Cunha Ferreira, Teresa (Universidade do Porto); Nevzgodin, I. (TU Delft Heritage & Architecture)","","2023","The growing complexity of managing the sustainable development of cities stresses the need for interdisciplinary approaches, with a stronger articulation between different fields. The integration between heritage conservation and spatial planning has already been addressed in recent literature, ranging from a traditional sectorial perspective towards more cooperative and coordinated initiatives, occasionally resulting in integrated policies. Nevertheless, the lack of institutional and policy articulation remains among the most frequent critical governance issues unsolved. This paper unveils the integration degrees between heritage conservation and spatial planning policies in Amsterdam (The Netherlands) and Ballarat (Australia), acknowledged for local and upper governmental initiatives, such as the Belvedere Memorandum and the Imagine Ballarat project, placing both at the forefront of the roadmap to this policy integration. In-depth semi-structured interviews with municipal officials in both cities reveal that, while policy integration is aimed at, implementation remains challenging. Both cities’ heritage conservation and spatial planning fields keep operating in parallel, often in conflict, and with different perspectives on the cultural heritage commonly managed. By identifying local technicians’ challenges, this research demonstrates that policy integration between heritage conservation and spatial planning is an ongoing process that demands more effective articulation towards more sustainable and resilient cities.","policy integration; heritage conservation; spatial planning; local government","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:62a68248-d708-49ad-93f6-4fa5dc6b8453","http://resolver.tudelft.nl/uuid:62a68248-d708-49ad-93f6-4fa5dc6b8453","Closure to ""Air-Water Flows and Head Losses on Stepped Spillways with Inclined Steps""","Arosquipa-Nina, Yvan (University of Queensland); Shi, Rui (University of Queensland); Wüthrich, D. (TU Delft Hydraulic Structures and Flood Risk); Chanson, Hubert (University of Queensland)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Hydraulic Structures and Flood Risk","","",""
"uuid:2d1b9cdf-35df-4467-a95b-7642cf7394ce","http://resolver.tudelft.nl/uuid:2d1b9cdf-35df-4467-a95b-7642cf7394ce","Large diameter laterally loaded piles in sand: Numerical evaluation of soil stress paths and relevance of laboratory soil element testing","Cheng, Xiaoyang (University of Bristol); Ibraim, Erdin (University of Bristol); Liu, Haoyuan (Norwegian Geotechnical Institute); Pisano, F. (TU Delft Geo-engineering); Diambra, Andrea (University of Bristol)","","2023","This paper uses 3D numerical analyses to investigate the stress path experienced by soil elements around large diameter piles in sand subjected to monotonic drained lateral loading. Inspection of the loading-induced stresses in the soil revealed the multiaxial nature of these stress paths, which are characterised by rotation of one or more principal stress axes. Based on the outcome of the finite element analyses, typical stress paths for different soil elements around the piles are extracted. Such stress paths are then evaluated against those enabled by conventional and advanced laboratory soil element testing. It is found that a combination of tests in the Hollow Cylinder Torsional Apparatus (HCTA) can reproduce most features of the numerically identified stress paths for soil elements around the pile. Unavoidable limitations in laboratory testing are discussed as well as the major challenge in replicating the loading direction with respect to the material axes. Some guidance for the experimental implementation of these stress paths in the HCTA are provided as well as a discussion on the use of conventional experimental equipment, such as the conventional triaxial or simple shear apparatus.","Large-diameter pile; Stress path; Monotonic loading; Laboratory element testing; Finite element","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:03770abc-911c-4f95-9798-87180ab2d657","http://resolver.tudelft.nl/uuid:03770abc-911c-4f95-9798-87180ab2d657","Determination of the property boundary: A review of selected civil law jurisdictions","Çağdaş, Volkan (Yildiz Technical University); Kara, A. (TU Delft GIS Technologie); Lisec, Anka (University of Ljubljana); Paasch, Jesper M. (Aalborg University; University of Gävle); Paulsson, Jenny (KTH Royal Institute of Technology); Skovsgaard, Tanja L. (Aalborg University); Velasco, Amalia (Spanish Directorate General for Cadastre)","","2023","The boundary of real property is the fundamental element for securing rights attached to land. Countries, even with a long-standing cadastral tradition, often face the challenge of interpreting the course of a parcel boundary on the ground based on the available evidence, as data quality is very heterogeneous. Various cadastral principles and procedures have been developed for the determination of parcel boundaries in the field, which may also be associated with resolving boundary disputes. This article documents and compares the principles and procedures applied in the determination of property boundaries in selected civil law countries based on a novel conceptual model developed for that purpose. The notion of ‘boundary determination’ used in this article refers to demarcating and surveying land parcel boundaries during the initial cadastral survey and cadastral update procedures. The selected countries include Denmark and Sweden, which apply Nordic civil law; Slovenia and Turkey, which apply German civil law; and Spain, which applies Napoleonic civil law. The demarcation principles and processes applied in the different cadastral systems, the parties involved, and the evidence taken into consideration in these processes are described and compared. The main aim is to contribute to the documentation of the reasoning applied to the property boundary determination in the selected civil law countries.","Boundary determination; Cadastre; Land; Property boundary; Real property","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","GIS Technologie","","",""
"uuid:0393955e-d3ac-475e-b37a-dc1733d2e810","http://resolver.tudelft.nl/uuid:0393955e-d3ac-475e-b37a-dc1733d2e810","The effect of interactions between failure mechanisms on the reliability of flood defenses","Pol, J.C. (TU Delft Hydraulic Structures and Flood Risk; HKV Consultant); Kindermann, P.E. (HKV Consultants); van der Krogt, M.G. (TU Delft Hydraulic Structures and Flood Risk; Deltares); van Bergeijk, Vera M. (University of Twente); Remmerswaal, G. (TU Delft Geo-engineering; Deltares); Kanning, W. (TU Delft Hydraulic Structures and Flood Risk; Deltares); Jonkman, Sebastiaan N. (TU Delft Hydraulic Structures and Flood Risk); Kok, M. (TU Delft Hydraulic Structures and Flood Risk; HKV Consultants)","","2023","Structural reliability analysis often considers failure mechanisms as correlated but non-interacting processes. Interacting failure mechanisms affect each others performance, and thereby the system reliability. We describe such interactions in the context of flood defenses, and analyze under which conditions such interactions have a large impact on reliability using a Monte Carlo-based quantification method. We provide simple examples and an application to levee failure due to landward slope instability and backward erosion piping (BEP). The examples show that the largest interaction effects are expected when the trigger mechanism is relatively likely to occur and the affected mechanism has a relatively large contribution to the system reliability. For the studied levee example, interactions between slope instability and BEP increased the failure probability up to a factor 4. Implications for the assessment and design of flood defenses are discussed.","Reliability; Interaction; Failure mechanisms; Flood defenses; Slope instability; Backward erosion piping","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:39ad271d-9bbe-4240-804c-0e1589c988d2","http://resolver.tudelft.nl/uuid:39ad271d-9bbe-4240-804c-0e1589c988d2","The existence and uniqueness of solutions for kernel-based system identification","Khosravi, M. (TU Delft Team Tamas Keviczky); Smith, Roy S. (ETH Zürich)","","2023","The notion of reproducing kernel Hilbert space (RKHS) has emerged in system identification during the past decade. In the resulting framework, the impulse response estimation problem is formulated as a regularized optimization defined on an infinite-dimensional RKHS consisting of stable impulse responses. The consequent estimation problem is well-defined under the central assumption that the convolution operators restricted to the RKHS are continuous linear functionals. Moreover, according to this assumption, the representer theorem hold, and therefore, the impulse response can be estimated by solving a finite-dimensional program. Thus, the continuity feature plays a significant role in kernel-based system identification. We show that this central assumption is guaranteed to be satisfied in considerably general situations, namely when the input signal is bounded, the kernel is an integrable function, and in the case of continuous-time dynamics, continuous. Furthermore, the strong convexity of the optimization problem and the continuity property of the convolution operators imply that the kernel-based system identification admits a unique solution. Consequently, it follows that kernel-based system identification is a well-defined approach.","Existence and uniqueness of solution; Integrable kernels; Kernel-based methods; System identification","en","journal article","","","","","","","","","","","Team Tamas Keviczky","","",""
"uuid:46f88883-cbc2-4de7-807c-ae3706b8219d","http://resolver.tudelft.nl/uuid:46f88883-cbc2-4de7-807c-ae3706b8219d","Adaptation through prediction: multisensory active inference torque control","Meo, Cristian (Student TU Delft); Franzese, G. (TU Delft Learning & Autonomous Control); Pezzato, C. (TU Delft Robot Dynamics); Spahn, M. (TU Delft Learning & Autonomous Control); Lanillos, Pablo (Radboud Universiteit Nijmegen)","","2023","Adaptation to external and internal changes is of major importance for robotic systems in uncertain environments. Here, we present a novel multisensory active inference (AIF) torque controller for industrial arms that shows how prediction can be used to resolve adaptation. Our controller, inspired by the predictive brain hypothesis, improves the capabilities of current AIF approaches by incorporating learning and multimodal integration of low- and high-dimensional sensor inputs (e.g., raw images) while simplifying the architecture. We performed a systematic evaluation of our model on a 7DoF Franka Emika Panda robot arm by comparing its behavior with previous AIF baselines and classic controllers, analyzing both qualitatively and quantitatively adaptation capabilities and control accuracy. The results showed improved control accuracy in goal-directed reaching with high noise rejection due to multimodal filtering, and adaptability to dynamical inertial changes, elasticity constraints, and human disturbances without the need to relearn the model or parameter retuning.","Adaptation models; Inference algorithms; Mathematical models; Robot sensing systems; Robots; State estimation; Torque","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-03","","","Learning & Autonomous Control","","",""
"uuid:fef66e9a-eb54-481d-94e3-f1ed0a1812cd","http://resolver.tudelft.nl/uuid:fef66e9a-eb54-481d-94e3-f1ed0a1812cd","P-satI-D Shape Regulation of Soft Robots","Pustina, P. (Sapienza University of Rome); Borja, Pablo (Plymouth University); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); De Luca, Alessandro (Sapienza University of Rome)","","2023","Soft robots are intrinsically underactuated mechanical systems that operate under uncertainties and disturbances. In these conditions, this letter proposes two versions of PID-like control laws with a saturated integral action for the particularly challenging shape regulation task. The closed-loop system is asymptotically stabilized and matched constant disturbances are rejected using a very reduced amount of system information for control implementation. Stability is assessed on the underactuated dynamic model through the Invariant Set Theorem for two relevant classes of soft robots, i.e., elastically decoupled and elastically dominated soft robots. Extensive simulation results validate the proposed controllers.","Gold; Modeling, Control, and Learning for Soft Robots; Motion Control; Regulation; Regulators; Robots; Shape; Soft robotics; Symmetric matrices; Underactuated Robots","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:74cd6ebe-fc6e-4827-9a84-eb1fba059c97","http://resolver.tudelft.nl/uuid:74cd6ebe-fc6e-4827-9a84-eb1fba059c97","In Real Estate Investment We Trust: State De-Risking and the Ownership of Listed US and German Residential Real Estate Investment Trusts","Aalbers, Manuel (Katholieke Universiteit Leuven); Taylor, Zac (TU Delft Urban Development Management; Katholieke Universiteit Leuven); Klinge, Tobias (Katholieke Universiteit Leuven); Fernandez, Rodrigo (Trinity College Dublin; SOMO Centre for Research on Multinational Corporations)","","2023"," Real estate investment trusts (REITs) have been around since 1960 but have only become major players in housing markets in the last twenty years. The current and ongoing wave of residential REIT (R-REIT) expansion has attracted significant scholarly and broader public interest. This article examines how real estate, finance, and the state are configured in relation to each other through R-REITs. While much of the housing financialization literature has focused on the real estate/state axis of this relationship, we explore the underexamined connections between the real estate/finance axis and the finance/state axis of the real estate–finance–state triangle. We analyze the financial accounts of the world’s fifteen largest publicly traded R-REITs and R-REIT–like funds in the two largest markets: the United States and Germany. Our findings demonstrate how the ownership of R-REIT stock is remarkably homogeneous: the largest shareholders in each of the studied R-REITs are the three largest index exchange-traded funds, which are heavily backed by pension fund capital. For these investors, it is important that R-REITs provide a healthy return on investment at the lowest possible risk. The investors require the state, in its various guises, to guarantee attractive risk-adjusted returns on R-REITs investments. We identify six dimensions of state de-risking in this context, deepening our understanding of the role of the state in housing financialization. It is the state that creates the trust in real estate investment trusts, and it thus is what generates the investment in real estate investment trusts.","housing; financialization; real estate investment trust (REIT); exchange traded fund (ETF); institutional investors; asset class","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-20","","","Urban Development Management","","",""
"uuid:850245df-f061-469e-972b-223be8853df8","http://resolver.tudelft.nl/uuid:850245df-f061-469e-972b-223be8853df8","A 90.6% Efficient, 0.333 W/mm2 Power Density Direct 48V-to-1V Dual Inductor Hybrid Converter with Delay-line Based V2D Controller","Hua, Yuan (Student TU Delft); Lu, Qi (Student TU Delft); Li, Shuangmu (Student TU Delft); Zhao, Bo (Zhejiang University); Du, S. (TU Delft Electronic Instrumentation)","","2023","This brief presents a 48V-to-1V 10-level dual inductor hybrid converter (DIHC) containing 11 on-chip switches and an off-chip gallium nitride (GaN) switch. Thanks to the 10-level Dickson switched-capacitor (SC) circuit, most of the voltage stress will be taken over by off-chip capacitors, which reduces the voltage stress of each switch to 4.8 V and takes full advantage of the voltage pressure on the 5-V on-chip transistors. This proposed structure is implemented in a 0.18- $\mu \text{m}$ BCD process to convert 48-V input to 1-V output with up to 18-A current load. The post-layout simulations show that a peak power efficiency of 90.6% can be achieved at 5.2-A loading and the power density is about 0.333 $W/mm^{2}$ considering the power stage area.","hybrid dc-dc converter; 10-level; 48V-to-1V; GaN switch; 5-V on-chip transistors","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-27","","","Electronic Instrumentation","","",""
"uuid:75529ca8-0b89-44bf-bfea-cd5f622a82a0","http://resolver.tudelft.nl/uuid:75529ca8-0b89-44bf-bfea-cd5f622a82a0","Improved Winding Losses Calculation based on Bessel Functions","Luo, T. (TU Delft High Voltage Technology Group); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Vaessen, P.T.M. (TU Delft High Voltage Technology Group)","","2023","In this article, an approach combining semi-empirical equations and the method of images is proposed for round conductor layer windings with un-gapped core. The new equation for proximity effect can convert the constant field strength from the magneto-motive force (MMF) across the core window into a frequency-dependent uniform background magnetic field strength, which can take partly the interaction between conductors into account. Geometric factors are introduced by fitting the finite element method (FEM) results to improve the accuracy. The method of images is used to calculate the field strength in order to counteract the impact of the 2-D edge effect. The new method is compared with the 2-D FEM, analytical methods, and is also validated by measurements with EE core transformers. The proposed method shows good accuracy (< 10% error) compared with 2-D FEM for both high and low porosity factor windings. Therefore, it can handle more winding configurations than other 1-D analytical methods.","Conductors; Eddy current; Finite element analysis; losses; Magnetic cores; Magnetic fields; Mathematical models; Proximity effects; skin effect; transformer winding; Windings","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-15","","","High Voltage Technology Group","","",""
"uuid:fc9dbef5-0dce-4163-8f55-aa97f38adcda","http://resolver.tudelft.nl/uuid:fc9dbef5-0dce-4163-8f55-aa97f38adcda","Liability Factors and Conceptual Framework for Contracts to Manage Design for Digital Fabrication in Construction Projects","Ng, Ming Shan (ETH Zürich); Hall, Daniel M. (TU Delft Design & Construction Management); Hsieh, Shang Hsien (National Taiwan University)","","2023","The adoption of digital fabrication - fabrication based on digital design - in the early design phase in projects requires a thorough understanding of the liability factors to design the contract. This paper addresses this issue using a two-stage research approach. First, a case study research maps the process from digital design to digital fabrication in an existing project that adopted digital fabrication using the design-bid-build model. Second, a three-round Delphi survey of 14 stakeholders of that project identifies and ranks 163 liability factors under eight categories: actors, resources, conditions, attributes, processes, artifacts, values, and risks. The resources of management capability and building information modeling (BIM) expertise rank as the two most important liability factors. Building on these findings, the paper presents a conceptual framework for contract design and discusses how the existing project delivery models - design-bid-build, construction management, design-build, and integrated project delivery (IPD) - can consider the liability factors in contracts.","","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:4e5ab45d-9288-474b-bcb3-5b63b28d10e1","http://resolver.tudelft.nl/uuid:4e5ab45d-9288-474b-bcb3-5b63b28d10e1","Structuring the water quality policy problem: Using Q methodology to explore discourses in the Brantas River basin","Houser, R.S. (TU Delft Water Resources); Pramana, K.E.R. (TU Delft Water Resources); Ertsen, M.W. (TU Delft Water Resources)","","2023","Recognizing the interrelatedness of water use and conceptual value of IWRM, progressive water resource management systems are moving beyond hierarchical arrangements toward more integrated networks. Increasing calls for participation recognize the value of broadened perspectives that provide both technical expertise as well as social, cultural, and administrative knowledge. Moreover, the call for evidence-based policy of '00s has been tempered by recognition of the political nature of data and science. As such, water decision-makers striving to coproduce and employ shared knowledge must grapple with integrating inputs from diverse participant groups to characterize policy problems and identify effective and feasible solutions. Participatory mandates, coordination bodies, and collaborative networks have emerged to facilitate such integration, and their effective cooperation and alignment relies upon some degree of shared purpose, rather than command and control. But guidance is limited with respect to how to accomplish such integrative aims, including how to support discussions across sectors and silos of practice in order to foster better understanding regarding the problems a policy network collectively aims to address. Motivated by observations within the discourse on water quality in the Brantas River basin in Indonesia, this research explores alternative concepts and problem structures regarding river health via Q methodology. Q methodology, an approach that uses factor analysis to explore human subjectivity, is applied to explore conceptualizations of water quality and the structures of the “water quality problem” in the Brantas. The results show that different groups of perspectives emerge regarding the concept itself, as well as characterization of the current condition of the Brantas. Surprisingly, these variant perspectives do not follow oft-cited government-business-civil society divisions. Moreover, the emergent perspectives demonstrate which aspects of the policy problem are consistent and which are contested, suggesting several starting points for early collaboration and several areas that require further research and facilitated deliberation. The results also offer participants in the collaborative network greater appreciation of the various perspectives and definitions in use, within and across organizations, when discussing water quality.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:5b2f3ffe-7823-4d56-b3ff-23aea0c298b2","http://resolver.tudelft.nl/uuid:5b2f3ffe-7823-4d56-b3ff-23aea0c298b2","Coordination and Optimization Control Framework for Vessels Platooning in Inland Waterborne Transportation System","Tao, W. (TU Delft Transport Engineering and Logistics; Wuhan University of Technology); Zhu, Man (Wuhan University of Technology); Chen, Shengyong (Tianjin University of Technology); Cheng, Xu (Smart Innovation Norway); Wen, Yuanqiao (Wuhan University of Technology); Zhang, Weidong (Shanghai Jiao Tong University); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Pang, Y. (TU Delft Transport Engineering and Logistics)","","2023","Vessels sailing in a single platoon could reduce resistance from the perspective of the whole platoon and the individual vessel, and contribute to improving energy benefits. Moreover, transportation energy costs and traffic efficiency are essential indicators for measuring waterborne transportation systems. We attempt to minimize transportation energy costs by coordinating platoon formation using a distributed framework of controllers. A large-scale coordinated vessel platooning program is proposed to minimize transportation energy costs and optimize traffic efficiency while guaranteeing safety. The control framework covers routing, energy consumption-dependent cooperative platooning decision and speed optimization based on graph search algorithm, cluster analysis, optimal control approach and model predictive control. Firstly, a local scheduling strategy combined with the leader vessel selection algorithm is adopted. Furthermore, we used cluster analysis to create a series of mergeable vessel platooning sets. Then, we used the mathematical planning method and a two-step hybrid optimal control approach to calculate the improvement and optimization of each vessel platoon's path and speed. Finally, the scalability of the scheduling strategy is elucidated. In a simulation of large scale inland waterborne network, savings surpassed 3.5% when six hundreds vessels participated in the system. These simulation results reveal that the scheduling strategy coordinating vessels into vessel platooning, which improves transportation efficiency as well as descends cost, comparing to a fixed origin route in the waterway network.","cooperative control; Costs; Energy consumption; energy consumption; Merging; Optimization; Planning; Safety; scheduling scheme; Transportation; Vessel platooning; waterborne transportation system","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-11","","","Transport Engineering and Logistics","","",""
"uuid:c898a66e-b760-4528-aaa5-e82212694e09","http://resolver.tudelft.nl/uuid:c898a66e-b760-4528-aaa5-e82212694e09","Influence of fiber orientation on the mechanical responses of engineering cementitious composite (ECC) under various loading conditions","Tawfek, Abdullah M. (Shandong University; Sana'a University); Ge, Zhi (Shandong University); Yuan, Huaqiang (Shandong University); Zhang, Ning (Tsinghua University); Zhang, Hongzhi (Shandong University); Ling, Yifeng (Shandong University); Guan, Yanhua (Shandong University); Šavija, B. (TU Delft Materials and Environment)","","2023","The mechanical performance of engineered cementitious composite (ECC) depends greatly on fiber orientation and distribution. In this paper, the effect of fiber orientation on ECC's mechanical properties was investigated using two different casting methods: a flow-induced casting was used to enhance the fiber orientation within ECC mixture and compared with the conventional casting. The fiber orientation was quantified using scanning electron microscope (SEM) and image processing. Mechanical tests on the specimens with various fiber orientations were performed. The failure processes of ECC specimens under compression and tensile tests were analyzed using digital image correlation (DIC) technique. The proposed flow-induced casting enhanced the fiber alignment in the flow direction. Consequently, ECC's mechanical properties were significantly improved with more finer cracks under uniaxial loading. In conclusion, the proposed flow-induced casting can be adopted as an effective approach to improve fiber bridging efficiency in ECC.","Engineering cementitious composite; Fiber orientation; Mechanical behavior; Digital image correlation; Crack width","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Materials and Environment","","",""
"uuid:22efc57b-9411-4b75-b2b0-274858635660","http://resolver.tudelft.nl/uuid:22efc57b-9411-4b75-b2b0-274858635660","How Gaps are Created during Anticipation of Lane Changes","Chen, Kequan (Southeast University); Knoop, V.L. (TU Delft Transport and Planning); Liu, Pan (Southeast University); Li, Zhibin (Southeast University); Wang, Yuxuan (Southeast University)","","2023","The pre-insertion process called anticipation is an essential component of a lane-changing manoeuvre. There is little empirical research regarding the impact of anticipation. Thus, this paper aims to explore the behaviour of the new follower (NF) in the target lane when it encounters anticipation by using new trajectory datasets. The changing magnitude of the reaction pattern is proposed to identify the NF’s behaviour. We find that the anticipation significantly affects the NF’s movement in terms of gap creation and speed reduction. Then, we conduct a detailed analysis of critical variables to reveal their relationship with the NF’s behaviour. Following this, we develop binary logistic models to predict the NF’s behaviour, resulting in a good performance. It also suggests that the NF’s behaviour is highly related to the anticipation-related variables. The transferability test results show that this model can be directly used in different locations and times with satisfactory accuracy.","Anticipation behavilour; lane-changing impact; car-following behaviour; microscopic trajectory data","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:5d1401ab-b41d-4433-9f9d-16ab6bf9515b","http://resolver.tudelft.nl/uuid:5d1401ab-b41d-4433-9f9d-16ab6bf9515b","Simplex-based Proximal Multicategory Support Vector Machine","Fu, Sheng (National University of Singapore); Chen, P. (TU Delft Statistics); Ye, Zhisheng (National University of Singapore)","","2023","The multicategory support vector machine (MSVM) has been widely used for multicategory classification. Despite its widespread popularity, regular MSVM cannot provide direct probabilistic results and suffers from excessive computational cost, as it is formulated on the hinge loss function and it solves a sum-to-zero constrained quadratic programming problem. In this study, we propose a general refinement of regular MSVM, termed as the simplex-based proximal MSVM (SPMSVM). Our SPMSVM uses a novel family of squared error loss functions in place of the hinge loss and it removes the explicit sum-to-zero constraint by the simplex structure. Consequently, the SPMSVM only requires solving an unconstrained linear system, leading to closed-form solutions. In addition, the SPMSVM can be cast into a weighted regression problem so that it is scalable for large-scale applications. Moreover, the SPMSVM naturally yields an estimate of the conditional category probability, which is more informative than regular MSVM. Theoretically, the SPMSVM is shown to include many existing MSVMs as its special cases, and its asymptotic and finite-sample statistical properties are well established. Simulations and real examples show that the proposed SPMSVM is a stable, scalable and competitive classifier.","Category probability; fisher consistency; kernel learning; multicategory classification; universal consistency","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-06","","","Statistics","","",""
"uuid:9b7805bf-78db-479d-a2fc-5b59b2508ce2","http://resolver.tudelft.nl/uuid:9b7805bf-78db-479d-a2fc-5b59b2508ce2","Extracting small time-lapse traveltime changes in a reservoir using primaries and internal multiples after Marchenko-based target zone isolation","van IJsseldijk, J.E. (TU Delft Applied Geophysics and Petrophysics); van der Neut, J.R. (TU Delft ImPhys/Medical Imaging); Thorbecke, J.W. (TU Delft Applied Geophysics and Petrophysics); Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics)","","2023","Geophysical monitoring of subsurface reservoirs relies on detecting small changes in the seismic response between a baseline and monitor study. However, internal multiples, related to the over- and underburden, can obstruct the view of the target response, hence complicating the time-lapse analysis. To retrieve a response that is free from the over- and underburden effects, the data-driven Marchenko method is used. This method effectively isolates the target response, which can then be used to extract more precise time-lapse changes. In addition, the method also reveals target-related multiples that probe the reservoir more than once, which further defines the changes in the reservoir. To verify the effectiveness of the method, a numerical example is constructed. This test finds that, when using the isolated target response, the observed time differences resemble the expected time differences in the reservoir. Moreover, the results obtained with target-related multiples also benefit from the Marchenko-based isolation of the reservoir. It is, therefore, concluded that this method has the potential to observe dynamic changes in the subsurface with increased accuracy.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-27","","","Applied Geophysics and Petrophysics","","",""
"uuid:689b18b0-7fe7-49d2-aa2f-e05f77ffcf2f","http://resolver.tudelft.nl/uuid:689b18b0-7fe7-49d2-aa2f-e05f77ffcf2f","Size-modified Poisson–Nernst–Planck approach for modeling a local electrode environment in CO2 electrolysis†","Butt, E.N. (TU Delft Complex Fluid Processing); Padding, J.T. (TU Delft Complex Fluid Processing); Hartkamp, Remco (TU Delft Complex Fluid Processing)","","2023","Electrochemical reduction of CO2 heavily depends on the reaction conditions found near the electrode surface. These local conditions are affected by phenomena such as electric double layer formation and steric effects of the solution species, which in turn impact the passage of CO2 molecules to the catalytic surface. Most models for CO2 reduction ignore these effects, leading to an incomplete understanding of the local electrode environment. In this work, we present a modeling approach consisting of a set of size-modified Poisson–Nernst–Planck equations and the Frumkin interpretation of Tafel kinetics. We introduce a modification to the steric effects inside the transport equations which results in more realistic concentration profiles. We also show how the modification lends the model numerical stability without adopting any separate stabilization technique. The model can replicate experimental current densities and faradaic efficiencies till −1.5 vs. SHE/V of applied electrode potential. We also show the utility of this approach for systems operating at elevated CO2 pressures. Using Frumkin-corrected kinetics gels well with the theoretical understanding of the double layer. Hence, this work provides a sound mechanistic understanding of the CO2 reduction process, from which new insights on key performance controlling parameters can be obtained.","","en","journal article","","","","","","","","","","","Complex Fluid Processing","","",""
"uuid:66921a78-4fd4-4f7c-b9a6-05cddfd7ae04","http://resolver.tudelft.nl/uuid:66921a78-4fd4-4f7c-b9a6-05cddfd7ae04","Procedural Generation of Narrative Worlds","Balint, J.T. (TU Delft Computer Graphics and Visualisation; Stonehill College); Bidarra, Rafael (TU Delft Computer Graphics and Visualisation)","","2023","A narrative world typically consists of several interrelated locations that, all together, fully support enacting a given story. For this, each location in a narrative world features all the objects as required there by the narrative, as well as a variety of other objects that plausibly describe or decorate the location. Procedural generation of narrative worlds poses many challenges, including that, First, it cannot lean only on domain knowledge (e.g., patterns of objects commonly found in typical locations), and, second, it involves a temporal dimension, which introduces dynamic fluctuations of objects between locations. In this article, we present a novel approach for the procedural generation of narrative worlds, following two stages: first, a narrative world mold is generated (only once) for a given story; second, the narrative world mold is used to create one (or more) possible narrative worlds for that story. For each story, its narrative world mold integrates spatio-temporal descriptions of its locations with the object semantics and the domain knowledge previously acquired on typical locations. We describe how a narrative world mold can be generated, as well as how it can be fed to existing procedural generation methods, to create a variety of narrative worlds that fit that narrative. We evaluate our own implementation of this approach, performing a number of experiments that illustrate both the expressive power of narrative world molds and their ability to steer the generation of narrative worlds.","Computational Narratives; Fluctuations; Games; Layout; Narrative World; Narrative World Mold; Natural languages; Pipelines; Procedural Content Generation; Semantics; Visualization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-16","","","Computer Graphics and Visualisation","","",""
"uuid:72927964-1336-4d7e-bfcb-7f3710be1249","http://resolver.tudelft.nl/uuid:72927964-1336-4d7e-bfcb-7f3710be1249","The effect of pH on the corrosion protection of aluminum alloys in lithium-carbonate-containing NaCl solutions","Michailidou, E. (AkzoNobel); Visser, P. (AkzoNobel); Mol, J.M.C. (TU Delft Team Arjan Mol); Kosari, A. (TU Delft Team Yaiza Gonzalez Garcia); Terryn, H.A. (Vrije Universiteit Brussel); Baert, K. (Vrije Universiteit Brussel); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia)","","2023","The corrosion inhibiting effect of lithium carbonate has been studied over the pH range relevant for atmospheric corrosion conditions at the polymer/metal interface. It is demonstrated that lithium carbonate offers optimum corrosion protection under moderately alkaline conditions attributed to the formation of a protective layer identified as aluminum-lithium layered double hydroxide. It is proposed that an active-to-passive transition occurs in the presence of lithium carbonate under moderately alkaline conditions where anodic dissolution of the aluminum matrix is an important step prior to the formation of the protective layer. After prolonged exposure, the protective layer is uniformly formed on the surface of AA2024-T3 and lithium containing AA2198-T8 covering both the matrix and active intermetallic particles thus hindering further corrosion reactions.","Aluminium; Lithium carbonate; Potentiodynamic polarisation; Raman Spectroscopy; SEM; Passivation","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:60e66ed6-7e2c-48b8-876a-08c09fce3b6e","http://resolver.tudelft.nl/uuid:60e66ed6-7e2c-48b8-876a-08c09fce3b6e","Developing health indicators and RUL prognostics for systems with few failure instances and varying operating conditions using a LSTM autoencoder","de Pater, I.I. (TU Delft Air Transport & Operations); Mitici, M.A. (TU Delft Air Transport & Operations; Universiteit Utrecht)","","2023","Most Remaining Useful Life (RUL) prognostics are obtained using supervised learning models trained with many labelled data samples (i.e., the true RUL is known). In aviation, however, aircraft systems are often preventively replaced before failure. There are thus very few labelled data samples available. We therefore propose a Long Short-Term Memory (LSTM) autoencoder with attention to develop health indicators for an aircraft system instead. This autoencoder is trained with unlabelled data samples (i.e., the true RUL is unknown). Since aircraft fly under various operating conditions (varying altitude, speed, etc.), these conditions are also integrated in the autoencoder. We show that the consideration of the operating conditions leads to robust health indicators and improves significantly the monotonicity, trendability and prognosability of these indicators. These health indicators are further used to predict the RUL of the aircraft system using a similarity-based matching approach. We illustrate our approach for turbofan engines. We show that the consideration of the operating conditions improves the monotonicity of the health indicators by 97%. Also, our approach leads to accurate RUL estimates with a Root Mean Square Error (RMSE) of 2.67 flights only. Moreover, a 19% reduction in the RMSE is obtained using our approach in comparison to existing supervised learning models.","Attention; Autoencoder; Health indicators; Remaining Useful Life prognostics; Unlabelled data samples; Varying operating conditions","en","journal article","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:29808a6b-f2a6-4462-9de0-78b59c6065cc","http://resolver.tudelft.nl/uuid:29808a6b-f2a6-4462-9de0-78b59c6065cc","Detecting darting out pedestrians with occlusion aware sensor fusion of radar and stereo camera","Palffy, A. (TU Delft Intelligent Vehicles); Kooij, J.F.P. (TU Delft Intelligent Vehicles); Gavrila, D. (TU Delft Intelligent Vehicles)","","2023","Early and accurate detection of crossing pedestrians is crucial in automated driving in order to perform timely emergency manoeuvres. However, this is a difficult task in urban scenarios where pedestrians are often occluded (not visible) behind objects, e.g., other parked vehicles. We propose an occlusion aware fusion of stereo camera and radar sensors to address scenarios with crossing pedestrians behind such parked vehicles. Our proposed method adapts both the expected rate and properties of detections in different areas according to the visibility of the sensors. In our experiments on a real-world dataset, we show that the proposed occlusion aware fusion of radar and stereo camera detects the crossing pedestrians on average 0.26 seconds earlier than using the camera alone, and 0.15 seconds earlier than fusing the sensors without occlusion information. Our dataset containing 501 relevant recordings of pedestrians behind vehicles will be publicly available on our website for non-commercial, scientific use.","Automobiles; Cameras; Intelligent vehicles; Laser radar; Radar; Radar detection; Radar tracking","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-08","","","Intelligent Vehicles","","",""
"uuid:f7443cf2-593f-43ea-8a75-7dd4e38572e8","http://resolver.tudelft.nl/uuid:f7443cf2-593f-43ea-8a75-7dd4e38572e8","The limited regional employment benefits of XXL-logistics centres in the Netherlands","Nefs, Merten (TU Delft Spatial Planning and Strategy); van Haaren, Jeroen (Erasmus Universiteit Rotterdam); van Oort, Frank (Erasmus Universiteit Rotterdam)","","2023","In the Netherlands, a shift occurred over the last two decades from positively framed spatial-economic policies promoting the development of extra-large distribution centres (DCs) and their claimed positive employment benefits towards a critical stance questioning the benefits of such policies, fuelled by the connected debate regarding the extensive land use and environmental impacts of DCs. In this paper, we unravel the assumed regional employment benefits of DCs into (i) direct employment benefits within the DCs, (ii) indirect employment benefits in the supply chain, and (iii) employment benefits from structural changes in regional production systems around DCs. We analyse these benefits using detailed business microdata and logistics-building data over a 20-year timeframe in the East-Southeast freight corridor (from Rotterdam to Germany). In the corridor, logistics footprint has doubled, and average DC size has tripled in this timeframe. We demonstrate that, although part of the hypothesised benefits can be spatially identified, employment benefits of new DCs decrease over time, due in part to automation and use of migrant labour. The expected co-agglomeration of manufacturing near DCs does not occur structurally, and although DC-favouring regions have successfully established competitive logistics business ecosystems, they can be vulnerable to a spatial-economic lock-in, relying primarily on the logistics sector. The spatial-economic policy narratives framing DCs as employment catalysts are thus of limited validity.","Logistics employment; XXL distribution center; Hinterland region; Spatial policy; Employment; Corridor","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:50100575-2534-4485-865d-2b77297325bb","http://resolver.tudelft.nl/uuid:50100575-2534-4485-865d-2b77297325bb","Assessment of the Croatian Open Data Portal Using User-Oriented Metrics","Miletić, Andrea (University of Zagreb); Kuveždić Divjak, Ana (University of Zagreb); Welle Donker, F.M. (TU Delft Urban Data Science)","","2023","Open data portals are web services that serve as a central access point for all government-published open data and can exist at local, regional, national, and international levels. They are an important element of most open data initiatives that have enabled a large amount of government data to be widely available. However, data quantity and quality are not the only aspects that should be considered when publishing data. To improve the reusability of data and to achieve greater impact and benefits from open data, it is important to consider user-oriented aspects of the portal management, discovery, and use of data (e.g., organizing the portal in a user-centric way, providing accurate metadata, using a standardized and open data format, etc.). In this paper, we adopted the metrics proposed by the European Commission to assess compliance of the Croatian Open Data Portal with 10 user-oriented principles that open data portals should implement in terms of sustainability and added value. While the results show the government’s efforts in publishing data, some aspects such as better collaboration with data providers and other data portals, offering different visualization tools, etc. need to be improved to achieve active use and impact.","Open data; open data portal; User Experience; data reuse; assessment","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:69d8a57d-f4ea-4ac5-9a0c-3fcb8290650f","http://resolver.tudelft.nl/uuid:69d8a57d-f4ea-4ac5-9a0c-3fcb8290650f","On McEliece-Type Cryptosystems Using Self-Dual Codes With Large Minimum Weight","Mariot, L. (University of Twente); Picek, S. (TU Delft Cyber Security; Radboud Universiteit Nijmegen); R Yorgova, R.A. (TU Delft Cyber Security)","","2023","One of the Round 3 Finalists in the NIST post-quantum cryptography call is the Classic McEliece cryptosystem. Although it is one of the most secure cryptosystems, the large size of its public key remains a practical limitation. In this work, we propose a McEliece-type cryptosystem using large minimum distance error-correcting codes derived from self-dual codes. To the best of our knowledge, such codes have not been implemented in a code-based cryptosystem until now. Moreover, we modify the decryption step of the system by introducing a decryption algorithm based on two private keys. We determine the parameters of binary codes with large minimum distance, which, if implemented into a McEliece-type cryptosystem, would provide a security level respectively of 80, 128, and 256 bits. For the 80-bit security case, we construct a large minimum distance self-dual code of length 1064, and use it to derive a random punctured code to be used in the corresponding McEliece-type cryptosystem. Compared to the original McEliece cryptosystem, the key size is reduced by about 38.5%, although an optimal decoding set is yet to be constructed to make the new system fully defined and usable.","Cryptograph; Security; Decoding; Encryption; Codecs; Linear codes; Generators; Codes; Post-quantum cryptography; McEliece cryptosystem; self-dual codes","en","journal article","","","","","","","","","","","Cyber Security","","",""
"uuid:5e4ed2bd-3919-4140-9239-7b2bdbb8c5ef","http://resolver.tudelft.nl/uuid:5e4ed2bd-3919-4140-9239-7b2bdbb8c5ef","New thermosphere neutral mass density and crosswind datasets from CHAMP, GRACE, and GRACE-FO","Siemes, C. (TU Delft Astrodynamics & Space Missions); Borries, Claudia (Institute for Solar-Terrestrial Physics); Bruinsma, S. (CNES Centre National d'Etudes Spatiales); Fernandez-Gomez, I. (Institute for Solar-Terrestrial Physics); Hladczuk, N.A. (TU Delft Astrodynamics & Space Missions); van den IJssel, J.A.A. (TU Delft Astrodynamics & Space Missions); Kodikara, T. (Institute for Solar-Terrestrial Physics); Vielberg, K. (Universität Bonn); Visser, P.N.A.M. (TU Delft Space Engineering)","","2023","We present new neutral mass density and crosswind observations for the CHAMP, GRACE, and GRACE-FO missions, filling the last gaps in our database of accelerometer-derived thermosphere observations. For consistency, we processed the data over the entire lifetime of these missions, noting that the results for GRACE in 2011- 2017 and GRACE-FO are entirely new. All accelerometer data are newly calibrated. We modeled the temperature-induced bias variations for the GRACE accelerometer data to counter the detrimental effects of the accelerometer thermal control deactivation in April 2011. Further, we developed a new radiation pressure model, which uses ray tracing to account for shadowing and multiple reflections and calculates the satellitea's thermal emissions based on the illumination history. The advances in calibration and radiation pressure modeling are essential when the radiation pressure acceleration is significant compared to the aerodynamic one above 450 km altitude during low solar activity, where the GRACE and GRACE-FO satellites spent a considerable fraction of their mission lifetime. The mean of the new density observations changes only marginally, but their standard deviation shows a substantial reduction compared to thermosphere models, up to 15% for GRACE in 2009. The mean and standard deviation of the new GRACE-FO density observations are in good agreement with the GRACE observations. The GRACE and CHAMP crosswind observations agree well with the physics-based TIE-GCM winds, particularly the polar wind patterns. The mean observed crosswind is a few tens of m·s-1 larger than the model one, which we attribute primarily to the crosswind errors being positive by the definition of the retrieval algorithm. The correlation between observed and model crosswind is about 60%, except for GRACE in 2004- 2011 when the signal was too small to retrieve crosswinds reliably.","","en","journal article","","","","","","","","","","Space Engineering","Astrodynamics & Space Missions","","",""
"uuid:b13bcd40-1a6e-4308-ad29-b616d4617813","http://resolver.tudelft.nl/uuid:b13bcd40-1a6e-4308-ad29-b616d4617813","Sizing of Hybrid Power Systems for Off-Grid Applications Using Airborne Wind Energy","Reuchlin, S.P.A. (Student TU Delft); Joshi, R. (TU Delft Wind Energy); Schmehl, R. (TU Delft Wind Energy)","","2023","The majority of remote locations not connected to the main electricity grid rely on diesel generators to provide electrical power. High fuel transportation costs and significant carbon emissions have motivated the development and installation of hybrid power systems using renewable energy such these locations. Because wind and solar energy is intermittent, such sources are usually combined with energy storage for a more stable power supply. This paper presents a modelling and sizing framework for off-grid hybrid power systems using airborne wind energy, solar PV, batteries and diesel generators. The framework is based on hourly time-series data of wind resources from the ERA5 reanalysis dataset and solar resources from the National Solar Radiation Database maintained by NREL. The load data also include hourly time series generated using a combination of modelled and real-life data from the ENTSO-E platform maintained by the European Network of Transmission System Operators for Electricity. The backbone of the framework is a strategy for the sizing of hybrid power system components, which aims to minimise the levelised cost of electricity. A soft-wing ground-generation-based AWE system was modelled based on the specifications provided by Kitepower B.V. The power curve was computed by optimising the operation of the system using a quasi-steady model. The solar PV modules, battery systems and diesel generator models were based on the specifications from publicly available off-the-shelf solutions. The source code of the framework in the MATLAB environment was made available through a GitHub repository. For the representation of results, a hypothetical case study of an off-grid military training camp located in Marseille, France, was described. The results show that significant reductions in the cost of electricity were possible by shifting from purely diesel-based electricity generation to an hybrid power system comprising airborne wind energy, solar PV, batteries and diesel.","hybrid power systems; airborne wind energy; fluid-structure interaction; vortex step method; lifting line method; particle system model; membrane structures","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:774d3479-f226-4a64-8921-d171451e6612","http://resolver.tudelft.nl/uuid:774d3479-f226-4a64-8921-d171451e6612","Participatory Design of Participatory Systems for Sustainable Collaboration: Exploring Its Potential in Transport and Logistics","van Langen, P.H.G. (TU Delft System Engineering); Pijper, Gerdje (Van der Wal); de Vries, P. (TU Delft System Engineering); Brazier, F.M. (TU Delft System Engineering)","","2023","Challenges involving economic, environmental, and societal aspects necessitate organisations in business networks to collaborate. The scientific problem central to this paper is the difficulty of building sustainable collaborations. The research question is how to support organisations in building sustainable collaborations in their business relationships. This paper presents a new socio-technical approach to this end, i.e., PDPS (an acronym for Participatory Design of Participatory Systems) and explores its potential in a case study. PDPS is a value-based approach to the participatory design of participatory systems. Such socio-technical systems enable people working in different disciplines, departments, and organisational levels to create sustainable relationships supported by distributed information and communication technology. In a participatory system, participants gain trust, engagement, and empowerment to self-organise actions that produce results they could not have achieved alone. Following PDPS, participants collectively explore challenges in their relationship, define a joint value-based mission, and create a continuous process of self-organisation to fulfil this mission. In a case study, PDPS supported two Dutch business partners in solving recurring transport and logistics issues in retail store refurbishment projects. Turning their traditional business processes into participatory ones led to new solutions for sustainable transport and logistics, more joint business, and more profit. PDPS differs from other approaches in its involvement of all participants in a business relationship, its focus on shared values, and its capacity for creating a continuous process of self-organisation to fulfil a joint mission. This paper may support researchers, practitioners, and organisational policymakers interested in building sustainable collaborations in business networks.","business network; collaboration; complex systems; design thinking; participatory design; self-organisation; sustainability; systems thinking; value-sensitive design","en","journal article","","","","","","","","","","","System Engineering","","",""
"uuid:2295e0b9-1380-4d9c-8dfa-ed01b580c827","http://resolver.tudelft.nl/uuid:2295e0b9-1380-4d9c-8dfa-ed01b580c827","Investigating energy production and wake losses of multi-gigawatt offshore wind farms with atmospheric large-eddy simulation","Baas, Peter (Whiffle Weather Finecasting); Verzijlbergh, R.A. (TU Delft Energie and Industrie; Whiffle Weather Finecasting); van Dorp, Pim (Whiffle Weather Finecasting); Jonker, H.J.J. (TU Delft Atmospheric Remote Sensing; Whiffle Weather Finecasting)","","2023","As a consequence of the rapid growth of the globally installed offshore wind energy capacity, the size of individual wind farms is increasing. This poses a challenge to models that predict energy production. For instance, the current generation of wake models has mostly been calibrated on existing wind farms of much smaller size. This work analyzes annual energy production and wake losses for future, multi-gigawatt wind farms with atmospheric large-eddy simulation. To that end, 1 year of actual weather has been simulated for a suite of hypothetical 4 GW offshore wind farm scenarios. The scenarios differ in terms of applied turbine type, installed capacity density, and layout. The results suggest that production numbers increase significantly when the rated power of the individual turbines is larger while keeping the total installed capacity the same. Even for turbine types with similar rated power but slightly different power curves, significant differences in production were found. Although wind speed was identified as the most dominant factor determining the aerodynamic losses, a clear impact of atmospheric stability and boundary layer height has been identified. By analyzing losses of the first-row turbines, the yearly average global-blockage effect is estimated to between 2 and 3 %, but it can reach levels over 10 % for stably stratified conditions and wind speeds around 8 m s−1. Using a high-fidelity modeling technique, the present work provides insights into the performance of future, multi-gigawatt wind farms for a full year of realistic weather conditions.","","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:4d71ba35-8ba2-4bf8-bc62-fc7e2e0493be","http://resolver.tudelft.nl/uuid:4d71ba35-8ba2-4bf8-bc62-fc7e2e0493be","An integrated target field framework for point-of-care halbach array low-field MRI system design","de Vos, B. (Leiden University Medical Center); Remis, R.F. (TU Delft Signal Processing Systems); Webb, A. (TU Delft Signal Processing Systems; Leiden University Medical Center)","","2023","Objective: Low-cost low-field point-of-care MRI systems are used in many different applications. System design has correspondingly different requirements in terms of imaging field-of-view, spatial resolution and magnetic field strength. In this work an iterative framework has been created to design a cylindrical Halbach-based magnet along with integrated gradient and RF coils that most efficiently fulfil a set of user-specified imaging requirements. Methods: For efficient integration, target field methods are used for each of the main hardware components. These have not been used previously in magnet design, and a new mathematical model was derived accordingly. These methods result in a framework which can design an entire low-field MRI system within minutes using standard computing hardware. Results: Two distinct point-of-care systems are designed using the described framework, one for neuroimaging and the other for extremity imaging. Input parameters are taken from literature and the resulting systems are discussed in detail. Discussion: The framework allows the designer to optimize the different hardware components with respect to the desired imaging parameters taking into account the interdependencies between these components and thus give insight into the influence of the design choices.","Gradient coil; Halbach array; Inverse source problem; Low-Field MRI; RF coil; System Design","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:a94c94f1-e979-486c-ae44-aa1c599faea5","http://resolver.tudelft.nl/uuid:a94c94f1-e979-486c-ae44-aa1c599faea5","Quantifying the trade-offs in re-operating dams for the environment in the Lower Volta River","Owusu, A.G. (TU Delft Policy Analysis; IHE Delft Institute for Water Education); Zatarain Salazar, J. (TU Delft Policy Analysis); Mul, Marloes (IHE Delft Institute for Water Education); van der Zaag, P. (TU Delft Water Resources; IHE Delft Institute for Water Education); Slinger, J (TU Delft Policy Analysis; Rhodes University)","","2023","The construction of the Akosombo and Kpong dams in the Lower Volta River basin in Ghana changed the downstream riverine ecosystem and affected the lives of downstream communities, particularly those who lost their traditional livelihoods. In contrast to the costs borne by those in the vicinity of the river, Ghana has enjoyed vast economic benefits from the affordable hydropower, irrigation schemes and lake tourism that developed after construction of the dams. Herein lies the challenge; there exists a trade-off between water for river ecosystems and related services on the one hand and anthropogenic water demands such as hydropower or irrigation on the other. In this study, an Evolutionary Multi-Objective Direct Policy Search (EMODPS) is used to explore the multi-sectoral trade-offs that exist in the Lower Volta River basin. Three environmental flows, previously determined for the Lower Volta, are incorporated separately as environmental objectives. The results highlight the dominance of hydropower production in the Lower Volta but show that there is room for providing environmental flows under current climatic and water use conditions if the firm energy requirement from Akosombo Dam reduces by 12% to 38% depending on the environmental flow regime that is implemented. There is uncertainty in climate change effects on runoff in this region; however multiple scenarios are investigated. It is found that climate change leading to increased annual inflows to the Akosombo Dam reduces the trade-off between hydropower and the environment as this scenario makes more water available for users. Furthermore, climate change resulting in decreased annual inflows provides the opportunity to strategically provide dry-season environmental flows, that is, reduce flows sufficiently to meet low flow requirements for key ecosystem services such as the clam fishery. This study not only highlights the challenges in balancing anthropogenic water demands and environmental considerations in managing existing dams but also identifies opportunities for compromise in the Lower Volta River.","","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:ffa6c914-c6c1-4391-8fb7-dbb4870ae353","http://resolver.tudelft.nl/uuid:ffa6c914-c6c1-4391-8fb7-dbb4870ae353","EdgeBOL: A Bayesian Learning Approach for the Joint Orchestration of vRANs and Mobile Edge AI","Ayala-Romero, Jose A. (NEC Laboratories Europe); Garcia-Saavedra, Andres (NEC Laboratories Europe); Costa-Perez, Xavier (NEC Laboratories Europe; i2CAT Foundation and ICREA); Iosifidis, G. (TU Delft Networked Systems)","","2023","Future mobile networks need to support intelligent services which collect and process data streams at the network edge, so as to offer real-time and accurate inferences to users. However, the widespread deployment of these services is hindered by the unprecedented energy cost they induce to the network, and by the difficulties in optimizing their end-to-end operation. To address these challenges, we propose a Bayesian learning framework for jointly configuring the service and the Radio Access Network (RAN), aiming to minimize the total energy consumption while respecting accuracy and latency service requirements. Using a fully-fledged prototype with a software-defined base station (vBS) and a GPU-enabled edge server, we profile a typical video analytics service and identify new performance trade-offs and optimization opportunities. Accordingly, we tailor the proposed learning framework to account for the (possibly varying) network conditions, user needs, and service metrics, and apply it to a range of experiments with real traces. Our findings suggest that this approach effectively adapts to different hardware platforms and service requirements, and outperforms state-of-the-art benchmarks based on neural networks.","Base stations; Bayes methods; Bayesian online learning; Costs; edge computing; Energy efficiency; machine learning; network virtualization; Optimization; Performance evaluation; Power demand; Servers; wireless testbeds","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-04","","","Networked Systems","","",""
"uuid:dec3c106-0fc2-456b-946f-18e520cba2b1","http://resolver.tudelft.nl/uuid:dec3c106-0fc2-456b-946f-18e520cba2b1","Interactive Depixelization of Pixel Art through Spring Simulation","Matusovic, Marko (Student TU Delft); Parakkat, Amal Dev (Institut Polytechnique de Paris); Eisemann, E. (TU Delft Computer Graphics and Visualisation)","","2023","We introduce an approach for converting pixel art into high-quality vector images. While much progress has been made on automatic conversion, there is an inherent ambiguity in pixel art, which can lead to a mismatch with the artist's original intent. Further, there is room for incorporating aesthetic preferences during the conversion. In consequence, this work introduces an interactive framework to enable users to guide the conversion process towards high-quality vector illustrations. A key idea of the method is to cast the conversion process into a spring-system optimization that can be influenced by the user. Hereby, it is possible to resolve various ambiguities that cannot be handled by an automatic algorithm.","CCS Concepts; Shape modeling; Applied computing → Fine arts; Computing methodologies → Image manipulation","en","journal article","","","","","","","","","","","Computer Graphics and Visualisation","","",""
"uuid:c2448a0b-35f0-4f0b-8677-6c7f78867cd7","http://resolver.tudelft.nl/uuid:c2448a0b-35f0-4f0b-8677-6c7f78867cd7","Integrated Fault Detection, Classification and Section Identification (I-FDCSI) Method for Real Distribution Networks Using μPMUs","Haleem Medattil Ibrahim, M.I. (TU Delft Intelligent Electrical Power Grids; University of Petroleum and Energy Studies); Sharma, Madhu (University of Petroleum and Energy Studies); Subramaniam Rajkumar, Vetrivel (TU Delft Intelligent Electrical Power Grids)","","2023","This paper presents a rules-based integrated fault detection, classification and section identification (I-FDCSI) method for real distribution networks (DN) using micro-phasor measurement units ((Formula presented.) PMUs). The proposed method utilizes the high-resolution synchronized realistic measurements from the strategically installed (Formula presented.) PMUs to detect and classify different types of faults and identify the faulty section of the distribution network. The I-FDCSI method is based on a set of rules developed using expert knowledge and statistical analysis of the generated realistic measurements. The algorithms mainly use line currents per phase reported by the different (Formula presented.) PMUs to calculate the minimum and maximum short circuit current ratios. The algorithms were then fine-tuned with all the possible types and classes of fault simulations at all possible sections of the network with different fault parameter values. The proposed I-FDCSI method addresses the inherent challenges of DN by leveraging the high-precision measurements provided by (Formula presented.) PMUs to accurately detect, classify, and sectionalise faults. To ensure the applicability of the developed IFDCSI method, it is further tested and validated with all the possible real-time events on a real distribution network and its performance has been compared with the conventional fault detection, classification and section identification methods. The results demonstrate that the I-FDCSI method has a higher accuracy and faster response time compared to the conventional methods and facilitates faster service restoration, thus improving the reliability and resiliency indices of DN.","μPMUs; fault detection; fault management; fault classification; section identification; distribution network; fault indicators; modelling; simulation; reliability indices","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:421da66f-7670-4421-ab8b-4426f32cff3f","http://resolver.tudelft.nl/uuid:421da66f-7670-4421-ab8b-4426f32cff3f","Melk in je koffie: Een onderzoek met mogelijkheden","Pols, C.F.J. (TU Delft ImPhys/Docenten); Mooldijk, A.H. (CMA-Science)","","2023","In 1999 stond in de NRC een column van Karel Knip over de vraag uit de wetenschapsquiz of je direct een wolkje melk in je koffie moet doen als de bel gaat of dat je dat beter kunt doen als je terugkomt van de buitendeur. De column geeft een mooi beeld van wat er allemaal kan komen kijken als je wat dieper op de vraag ingaat. Er blijken veel mogelijkheden te zijn om ook in de klas met deze vraag aan de slag te gaan!","","nl","journal article","","","","","","","","","","","ImPhys/Docenten","","",""
"uuid:54da12f2-6de5-4387-851e-5e5a0025bb5e","http://resolver.tudelft.nl/uuid:54da12f2-6de5-4387-851e-5e5a0025bb5e","Creating room for citizen perspectives in ‘smart city’ Amsterdam through interactive theatre","Fraaije, A. (Vrije Universiteit Amsterdam); van der Meij, Marjoleine G. (Vrije Universiteit Amsterdam); Vermeeren, A.P.O.S. (TU Delft Human Information Communication Design); Kupper, Frank (Vrije Universiteit Amsterdam); Broerse, Jacqueline E.W. (Vrije Universiteit Amsterdam)","","2023","The ‘smart city’ vision is popular, but it lacks citizen perspectives. The aim of this study was to gain insight into whether and how art-based citizen engagement can create more room for citizen perspectives in smart cities by developing and testing an art-based citizen engagement project in Amsterdam, the Netherlands. To that end, a combination of interactive theatre, interaction design and social research methods was used to bring together diverse publics and innovation professionals for joint exploration of increased dataveillance in cities. The events were studied through observations, and through interviews with participants and organisers. Data analysis was guided by the outcomes, processes and challenges of the responsible innovation dimensions: inclusion, reflexivity, anticipation
and responsiveness (Stilgoe et al., 2013). The most important achievements of art-based citizen engagement were: engaging people who would not have engaged with the topic otherwise, encouraging participants to question common phrases and assumptions, exploring future social implications of technologies, and staging meaningful interactions between citizens and professionals. The most significant challenge was to involve citizens in a way that could influence innovation trajectories.","public participation; public engagement; inclusion; Responsible research and innovation (RRI); impact; urban technologies; interaction design; art; theatre; science communication","en","journal article","","","","","","","","","","","Human Information Communication Design","","",""
"uuid:a24f4b3b-2965-4af0-9a76-6a558e749b53","http://resolver.tudelft.nl/uuid:a24f4b3b-2965-4af0-9a76-6a558e749b53","How strategic design abilities address unmet value in service engagement strategies","Bouman, N.L.J. (TU Delft Industrial Design Engineering); Simonse, LWL (TU Delft Methodologie en Organisatie van Design)","","2023","Purpose – Engaging with customers and addressing unmet value have become increasingly challenging within multi-stakeholder environments of service innovation. Therefore, this paper aims to address this challenge by studying how strategic design abilities address unmet value in service engagement strategies. Design/methodology/approach – The authors conducted a qualitative inductive study at a multinational corporation and interviewed marketing
and design professionals on their innovation practices in service engagement strategies. Findings – From the inductive analysis, this study identified three strategic design abilities that effectively contribute to addressing unmet value
throughout the co-evolving process of service engagement: envisioning value, modelling value and engaging value. Based on this, this study proposes the emerging co-evolving loop framework of service engagement strategies.
Research limitations/implications – The limitation of this emerging theory is a lack of broad generalizability with mutual exclusivity or collective exhaustiveness across industries. A theoretical implication of the framework is the integration of strategic design and services marketing towards co-created engagement strategies.
Practical implications – The service engagement loop framework can be of great value to service innovation processes, for which an integrated, cross-functional approach is often missing. Social implications – The findings further suggest that next to a methodological skillset, strategic design abilities consist of a distinct mindset. Originality/value – This paper introduces strategic design abilities to address unmet value and proposes a novel co-evolving loop framework ofservice engagement strategies","Strategic Design; Service strategy; Engagement; Service innovation; customer value; Qualitative methods; unmet need; abilities","en","journal article","","","","","","","","","Industrial Design Engineering","","Methodologie en Organisatie van Design","","",""
"uuid:f6826895-fa1b-445b-9b45-a2eb20e6a338","http://resolver.tudelft.nl/uuid:f6826895-fa1b-445b-9b45-a2eb20e6a338","Interspecific competition shapes the structural stability of mutualistic networks","Wang, Xiangrong (Shenzhen University); Peron, Thomas (Universidade de São Paulo); Dubbeldam, J.L.A. (TU Delft Mathematical Physics); Kéfi, Sonia (Université de Montpellier; Santa Fe Institute); Moreno, Yamir (University of Zaragoza; CENTAI Institute)","","2023","Mutualistic networks, such as plant–pollinator networks, have attracted increasing attention in the ecological literature in the last decades, not only because of their fascinating natural history, but also because mutualistic interactions have been shown to play a key role in the maintenance of biodiversity. Although inter-specific competition has long been known to be a crucial driver of species coexistence as well, there is a lack of theory investigating the interplay between the structures of competitive and mutualistic networks when jointly considered. Here, we develop an analytical framework to study the structural stability — the range of conditions under which all species coexist stably, i.e. where the community is both feasible and stable — of ecological communities in which both mutualistic interactions between plants and pollinators and competitive interactions among plants and among pollinators are present. Using the structure of 50 real networks for mutualistic interactions, combined with analytical and numerical analyses, we show that the structure of the competitive network radically alters the necessary conditions for species coexistence in these communities. Our mathematical framework also allows to accurately characterize the structural stability of these systems. Moreover, we introduce a new metric that accurately links the network structures of competitive and mutualistic interactions to species coexistence. Our results highlight the joint role of the structures of different interaction types to understand the stability of ecological communities and facilitate the analysis of similar natural and artificial systems in which mutualism and competition coexist.","Mutualistic networks; Interspecific competition; Structural stability; Species coexistence","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:5ccc603c-6efb-4eae-a172-3cb26c8268b3","http://resolver.tudelft.nl/uuid:5ccc603c-6efb-4eae-a172-3cb26c8268b3","Calibrating and Validating the MFI-UF Method to Measure Particulate Fouling in Reverse Osmosis","Abunada, M.B.M. (TU Delft Sanitary Engineering; IHE Delft Institute for Water Education); Dhakal, Nirajan (IHE Delft Institute for Water Education); Andyar, William Z. (IHE Delft Institute for Water Education); Li, Yuke (IHE Delft Institute for Water Education); Ajok, Pamela (IHE Delft Institute for Water Education); Ghaffour, Noreddine (King Abdullah University of Science and Technology); Schippers, Jan C. (IHE Delft Institute for Water Education); Kennedy, M.D. (TU Delft Sanitary Engineering; IHE Delft Institute for Water Education)","","2023","This study aimed to calibrate and validate the MFI-UF method in order to ensure the accuracy of particulate fouling measurements in RO. Firstly, the MFI-UF calibration was examined using two solutions of standard particles (dextran and polystyrene). Two main criteria were investigated: (i) MFI-UF linearity with particle concentrations at both low and high ranges of fouling potential and (ii) the reproducibility of MFI-UF linearity. Dextran solutions showed a strong MFI-UF linearity over the entire range of measured MFI-UF. However, the linearity was not reproducible, and different batches of dextran prepared under the same conditions produced very variable results. For polystyrene solutions, the MFI-UF linearity was verified at the higher range of MFI-UF (>10,000 s/L2), while the MFI-UF at the lower range (<5000 s/L2) appeared to be underestimated. Secondly, MFI-UF linearity was investigated using natural (surface) water under a wide range of testing conditions (at 20–200 L/m2·h using 5–100 kDa membranes). Strong MFI-UF linearity was obtained over the entire range of measured MFI-UF (up to 70,000 s/L2). Thus, the MFI-UF method was validated to measure different levels of particulate fouling in RO. However, future research focusing on MFI-UF calibration is still required through the selection, preparation, and testing of heterogeneous mixtures of standard particles.","reverse osmosis; particulate fouling; MFI-UF; calibration; linearity","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:3bf9e596-e126-4cdf-b4d4-55f17cd705d9","http://resolver.tudelft.nl/uuid:3bf9e596-e126-4cdf-b4d4-55f17cd705d9","Data Lakes: A Survey of Functions and Systems","Hai, R. (TU Delft Web Information Systems); Koutras, C. (TU Delft Web Information Systems); Quix, Christoph (Hochschule Niederrhein); Jarke, Matthias (Fraunhofer Institute for Applied Information Technology FIT)","","2023","Data lakes are becoming increasingly prevalent for Big Data management and data analytics. In contrast to traditional 'schema-on-write' approaches such as data warehouses, data lakes are repositories storing raw data in its original formats and providing a common access interface. Despite the strong interest raised from both academia and industry, there is a large body of ambiguity regarding the definition, functions and available technologies for data lakes. A complete, coherent picture of data lake challenges and solutions is still missing. This survey reviews the development, architectures, and systems of data lakes. We provide a comprehensive overview of research questions for designing and building data lakes. We classify the existing approaches and systems based on their provided functions for data lakes, which makes this survey a useful technical reference for designing, implementing and deploying data lakes. We hope that the thorough comparison of existing solutions and the discussion of open research challenges in this survey will motivate the future development of data lake research and practice.","Big Data applications; Data discovery; Data lake; Lakes; Maintenance engineering; Memory; Metadata; Metadata management; Proposals; Semantics","en","journal article","","","","","","","","","","","Web Information Systems","","",""
"uuid:fc89a970-70c0-40d8-813b-54fd5e9152c7","http://resolver.tudelft.nl/uuid:fc89a970-70c0-40d8-813b-54fd5e9152c7","A Highly Linear Receiver Using Parallel Preselect Filter for 5G Microcell Base Station Applications","Montazerolghaem, M.A. (TU Delft Electronics); de Vreede, L.C.N. (TU Delft Electronics); Babaie, M. (TU Delft Electronics)","","2023","By introducing three different techniques, this article, for the first time, presents a wideband highly linear receiver (RX) capable of handling blocking scenarios in fifth-generation (5G) microcell base station applications. First, a parallel preselect filter is introduced to satisfy the base station co-location blocking requirements. Next, a combination of third-order RF and baseband (BB) filters is adopted to attenuate close-in blockers by a -120 dB/dec roll-off. Finally, a translational feedback network is proposed to reduce the in-band gain ripple to below 0.5 dB and provide better than -19 dB input matching. Fabricated in the 40-nm CMOS technology, the proposed RX occupies a core area of 0.8 mm2 and consumes 108-176 mW from a 1.3 V supply over the RX's 0.5-3-GHz operating frequency. It achieves a 3-dB bandwidth of 150 MHz and a noise figure (NF) of 2.6-3.9 dB over the RX frequency range. Activating the parallel preselect filter degrades the NF by as little as 1.2 dB in the worst case. The RX shows a ≥q 97.5% throughput when receiving a 100-MS/s quadrature phase shift keying (QPSK) signal with 7.5-dB SNR and achieves a -9.7 dB error vector magnitude (EVM) while facing a -15 dBm continuous-wave (CW) blocker only 20 MHz away from the desired 100-MS/s QPSK signal with 12.3-dB SNR, thus satisfying the 3rd generation partnership project (3GPP) requirements with sufficient margin.","Band-pass filters; Blocker-tolerant; current-mode receiver (RX); fifth-generation (5G); Filtering; harmonic rejection (HR); high-order bandpass filter; Impedance; Linearity; Noise measurement; Notch filters; preselect filter; Radio frequency; software-defined radio; wideband RX","en","journal article","","","","","","","","","","","Electronics","","",""
"uuid:1ed0bc44-60d5-42d7-a0f6-769e528c99e6","http://resolver.tudelft.nl/uuid:1ed0bc44-60d5-42d7-a0f6-769e528c99e6","An organ-on-chip device with integrated charge sensors and recording microelectrodes","Aydogmus, H. (TU Delft EKL Processing); Hu, M. (TU Delft Biomechanical Engineering; Leiden University Medical Center); Ivancevic, Lovro; Frimat, Jean Philippe (Leiden University Medical Center); van den Maagdenberg, Arn M.J.M. (Leiden University Medical Center); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Mastrangeli, Massimo (TU Delft Electronic Components, Technology and Materials)","","2023","Continuous monitoring of tissue microphysiology is a key enabling feature of the organ-on-chip (OoC) approach for in vitro drug screening and disease modeling. Integrated sensing units are particularly convenient for microenvironmental monitoring. However, sensitive in vitro and real-time measurements are challenging due to the inherently small size of OoC devices, the characteristics of commonly used materials, and external hardware setups required to support the sensing units. Here we propose a silicon-polymer hybrid OoC device that encompasses transparency and biocompatibility of polymers at the sensing area, and has the inherently superior electrical characteristics and ability to house active electronics of silicon. This multi-modal device includes two sensing units. The first unit consists of a floating-gate field-effect transistor (FG-FET), which is used to monitor changes in pH in the sensing area. The threshold voltage of the FG-FET is regulated by a capacitively-coupled gate and by the changes in charge concentration in close proximity to the extension of the floating gate, which functions as the sensing electrode. The second unit uses the extension of the FG as microelectrode, in order to monitor the action potential of electrically active cells. The layout of the chip and its packaging are compatible with multi-electrode array measurement setups, which are commonly used in electrophysiology labs. The multi-functional sensing is demonstrated by monitoring the growth of induced pluripotent stem cell-derived cortical neurons. Our multi-modal sensor is a milestone in combined monitoring of different, physiologically-relevant parameters on the same device for future OoC platforms.","","en","journal article","","","","","","","","","","Biomechanical Engineering","EKL Processing","","",""
"uuid:9fce025d-37c8-4730-9a1c-e7fb335ddf77","http://resolver.tudelft.nl/uuid:9fce025d-37c8-4730-9a1c-e7fb335ddf77","An energy-conservative DG-FEM approach for solid–liquid phase change","Kaaks, B.J. (TU Delft RST/Reactor Physics and Nuclear Materials); Rohde, M. (TU Delft RST/Reactor Physics and Nuclear Materials); Kloosterman, J.L. (TU Delft RST/Radiation, Science and Technology); Lathouwers, D. (TU Delft RST/Reactor Physics and Nuclear Materials)","","2023","We present a discontinuous Galerkin method for melting/solidification problems based on the “linearized enthalpy approach,” which is derived from the conservative form of the energy transport equation and does not depend on the use of a so-called mushy zone. We use the symmetric interior penalty method and the Lax–Friedrichs flux to discretize diffusive and convective terms, respectively. Time is discretized with a second-order implicit backward differentiation formula, and two outer iterations with second-order extrapolation predictors are used for the coupling of the momentum and energy. The numerical method was validated with three different benchmark cases, i.e., the one-dimensional Stefan problem, octadecane melting in a square cavity and gallium melting in a rectangular cavity. The performance of the method was quantified based on the L 2 norm error and the number of iterations needed to convergence the energy equation at each time step. For all three validation cases, a mesh convergence rate of approximately O(h) was obtained, which is below the expected accuracy of the numerical method. Only for the gallium melting case, the use of a higher-order method proved to be beneficial. The results from the present numerical campaign demonstrate the promise of the discontinuous Galerkin finite element method for modeling certain solid–liquid phase change problems where large gradients in the flow field are present or the phase change is highly localized, however, further enhancement of the method is needed to fully benefit from the use of a higher-order numerical method when solving solid–liquid phase change problems.","Energy-conservative discontinuous Galerkin method; linearized enthalpy approach; solid–liquid phase change","en","journal article","","","","","","","","","","RST/Radiation, Science and Technology","RST/Reactor Physics and Nuclear Materials","","",""
"uuid:bddebc70-7874-4369-8992-a975972802a4","http://resolver.tudelft.nl/uuid:bddebc70-7874-4369-8992-a975972802a4","Multivariable Signal Processing for Characterization of Failure Modes in Thin-Ply Hybrid Laminates Using Acoustic Emission Sensors","Fotouhi, Sakineh (University of Glasgow); Assaad, Maher (Ajman University); Nasor, Mohamed (Ajman University); Imran, Ahmed (Ajman University); Ashames, Akram (Ajman University); Fotouhi, M. (TU Delft Materials and Environment)","","2023","The aim of this study was to find the correlation between failure modes and acoustic emission (AE) events in a comprehensive range of thin-ply pseudo-ductile hybrid composite laminates when loaded under uniaxial tension. The investigated hybrid laminates were Unidirectional (UD), Quasi-Isotropic (QI) and open-hole QI configurations composed of S-glass and several thin carbon prepregs. The laminates exhibited stress-strain responses that follow the elastic-yielding-hardening pattern commonly observed in ductile metals. The laminates experienced different sizes of gradual failure modes of carbon ply fragmentation and dispersed delamination. To analyze the correlation between these failure modes and AE signals, a multivariable clustering method was employed using Gaussian mixture model. The clustering results and visual observations were used to determine two AE clusters, corresponding to fragmentation and delamination modes, with high amplitude, energy, and duration signals linked to fragmentation. In contrast to the common belief, there was no correlation between the high frequency signals and the carbon fibre fragmentation. The multivariable AE analysis was able to identify fibre fracture and delamination and their sequence. However, the quantitative assessment of these failure modes was influenced by the nature of failure that depends on various factors, such as stacking sequence, material properties, energy release rate, and geometry.","multivariable analysis; acoustic emission; fragmentation;; carbon/glass hybrids","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:b3ab49a6-a7bc-41fa-ae32-0014ff9a95a1","http://resolver.tudelft.nl/uuid:b3ab49a6-a7bc-41fa-ae32-0014ff9a95a1","Doughnut-Architecture: The Doughnut Economic approach in Architecture","Medici, P. (TU Delft Theory, Territories & Transitions); Cavallo, R. (TU Delft Theory, Territories & Transitions); van Bennekom, H.A. (TU Delft History, Form & Aesthetics)","","2023","In 2017, Professor Kate Raworth from Oxford University and Amsterdam University published the book “Doughnut Economics: seven ways to think like a 21st century economist”. The Doughnut, the core concept at the heart of Doughnut Economics (DE), is a tool for human prosperity in the 21st century to meet the needs of all people within the means of the living planet. It consists of two concentric rings, a social foundation and an ecological ceiling, and in between lies a doughnut-shaped space, the safe and just spacewhere humanity can thrive.","","en","journal article","","","","","","","","","","","Theory, Territories & Transitions","","",""
"uuid:feb8f289-072e-4a46-840f-bf7cee9c679c","http://resolver.tudelft.nl/uuid:feb8f289-072e-4a46-840f-bf7cee9c679c","Experiencing Temporary Home Design for Young Urban Dwellers: “We Can’t Put Anything on the Wall”","Overtoom, M.E. (TU Delft Environmental & Climate Design); Elsinga, M.G. (TU Delft Urban Development Management); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","A significant number of young people live in temporary homes, which are designed to fulfil basic needs and provide space for normal activities. However, it is unclear what those basic activities are. Moreover, the indoor environmental quality is often left out of the meaning of home, although activities and objects can affect its experienced quality. We therefore verbally and visually explored how young temporary dwellers appropriate and experience their homes, including the indoor environmental quality. Fourteen young adults took part in semi-structured interviews and photographed their most used as well as their favourite place. The interviews were transcribed and analysed following an interpretative phenomenological analysis. The experiences of appropriation in the home were connected to the physical environment through an analysis of the photos and floor plans (sketched by the researcher) using an architectural analysis from the user perspective. The outcome showed that the young adults appropriated their home in three ways: by familiarising the place with objects and “normal” activities, organising where things are and when they happen, and managing the indoor environmental quality through activities and objects. It is concluded that qualitative and visual analyses can assist with making recommendations to improve the design of temporary housing.","indoor environmental quality; home; activities; appropriation; temporary housing","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:811b97b5-1f56-48dd-85ec-7828b28e9f79","http://resolver.tudelft.nl/uuid:811b97b5-1f56-48dd-85ec-7828b28e9f79","A Model-Assisted Probability of Detection Framework for Optical Fiber Sensors","Falcetelli, F. (University of Bologna); Yue, N. (TU Delft Structural Integrity & Composites); Rossi, Leonardo (IMM Institute); Bolognini, Gabriele (IMM Institute); Bastianini, Filippo (SOCOTEC Photonics); Zarouchas, D. (TU Delft Structural Integrity & Composites); Di Sante, Raffaella (University of Bologna)","","2023","Optical fiber sensors (OFSs) represent an efficient sensing solution in various structural health monitoring (SHM) applications. However, a well-defined methodology is still missing to quantify their damage detection performance, preventing their certification and full deployment in SHM. In a recent study, the authors proposed an experimental methodology to qualify distributed OFSs using the concept of probability of detection (POD). Nevertheless, POD curves require considerable testing, which is often not feasible. This study takes a step forward, presenting a model-assisted POD (MAPOD) approach for the first time applied to distributed OFSs (DOFSs). The new MAPOD framework applied to DOFSs is validated through previous experimental results, considering the mode I delamination monitoring of a double-cantilever beam (DCB) specimen under quasi-static loading conditions. The results show how strain transfer, loading conditions, human factors, interrogator resolution, and noise can alter the damage detection capabilities of DOFSs. This MAPOD approach represents a tool to study the effects of varying environmental and operational conditions on SHM systems based on DOFSs and for the design optimization of the monitoring system.","","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:192625ef-6727-44db-a997-8db5f2f6c54a","http://resolver.tudelft.nl/uuid:192625ef-6727-44db-a997-8db5f2f6c54a","Indications of marine benthos occurrence from multi-spectral multi-beam backscatter data: a case study in the North Sea","Bai, Q. (TU Delft Aircraft Noise and Climate Effects); Mestdagh, S.M.F. (TU Delft Aircraft Noise and Climate Effects); Snellen, M. (TU Delft Control & Operations; TU Delft Aircraft Noise and Climate Effects); Simons, D.G. (TU Delft Aircraft Noise and Climate Effects)","","2023","To facilitate the conservation of seafloor habitats and planning of offshore activities, there is a growing need for mapping marine benthos in an effective and efficient way. Acoustic data acquired by multi-beam echosounders (MBES) have been extensively used for large-scale and high-resolution seafloor characterization. A deeper understanding of the relationship between backscatter data and sediment compositions can help to identify the benthos occurrence from the MBES data. With two multi-spectral MBES datasets collected near the western Wadden Sea islands in the North Sea, we investigated the potential of mapping marine benthos through backscatter classification. Two unsupervised classification methods, i.e., Bayesian classification, which mainly exploits the backscatter strength from incident angles larger than 20°, and hierarchical clustering of the backscatter strength at different angular ranges, were employed and the results were compared. The classification results from both methods showed a good correspondence with sediment properties such as the median grain size. Moreover, based on a principal component analysis of bottom sample properties, the hierarchical clustering results indicated a better distinction between contributions from the gravel content and benthos occurrence, e.g., sand mason worm density, than Bayesian classification, through involving the backscatter angular variations. Classification for multiple frequencies, on the other hand, showed little difference regarding the relationship with bottom sample properties. Although the backscatter difference between frequencies was also found to positively correlate with certain sample properties, using multi-spectral features for acoustic classification in this study did not reveal additional information compared to single-frequency classification results.","multi-beam echosounder; backscatter; multi-spectral; seafloor classification; marine benthos occurrence","en","journal article","","","","","","","","","","Control & Operations","Aircraft Noise and Climate Effects","","",""
"uuid:a5e48768-e144-449d-b8fc-fb25bffaaf10","http://resolver.tudelft.nl/uuid:a5e48768-e144-449d-b8fc-fb25bffaaf10","On the design of bank revetments at inland waterways subjected to ship-induced water level drawdown: A probabilistic infinite slope analysis","Sorgatz, Julia (Bundesanstalt für Wasserbau); van den Eijnden, A.P. (TU Delft Geo-engineering); Montenegro, Héctor (Bundesanstalt für Wasserbau); Hicks, M.A. (TU Delft Geo-engineering)","","2023","To protect embankments along German inland waterways against local slope sliding failure caused by ship-induced water level drawdown, they are mainly secured by bank revetments. Often, large embankment sections are designed on the basis of a limited number of field and laboratory tests. Thus, uncertainties arise with regard to the mechanical and hydraulic ground properties. Current design standards account for these uncertainties by conservative design assumptions and empirical knowledge. This paper investigates the effects of vertically non-homogeneous ground properties on the required armour layer thickness using 1D random fields and an infinite slope model, which was modified to account for ship-induced drawdowns. Within the limitations of the infinite slope assumptions, the effects of a spatially variable friction angle and hydraulic conductivity are investigated and compared to deterministic benchmark cases. The investigations show that the level of safety obtained with the deterministic design depends strongly on the choice of the characteristic values. Particularly, the hydraulic conductivity determines the reliability of the design. In some cases, the 5 % quantile of the hydraulic conductivity does not yield a conservative estimate of the required armour layer thickness. In the case of the effective friction angle, the 5 % quantile may overestimate the required armour layer thickness for permeable soils. For less permeable soils, the 5 % quantile meets the solution of the random field analyses. For the combination of random effective friction angle and random hydraulic conductivity, all investigated benchmark studies seem to ensure engineering safety, but on different reliability levels. Based on these findings, recommendations regarding site exploration and choice of characteristic values of hydraulic conductivity and effective friction angle are provided.","slope stability; ship-induced drawdown; revetment design; non-homogeneous ground properties; random fields","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:0c7ee6cb-22f0-4c59-a2c0-a032dbf06ca4","http://resolver.tudelft.nl/uuid:0c7ee6cb-22f0-4c59-a2c0-a032dbf06ca4","Analysis of movements in tooth removal procedures using robot technology","van Riet, T.C.T. (Universiteit van Amsterdam); de Graaf, W.M. (TU Delft Learning & Autonomous Control); de Lange, Jan (Universiteit van Amsterdam); Kober, J. (TU Delft Learning & Autonomous Control)","","2023","Being one of the oldest en most frequently performed invasive procedures; the lack of scientific progress of tooth removal procedures is impressive. This has most likely to do with technical limitations in measuring different aspects of these keyhole procedures. The goal of this study is to accurately capture the full range of motions during tooth removal as well as angular velocities in clinically relevant directions. An ex vivo measuring setup was designed consisting of, amongst others, a compliant robot arm. To match clinical conditions as closely as possible, fresh-frozen cadavers were used as well as regular dental forceps mounted on the robot’s end-effector. Data on 110 successful tooth removal experiments are presented in a descriptive manner. Rotation around the longitudinal axis of the tooth seems to be most dominant both in range of motion as in angular velocity. Buccopalatal and buccolingual movements are more pronounced in the dorsal region of both upper and lower jaw. This study quantifies an order of magnitude regarding ranges of motion and angular velocities in tooth removal procedures. Improved understanding of these complex procedures could aid in the development of evidence-based educational material.","","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:4273526d-74ea-429c-95be-cf8dc40212e5","http://resolver.tudelft.nl/uuid:4273526d-74ea-429c-95be-cf8dc40212e5","Environmental research for sustaining quality and integrity of natural habitat and human settlement","Chen, Y. (TU Delft Urban Development Management); Yang, Yizhao (University of Oregon)","","2023","The research on the quality of natural habitats and human settlements has been paid attention to by academia, design professionals and policymakers in recent years. Most of the concern is driven by rapid spatial transformation of and ecological deterioration in both natural habitats and human settlement globally due to the rapid urbanisation process, climate change, and environmental disasters (Franklin, Anderson, Gutiérrez, & Burnham, 2000; Kareiva, Tallis, Ricketts, Daily, & Polasky, 2011; Zhu et al., 2020). Besides the spatial and environmental changes, various social-demographic and economic factors connected with concerns on gender, age and lifestyle also contribute to the evolution of public space and architectural space that influence the daily life of individuals and communities (Carmona, 2014; Moser, 2017). The questions raised here are, what is the status of habitat quality and the spatial quality in and around the human settlements? How can we assess the status? And to what extent can planners and policymakers develop strategies to better balance the need to sustain the quality of nature and human settlement based on quantitative and qualitative assessment and prediction?","","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:ee0a3a4f-f638-47ed-8d92-8997a4ca48e3","http://resolver.tudelft.nl/uuid:ee0a3a4f-f638-47ed-8d92-8997a4ca48e3","Photochromic samarium oxyhydride thin films","Chaykina, D. (TU Delft ChemE/Materials for Energy Conversion and Storage); Colombi, G. (TU Delft ChemE/Materials for Energy Conversion and Storage); Schreuders, H. (TU Delft ChemE/O&O groep); Dam, B. (TU Delft ChemE/Chemical Engineering)","","2023","Photochromism has been reported for several rare-earth (RE) metal oxyhydride thin films and is characterized by a reversible darkening of the sample when exposed to light with energy greater than its optical bandgap. Here, we extend the range of known photochromic RE-oxyhydrides to include samarium oxyhydrides. These SmH3−2xOx thin films are made by reactive magnetron sputtering of as-deposited SmH1.9+δ and post-oxidation in the air to the oxyhydride phase. The deposition pressure during sputtering is used to control the resultant properties of the Sm-oxyhydride film, such as the optical bandgap, cubic lattice constant, photochromic contrast, and photochromic bleaching speed. Using Sm as the RE-cation results in slower bleaching speeds compared to other lanthanides. We posit that this is due to the stability of the Sm2+ state and the difficulty to oxidizing it back to the original RE3+ state. This points to the key role of the RE-cation charge state for the optical properties of the material.","OA-Fund TU Delft","en","journal article","","","","","","","","","","ChemE/Chemical Engineering","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:52c7d74e-098a-47a5-9648-b1c84270aba2","http://resolver.tudelft.nl/uuid:52c7d74e-098a-47a5-9648-b1c84270aba2","Investigating the potential added value of [ 18 F]FDG-PET/CT in long COVID patients with persistent symptoms: a proof of concept study","Chen, Linda L. (Alrijne Ziekenhuis; Leiden University Medical Center; Erasmus MC; Student TU Delft); van de Burgt, Alina (Alrijne Ziekenhuis; Leiden University Medical Center); Smit, Frits (Alrijne Ziekenhuis; Leiden University Medical Center); Audhoe, Rowena S. (Alrijne Ziekenhuis); de Boer, Sandra M. (Alrijne Ziekenhuis); van Velden, Floris H.P. (Leiden University Medical Center); de Geus-Oei, L.F. (TU Delft RST/Radiation, Science and Technology; Leiden University Medical Center; University of Twente)","","2023","Objective Since the end of 2019, the coronavirus disease 2019 (COVID-19) virus has infected millions of people, of whom a significant group suffers from sequelae from COVID-19, termed long COVID. As more and more patients emerge with long COVID who have symptoms of fatigue, myalgia and joint pain, we must examine potential biomarkers to find quantifiable parameters to define the underlying mechanisms and enable response monitoring. The aim of this study is to investigate the potential added value of [ 18F]FDG-PET/computed tomography (CT) for this group of long COVID patients. Methods For this proof of concept study, we evaluated [ 18F]FDG-PET/CT scans of long COVID patients and controls. Two analyses were performed: semi-quantitative analysis using target-to-background ratios (TBRs) in 24 targets and total vascular score (TVS) assessed by two independent nuclear medicine physicians. Mann-Whitney U-test was performed to find significant differences between the two groups. Results Thirteen patients were included in the long COVID group and 25 patients were included in the control group. No significant differences (P < 0.05) were found between the long COVID group and the control group in the TBR or TVS assessment. Conclusion As we found no quantitative difference in the TBR or TVS between long COVID patients and controls, we are unable to prove that [ 18F]FDG is of added value for long COVID patients with symptoms of myalgia or joint pain. Prospective cohort studies are necessary to understand the underlying mechanisms of long COVID.","","en","journal article","","","","","","","","","","RST/Radiation, Science and Technology","","","",""
"uuid:6a5dd64d-933f-45aa-9fa7-ec4cb81a2eb6","http://resolver.tudelft.nl/uuid:6a5dd64d-933f-45aa-9fa7-ec4cb81a2eb6","Exploring shape memory alloys in haptic wearables for visually impaired people","Ghodrat, S. (TU Delft Emerging Materials); Sandhir, P. (TU Delft Methodologie en Organisatie van Design); Huisman, G. (TU Delft Human Information Communication Design)","","2023","Wearable haptic assistive devices can provide tactile information to visually impaired people (VIP) to support independent living. However, electromechanical haptic feedback has a number of disadvantages, including hardware being relatively heavy, large, and producing excessive sound. Here, we present a design-driven investigation of the potential of shape memory alloy-based haptic feedback for VIP. We followed an iterative approach, focusing on hands-on material explorations, in which we identified challenges and subsequent solutions that designers of SMA-based wearable haptic assistive devices may be faced with when incorporating SMAs in their designs. We present several prototype iterations and an initial evaluation with VIP to offer insights into the potential of SMA-based wearable haptic devices for VIP.","shape memory alloy; haptics; wearables; visually impaired people; assistive device; research through design; material-driven design","en","journal article","","","","","","","","","","","Emerging Materials","","",""
"uuid:8e5b08f6-ca9e-443b-af65-db0303d94bdc","http://resolver.tudelft.nl/uuid:8e5b08f6-ca9e-443b-af65-db0303d94bdc","Impact of sunlight irradiation on CvFAP photodecarboxylation","França, Alexandre S. (Universidade Federal do Rio de Janeiro); Brêda, Gabriela C. (Universidade Federal do Rio de Janeiro); De Oliveira, Kleber T. (Federal University of São Carlos, São Carlos, São Paulo); Almeida, Rodrigo V. (Universidade Federal do Rio de Janeiro); Hollmann, F. (TU Delft BT/Biocatalysis); de Souza, Rodrigo O.M.A. (Universidade Federal do Rio de Janeiro)","","2023","A visible-light-driven photocatalytic decarboxylation of palmitic acid and related fatty acids is described in this study. Remarkable decarboxylation rates have been observed with full conversion in less than 20 min. In this study, we have
demonstrated that sunlight irradiation, even on cloudy days, can deliver similar results to traditional LED lamps while using much less energy and minimizing environmental impact. The findings indicate that the process of enzymatic
decarboxylation could be useful for the production of different biofuels in the future.","photodecarboxylation; biocatalysis; green chemistry; sunlight; CvFAP","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:b78c39ea-a071-4783-af71-2d889152f1ae","http://resolver.tudelft.nl/uuid:b78c39ea-a071-4783-af71-2d889152f1ae","Nanostructured Thermoelectric Films Synthesised by Spark Ablation and Their Oxidation Behaviour: Nanomaterials","van Ginkel, H.J. (TU Delft Electronic Components, Technology and Materials); Mitterhuber, Lisa (Materials Center Leoben Forschung GmbH); van de Putte, Marijn Willem (University of Twente); Huijben, Mark (University of Twente); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Reducing the thermal conductivity of thermoelectric materials has been a field of intense research to improve the efficiency of thermoelectric devices. One approach is to create a nanostructured thermoelectric material that has a low thermal conductivity due to its high number of grain boundaries or voids, which scatter phonons. Here, we present a new method based on spark ablation nanoparticle generation to create nanostructured thermoelectric materials, demonstrated using Bi2Te3. The lowest achieved thermal conductivity was <0.1 W m−1 K−1 at room temperature with a mean nanoparticle size of 8±2 nm and a porosity of 44%. This is comparable to the best published nanostructured Bi2Te3 films. Oxidation is also shown to be a major issue for nanoporous materials such as the one here, illustrating the importance of immediate, air-tight packaging of such materials after synthesis and deposition.","thermoelectric; nanoparticle; Bi2Te3; spark ablation; nanostructured","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:9206ad65-b2b9-4b0a-9e14-78c7e2da9ae4","http://resolver.tudelft.nl/uuid:9206ad65-b2b9-4b0a-9e14-78c7e2da9ae4","Partial discharge detection on power equipment using a magneto-resistive sensor","Chen, Y. (TU Delft DC systems, Energy conversion & Storage; South China University of Technology); Castro Heredia, L.C. (TU Delft ESP LAB); Smit, J.J. (TU Delft EEMS - General; IWO (Inst. for Science & Development / Inst. voor Wetenschap & Ontwikkeling)); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Ross, Robert (TU Delft High Voltage Technology Group; IWO (Inst. for Science & Development / Inst. voor Wetenschap & Ontwikkeling))","","2023","Partial discharges (PD) detection is an effective diagnostic method to assess the insulation condition of electrical power equipment in the high-voltage laboratory or field tests. This paper presents a non-contacting PD detection method for power equipment. The method is based on an extra high-sensitivity adapted giant magneto-resistive (xMR) sensor that measures the magnetic field produced by the PD currents. Firstly, this paper describes the sensor’s relevant principle and signal conditioning circuit. Next, the sensor’s typical performance, including the frequency response and time-domain response to calibrator PD pulses, is measured and compared with our previous work. The results indicate that the xMR system’s bandwidth is improved to the MHz range. Finally, PD experiments are carried out and compared with measurements using a commercially available high-frequency current transformer (HFCT), which allows for verification of the coherence of the results concerning the PD pulses and phase-resolved PD (PRPD) patterns. The results show that PD in a cross-linked polyethylene (XLPE) cable or a gas-insulated system (GIS) with artificial discharging defects is successfully measured, demonstrating the sensitivity and performance of the xMR system for PD detection.","Cross-linked polyethylene (XLPE) cable; (Electro)magnetic field measurement; Giant magneto-resistive (GMR); Gas-insulated systems (GIS); High-frequency current transformers (HFCT); Partial discharges (PD); Sensors","en","journal article","","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:133b7aef-2d3b-4be4-a6ce-a3553dad4432","http://resolver.tudelft.nl/uuid:133b7aef-2d3b-4be4-a6ce-a3553dad4432","Optimal energy system scheduling using a constraint-aware reinforcement learning algorithm","Shengren, H. (TU Delft Intelligent Electrical Power Grids); Vergara Barrios, P.P. (TU Delft Intelligent Electrical Power Grids); Salazar Duque, Edgar Mauricio (Eindhoven University of Technology); Palensky, P. (TU Delft Intelligent Electrical Power Grids)","","2023","The massive integration of renewable-based distributed energy resources (DERs) inherently increases the energy system’s complexity, especially when it comes to defining its operational schedule. Deep reinforcement learning (DRL) algorithms arise as a promising solution due to their data-driven and model-free features. However, current DRL algorithms fail to enforce rigorous operational constraints (e.g., power balance, ramping up or down constraints) limiting their implementation in real systems. To overcome this, in this paper, a DRL algorithm (namely MIP-DQN) is proposed, capable of strictly enforcing all operational constraints in the action space, ensuring the feasibility of the defined schedule in real-time operation. This is done by leveraging recent optimization advances for deep neural networks (DNNs) that allow their representation as a MIP formulation, enabling further consideration of any action space constraints. Comprehensive numerical simulations show that the proposed algorithm outperforms existing state-of-the-art DRL algorithms, obtaining a lower error when compared with the optimal global solution (upper boundary) obtained after solving a mathematical programming formulation with perfect forecast information; while strictly enforcing all operational constraints (even in unseen test days).","Energy management systems; Distributed energy system; Safe reinforcement learning; Machine learning; Nonlinear programming","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:6260ad3d-25e2-47d5-8c3e-cbbef61f4e8f","http://resolver.tudelft.nl/uuid:6260ad3d-25e2-47d5-8c3e-cbbef61f4e8f","De impact van corona op treinreisgedrag","de Bruyn, Menno (N.V. Nederlandse Spoorwegen); van Oort, N. (TU Delft Transport and Planning)","","2023","In maart 2020, nadat de coronacrisis ook Nederland had bereikt, startten TU Delft en NS een groots longitudinaal onderzoek onder treinreizigers (Van Hagen et al. 2021). Het onderzoek loopt inmiddels al ruim tweeëneenhalf jaar, met zeven deelonderzoeken en een achtste op komst. Menno de Bruyn (NS) en Niels van Oort (TU Delft) beschrijven hun onderzoek.","Reisinformatie; Post-Corona; Gedrag; Onderzoek","nl","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:be3f0c22-8b34-434d-a0cf-5bad82f165ac","http://resolver.tudelft.nl/uuid:be3f0c22-8b34-434d-a0cf-5bad82f165ac","Engaging the crowd in sensing for smart mobility: A discrete choice experiment","Ding, Aaron Yi (TU Delft Information and Communication Technology); van den Boogert, R.J. (Student TU Delft)","","2023","With rising numbers of people living in cities leading to increasing congestion and pollution, mobile crowdsensing applications form a potential solution to make transport systems smarter and more efficient. However, sharing data comes with the risk of private information being disclosed. Therefore, a clear incentive is necessary to motivate smart device users to share data about their activities and their environment. Taking a choice modelling approach, this study aims to identify factors related to incentives and privacy that explain choice behavior of users in crowdsensing applications. We find that the effort required by users is a main factor influencing the willingness to share data. 47% of respondents (n=125) indicated to be highly concerned about their privacy. However, the risk of re-identification was found to be the least important factor to respondents, a finding which could be explained by the Privacy Paradox. Our findings imply that a trade-off has to be made by developers of crowdsensing applications between the richness of information on one hand, and the privacy risks and participation rate of users on the other hand. We propose three practical principles for designing effective and value-sensitive crowdsensing applications for smart mobility, which are 1) Tailor-made applications, 2) Transparency by design, and 3) Ensuring attractiveness of applications. Furthermore, our study provides a basis for further research on user preferences in smart mobility applications, which will become increasingly important in the light of current challenges in the field of mobility.","Choice Modelling; Crowdsensing; Information sharing; Interviews; Privacy; Privacy Calculus; Sensors; Smart devices; Smart mobility services; Urban areas; Willingness to share data","en","journal article","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:9146303f-434d-4370-a636-0934c643f172","http://resolver.tudelft.nl/uuid:9146303f-434d-4370-a636-0934c643f172","A Hybrid Magnetic Current Sensor With a Multiplexed Ripple-Reduction Loop","Jouyaeian, A. (TU Delft Electronic Instrumentation); Fan, Q. (TU Delft Microelectronics); Brito Zamparette, R.L. (TU Delft Electronic Instrumentation); Ausserlechner, Udo (Infineon Technologies AG); Motz, Mario (Infineon Technologies AG); Makinwa, K.A.A. (TU Delft Microelectronics)","","2023","This article presents a hybrid magnetic current sensor for galvanically isolated measurements. It consists of a CMOS chip that senses the magnetic field generated by current flowing through a lead-frame-based current rail. Hall plates and coils are used to sense low-frequency (dc to 10 kHz) and high-frequency (10 kHz to 5 MHz) magnetic fields, respectively. With the help of on- chip calibration coils, the biasing current of the Hall plates is trimmed to match the sensitivity of the Hall and coil signal paths. The sensitivity drift of the coil path with temperature is compensated by using temperature-dependent gain-setting resistors, while the drift of the Hall path is compensated by biasing the Hall plates with a proportional- to-absolute-temperature (PTAT) current. The resulting sensitivity drift is less than 9% from-40 °C to 80 °C. The offset of the Hall plates is reduced by the current spinning technique, and the resulting ripple is suppressed by a multiplexed ripple-reduction loop (MMRL). Fabricated in a standard 0.18-μm CMOS process, the current sensor occupies 4.6 mm2 and draws 7.8 mA from a 1.8-V supply. It achieves a gain variation of only ±2% in a 5-MHz BW. It also achieves high energy efficiency, with an figure of merit (FoM) of 1.6 fW/Hz.","Galvanic isolation; hybrid current sensors; magnetic current sensing; ripple-reduction loop (RRL); temperature compensation; wide bandwidth","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-30","","Microelectronics","Electronic Instrumentation","","",""
"uuid:05e71f13-16fd-4458-8584-b9369540f39e","http://resolver.tudelft.nl/uuid:05e71f13-16fd-4458-8584-b9369540f39e","A 1.2-mW/Channel Pitch-Matched Transceiver ASIC Employing a Boxcar-Integration-Based RX Micro-Beamformer for High-Resolution 3-D Ultrasound Imaging","Guo, P. (TU Delft Bio-Electronics; TU Delft Electronic Instrumentation); Fool, F. (TU Delft ImPhys/Verweij group); Chang, Z.Y. (TU Delft Electronic Instrumentation); Noothout, E.C. (TU Delft ImPhys/Verweij group); Vos, H.J. (TU Delft ImPhys/Verweij group; Erasmus MC); Bosch, Johan G. (Erasmus MC); de Jong, N. (TU Delft ImPhys/De Jong group; Erasmus MC); Verweij, M.D. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verweij group; Erasmus MC); Pertijs, M.A.P. (TU Delft Electronic Instrumentation)","","2023","This article presents a low-power and small-area transceiver application-specific integrated circuit (ASIC) for 3-D trans-fontanelle ultrasonography. A novel micro-beamforming receiver architecture that employs current-mode summation and boxcar integration is used to realize delay-and-sum on an N -element sub-array using N× fewer capacitive memory elements than conventional micro-beamforming implementations, thus reducing the hardware overhead associated with the memory elements. The boxcar integration also obviates the need for explicit anti-aliasing filtering in the analog front end, thus further reducing die area. These features facilitate the use of micro-beamforming in smaller pitch applications, as demonstrated by a prototype transceiver ASIC employing micro-beamforming on sub-arrays of N=4 elements, targeting a wearable ultrasound device that monitors brain perfusion in preterm infants via the fontanel. To meet its strict spatial resolution requirements, a 10-MHz 100- μ m-pitch piezoelectric transducer array is employed, leading to a per-element die area > 2 × smaller than prior designs employing micro-beamforming.","Application-specific integrated circuit (ASIC); micro-beamformer (
various spatial claims: urban, rural, port interests, and environmental concerns?","ecological civilization; flood risk; hydraulic engineering; lockdown; long durée; port city; rural hinterland; shipping channel; spatial decentralization; urban delta","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:7819ae26-fa3d-4426-958d-dafb6b181cf9","http://resolver.tudelft.nl/uuid:7819ae26-fa3d-4426-958d-dafb6b181cf9","Performance evaluation of the AiDx multi-diagnostic automated microscope for the detection of schistosomiasis in Abuja, Nigeria","Makau-Barasa, Louise (The Ending Neglected Diseases (END) Fund); Assefa, Liya (The Ending Neglected Diseases (END) Fund); Solomon, Jacob (Federal Ministry of Health, Abuja); A-Enegela, Juliana (CBM International, Cambridge); Damen, James G. (University of Jos, Jos); Popoola, Samuel (Aidx Medical BV); Diehl, J.C. (TU Delft Design for Sustainability); Vdovin, Gleb (TU Delft Team Michel Verhaegen); Agbana, T.E. (TU Delft Team Michel Verhaegen; Aidx Medical BV)","","2023","In this research, we report on the performance of automated optical digital detection and quantification of Schistosoma haematobium provided by AiDx NTDx multi-diagnostic Assist microscope. Our study was community-based, and a convenient sampling method was used in 17 communities in Abuja Nigeria, based on the disease prevalence information extracted from the baseline database on schistosomiasis, NTD Division, of the Federal Ministry of Health. At baseline, samples from 869 participants were evaluated of which 358 (34.1%) tested S. haematobium positive by the reference diagnostic standard. Registered images from the fully automated (autofocusing, scanning, image registration and processing, AI image analysis and automatic parasite count) AiDx assist microscope were analyzed. The Semi automated (autofocusing, scanning, image registration & processing and manual parasite count) and the fully automated AiDx Assist showed comparable sensitivities and specificities of [90.3%, 98%] and [89%, 99%] respectively. Overall, estimated egg counts of the semi-automated & fully automated AiDx Assist correlated significantly with the egg counts of conventional microscopy (r = 0.93, p ≤ 0.001 and r = 0.89, p ≤ 0.001 respectively). The AiDx Assist device performance is consistent with requirement of the World Health Organization diagnostic target product profile for monitoring, evaluation, and surveillance of Schistosomiasis elimination Programs.","","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:3da27a86-e8aa-4f04-9b76-84e47915933c","http://resolver.tudelft.nl/uuid:3da27a86-e8aa-4f04-9b76-84e47915933c","Sustainability in the Future of Design Education","Faludi, Jeremy (TU Delft Circular Product Design); Acaroglu, Leyla (Disrupt Design); Gardien, Paul (Philips); Rapela, Ana (University of Buenos Aires); Sumter, Deborah (Hogeschool van Amsterdam); Cooper, Cindy (The Lemelson Foundation)","","2023","The Future of Design Education working group on sustainability developed recommendations for integrating sustainability into higher education design curricula. The recommendations provide a foundation for design instruction, using well-established evidence-based tools, methods, and mindsets that apply to professional practice and support designers as advocates for environmental and social responsibility. The document identifies core ideas for sustainable design, organized under a set of topics. These topics include sustainability fundamentals; circular economy; whole systems thinking; sustainable innovation strategies; impact assessment, and laws and standards; and communication, collaboration, and leadership. A summary table captures each idea, along with corresponding discussion and learning outcomes (things students should know and do). Recommendations are tailored to three levels of study: for all design students, students expecting to practice in sustainable design, and students in elective or advanced study. Resources for such study are also included.","Sustainable design; Design education; Future of design education; Circular design; Circular economy; Responsible design","en","journal article","","","","","","","","","","","Circular Product Design","","",""
"uuid:64811868-990b-4d09-a450-73eb6c2ff863","http://resolver.tudelft.nl/uuid:64811868-990b-4d09-a450-73eb6c2ff863","Conceptualizing Urban Inequalities as a Complex Socio-Technical Phenomenon","Nelson, R.J. (TU Delft Policy Analysis); Warnier, Martijn (TU Delft Multi Actor Systems); Verma, T. (TU Delft Policy Analysis)","","2023","The United Nations World Social Report (2020) reveals that more than two thirds of the world's population live in countries where urban inequalities have increased in the last three decades. While urban inequalities are traditionally characterized as an economic issue, scholars are increasingly applying methods from geospatial analysis to study them. In the context of these advancements, it remains unclear what underlying perspectives are guiding decisions to concentrate on certain aspects of urban inequalities, while potentially ignoring others. We address this gap by reviewing the literature centered on the geospatial analysis of urban inequalities and identify three predominant research lenses from accessibility, distribution, and policy and stakeholder perspectives. As a primary contribution of this article, we connect the perspectives with ideas drawn from complexity theory to develop an overarching socio-technical framework for how urban inequalities emerge over space and time. While traditional scientific frameworks seek to increase knowledge through causality, complexity science acknowledges the inherent challenges in defining, understanding and solving complex problems such as urban inequalities, which has profound implications for their representation, modeling and interpretation. We critically reflect on the framework through key relational themes and insights drawn from the literature and close with considerations for future research.","","en","journal article","","","","","","","","","","Multi Actor Systems","Policy Analysis","","",""
"uuid:89e7a4d9-b55c-4977-b1f3-039c4d59905c","http://resolver.tudelft.nl/uuid:89e7a4d9-b55c-4977-b1f3-039c4d59905c","The potential of near real-time monitoring of β-d-glucuronidase activity to establish effective warning systems in urban recreational waters","Makris, K. (TU Delft Sanitary Engineering; Partners4UrbanWater); Hoefeijzers, Bas (Municipality of Breda); Seelen, Laura (Waterboard Brabantse Delta); Schilperoort, R.P.S. (Partners4UrbanWater); Langeveld, J.G. (TU Delft Sanitary Engineering; Partners4UrbanWater)","","2023","Urban water is a crucial element of cities for the purpose of events and recreation, raising concern over the water quality and related hygienic safety. In this study, a near real-time monitoring system of the β-d-glucuronidase activity, the BACTcontrol, was tested in the canals of Breda city in the Netherlands in order to gain insight in its suitability to constitute part of an effective warning system for urban surface waters. Additionally, the qPCR method was also evaluated as a complementary method aiming at determining the E. coli or Bacteroides bacteria, while conventional culture-based measurements aiming at E. coli served as a reference. Analysis of the results obtained via monitoring and sampling during three consecutive bathing seasons revealed that the BACTcontrol demonstrated a timely but short response, implying that it was capable of detecting contamination peaks but not indicating when the water was hygienically safe again. This gap could be filled with qPCR measurements, which proved to provide reliable and fast results. Therefore, the combination of the BACTcontrol with qPCR measurements offers the opportunity to build an effective strategy concerning the use of urban surface waters for recreational purposes, based on timely information on the emergence and duration of contamination events.","","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:61f31dd9-2e38-4b2c-91d4-f39907284ee5","http://resolver.tudelft.nl/uuid:61f31dd9-2e38-4b2c-91d4-f39907284ee5","Simulation of a fully coupled 3D glacial isostatic adjustment – ice sheet model for the Antarctic ice sheet over a glacial cycle","van Calcar, C.J. (TU Delft Astrodynamics & Space Missions); van de Wal, Roderik S W (Universiteit Utrecht); Blank, B. (TU Delft Astrodynamics & Space Missions); de Boer, Bas (University of Twente; Universiteit Utrecht); van der Wal, W. (TU Delft Astrodynamics & Space Missions)","","2023","Glacial isostatic adjustment (GIA) has a stabilizing effect on the evolution of the Antarctic ice sheet by reducing the grounding line migration following ice melt. The timescale and strength of this feedback depends on the spatially varying viscosity of the Earth's mantle. Most studies assume a relatively long and laterally homogenous response time of the bedrock. However, the mantle viscosity is spatially variable, with a high mantle viscosity beneath East Antarctica and a low mantle viscosity beneath West Antarctica. For this study, we have developed a new method to couple a 3D GIA model and an ice sheet model to study the interaction between the solid Earth and the Antarctic ice sheet during the last glacial cycle. With this method, the ice sheet model and GIA model exchange ice thickness and bedrock elevation during a fully coupled transient experiment. The feedback effect is taken into account with a high temporal resolution, where the coupling time steps between the ice sheet and GIA model are 5000 years over the glaciation phase and vary between 500 and 1000 years over the deglaciation phase of the last glacial cycle. During each coupling time step, the bedrock elevation is adjusted at every ice sheet model time step, and the deformation is computed for a linearly changing ice load. We applied the method using the ice sheet model ANICE and a 3D GIA finite element model. We used results from a regional seismic model for Antarctica embedded in the global seismic model SMEAN2 to determine the patterns in the mantle viscosity. The results of simulations over the last glacial cycle show that differences in mantle viscosity of an order of magnitude can lead to differences in the grounding line position up to 700gkm and to differences in ice thickness of the order of 2gkm for the present day near the Ross Embayment. These results underline and quantify the importance of including local GIA feedback effects in ice sheet models when simulating the Antarctic ice sheet evolution over the last glacial cycle.","","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:efeeaa17-5c1c-43d8-93a5-93fdf5953abe","http://resolver.tudelft.nl/uuid:efeeaa17-5c1c-43d8-93a5-93fdf5953abe","Uncovering the challenges of urban digital twins: Identifying and ranking the barriers to operating digital twins in cities","Lei, Binyu (National University of Singapore); Stoter, J.E. (TU Delft Urban Data Science); Janssen, Patrick (White Lioness Technologies); Biljecki, Filip (National University of Singapore)","","2023","To encourage discussion of the challenges hindering the design and implementation of digital twins, the authors have ranked them based on a systematic literature review combined with an expert survey.","","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:fa43f957-13a8-411b-b51a-96174a1c3c5f","http://resolver.tudelft.nl/uuid:fa43f957-13a8-411b-b51a-96174a1c3c5f","Demonstration of Scalable Series-Connected Submodule of Modular Multilevel Converter-based Arbitrary Wave shape Generator used for High Voltage Testing from Off-the-Shelf Component","Ganeshpure, D.A. (TU Delft High Voltage Technology Group); Soeiro, Thiago B. (TU Delft DC systems, Energy conversion & Storage; University of Twente); Gagic, Mladen (TU Delft ESP LAB); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Bauer, P. (TU Delft DC systems, Energy conversion & Storage); Vaessen, P.T.M. (TU Delft High Voltage Technology Group)","","2023","To test high-voltage (HV) equipment with increasingly complex transients obtained from various power system studies, this article demonstrates a hardware implementation of a medium-voltage (MV) submodule (SM) to be used in a modular multilevel converter (MMC)-based HV arbitrary wave shape generator (AWG). The MV SM is scalable with its own onboard auxiliary power supply (APS), and it is constructed by connecting three full-bridge SMs in series from the commercially available component. The designed MV SM can be operated for a wide voltage range of 0.8-2.7 kV to incorporate different test objects ranging from HV insulation material to MV equipment and generate a wide output range of 0.12-1.2 kV. Considering the hardware nonidealities in the APS, gate driver, and switches, the series operation of three SMs is ensured using an arm energy controller. Based on the current-based model of APS, SM capacitance design criteria are updated for variable-frequency output waveform, and the minimum dc-link voltage is calculated for the proper start-up of this scalable MMC module. Apart from the variable voltage per SM, the HV AWG application poses different conditions, such as a low value of SM capacitance value and the HV dc sources with a current rating of a few tens of milliamperes. Hence, this article proposes exclusive design guidelines for the proper start-up, steady-state, and shutdown operation of the MMC-based AWG. In addition, this article dives deeper analytically into the soft start-up algorithm to understand its working principle and to design the average charging current within the limit for any number of SMs of the arm. In the end, their performance is showcased with a single MV SM per arm, operating at a different voltage (0.8-2.7 kV) and frequency levels (1-600 Hz) and generating different wave shapes, such as triangular, sinusoidal with different harmonics, and pulse waveforms. In addition, the fault ride-through capability is verified for the MMC-based HV AWG.","Arbitrary Wave shape Generator; Current transformers; Dielectrics; Hardware; HV Testing; Insulation; Modular Multilevel Converter; Off-the-Shelf Component; Scalable MV Submodule Design; Shape; Testing; Voltage transformers","en","journal article","","","","","","","","","","","High Voltage Technology Group","","",""
"uuid:9ac6730b-ebff-4605-8284-490de2406caf","http://resolver.tudelft.nl/uuid:9ac6730b-ebff-4605-8284-490de2406caf","Integration of thermo-electric coolers into the CMS MTD SiPM arrays for operation under high neutron fluence","Bornheim, A. (California Institute of Technology); Lustermann, W. (ETH Zürich); Stachon, K. (ETH Zürich); Reales Gutiérrez, G. (TU Delft Computational Design and Mechanics); Benaglia, A. (INFN Sezione di Milano-Bicocca); De Guio, F. (INFN Sezione di Milano-Bicocca; Università degli Studi di Milano Bicocca); Ghezzi, A. (INFN Sezione di Milano-Bicocca; Università degli Studi di Milano Bicocca); Lucchini, M. T. (INFN Sezione di Milano-Bicocca; Università degli Studi di Milano Bicocca); Malberti, M. (INFN Sezione di Milano-Bicocca)","","2023","The barrel section of the novel MIP Timing Detector (MTD) will be constructed as part of the upgrade of the CMS experiment to provide a time resolution for single charged tracks in the range of 30-60 ps using LYSO:Ce crystal arrays read out with Silicon Photomultipliers (SiPMs). A major challenge for the operation of such a detector is the extremely high radiation level, of about 2 × 1014 1 MeV(Si) Eqv. n/cm2, that will be integrated over a decade of operation of the High Luminosity Large Hadron Collider (HL-LHC). Silicon Photomultipliers exposed to this level of radiation have shown a strong increase in dark count rate and radiation damage effects that also impact their gain and photon detection efficiency. For this reason during operations the whole detector is cooled down to about -35°C. In this paper we illustrate an innovative and cost-effective solution to mitigate the impact of radiation damage on the timing performance of the detector, by integrating small thermo-electric coolers (TECs) on the back of the SiPM package. This additional feature, fully integrated as part of the SiPM array, enables a further decrease in operating temperature down to about -45°C. This leads to a reduction by a factor of about two in the dark count rate without requiring additional power budget, since the power required by the TEC is almost entirely offset by a decrease in the power required for the SiPM operation due to leakage current. In addition, the operation of the TECs with reversed polarity during technical stops of the accelerator can raise the temperature of the SiPMs up to 60°C (about 50°C higher than the rest of the detector), thus accelerating the annealing of radiation damage effects and partly recovering the SiPM performance.","Detector cooling and thermo-stabilization; Photon detectors for UV, visible and IR photons (solid-state) (PIN diodes, APDs, Si-PMTs, G-APDs, CCDs, EBCCDs, EMCCDs, CMOS imagers, etc); Radiation-hard detectors; Timing detectors","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:c2157d14-0363-4f95-92b9-03cb1331e544","http://resolver.tudelft.nl/uuid:c2157d14-0363-4f95-92b9-03cb1331e544","Intercity networks and urban performance: a geographical text mining approach","Tongjing, Wang (Universiteit Utrecht); Meijers, E.J. (TU Delft Urban Studies; Universiteit Utrecht); Bao, Ziyu; Wang, H. (TU Delft Multimedia Computing)","","2023","Compared to the burgeoning literature discussing the importance of agglomeration externalities for development, limited attention has been given to network externalities. This is largely due to limited data availability. We propose a general measure to proxy city network externalities based on toponym co-occurrences that indicate the relatedness between cities. This paper extracts intercity relationships based on the co-occurrence of Chinese place names on 2.5 billion webpages. We calculate and map absolute and relative network positions, which we use to explain urban labour productivity. We found that a stronger embeddedness in networks of cities is significantly and positively associated with urban productivity. Smaller cities benefit comparatively more from being well embedded in city networks, suggesting that these relations can compensate for a lack of agglomeration externalities. We also compare the importance for urban performance of city network externalities vis-à-vis agglomeration externalities. City network externalities turn out to be more important in explaining urban performance than agglomeration externalities. This calls for new theorizing on a relational approach to urban and regional development. Rather than stimulating further concentration of urbanization, our findings suggest that fostering relationships between cities is a viable alternative urban development strategy. We conclude with suggestions for a research agenda that delves deeper into city network externalities.","agglomeration externalities; China; City networks; labour productivity; regional development; urban system","en","journal article","","","","","","","","","","","Urban Studies","","",""
"uuid:a6608647-17f3-455b-b79b-e348d687e6b3","http://resolver.tudelft.nl/uuid:a6608647-17f3-455b-b79b-e348d687e6b3","Does the sun shine for all? Revealing socio-spatial inequalities in the transition to solar energy in The Hague, The Netherlands","Kraaijvanger, C.W. (TU Delft Policy Analysis); Verma, T. (TU Delft Policy Analysis); Doorn, N. (TU Delft Ethics & Philosophy of Technology); Goncalves, J. E. (TU Delft Spatial Planning and Strategy)","","2023","With technological advances and decreasing prices, solar energy is a key technology in the urban energy transition. However, the focus on increasing the overall installed capacity has overshadowed energy justice considerations, leading to inequalities in solar energy adoption. This paper adopts an equity perspective to analyse the transition to solar (photovoltaic) energy in the city of The Hague, The Netherlands. Access to solar energy is at the core of the research, encapsulating factors that influence the ability of a household to adopt solar energy. Through a socio-spatial analysis at the postcode level, we identify four distinct groups with varying levels of access to solar energy. Our results show that these groups are not only strongly segregated across the city but also overlap with existing socio-spatial inequalities. The four levels of access to solar energy are then compared to current solar adoption rates and technical rooftop energy potential in the city. Results show that decreasing levels of access to solar energy align with decreasing adoption rates, revealing that current policies fail to provide equitable access to solar energy leading to inequalities in adoption rates. Furthermore, we show that most of the technical potential available in The Hague is in areas where access to solar energy is limited, representing opportunities to exploit a significant amount of untapped technical potential while addressing existing socio-spatial inequalities. Here, we also identify two groups of interest and related leverage points for future policy interventions to address equity in the transition to solar energy in The Hague.","Access to solar energy; Energy justice; Energy policy; Energy transition; Residential solar photovoltaic","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:7e05203c-f094-4cc1-8a86-206467b4f811","http://resolver.tudelft.nl/uuid:7e05203c-f094-4cc1-8a86-206467b4f811","Prioritization of micropollutants based on removal effort in drinking water purification treatment","Pronk, Tessa E. (KWR Water Research Institute); Fischer, A. (TU Delft Sanitary Engineering; Evides); van den Berg, Annemijne E.T. (Universiteit Utrecht); Hofman, Roberta C.H.M. (KWR Water Research Institute; Wageningen University & Research; Hogeschool Utrecht)","","2023","A main focus of water managers with regard to micropollutants is the protection of aquatic ecology. However, micropollutants also have the potential to affect the production of clean drinking water. In this paper, we propose to consider the removal effort when assessing micro-pollutants with an ‘Effort Index’ (EI). Assessments using the EI show which micropollutants need more extensive monitoring or abatement because of their difficulty to be removed using low-effort water purification treatment techniques. For water containing mixtures of micro-pollutants, the averaged EI values can indicate overall water quality. Data on the removal by different purification treatment techniques are not necessarily available for all micropollutants. Therefore, a set of data-driven indicative removal rules is derived to quantify the relation between micropollutant properties and different drinking water treatment techniques. The indicative removal rules provide a rough indication of removability. As an illustration, the water quality of the river Rhine is evaluated between 2000 and 2018. The EI value shows that the Rhine contains increasingly more difficult-to-remove micropollutants. In total, 18 of those are labeled as particularly difficult-to-remove chemicals. These micropollutants are suggested as candidates for abatement to lower the required effort in drinking water production.","micropollutants; model; prioritization; purification treatment; removal; water quality","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:149af660-369a-46cc-81d5-e96e45be10a7","http://resolver.tudelft.nl/uuid:149af660-369a-46cc-81d5-e96e45be10a7","Improved reliability of perfusion estimation in dynamic susceptibility contrast MRI by using the arterial input function from dynamic contrast enhanced MRI","Tseng, C. (TU Delft ImPhys/Vos group; TU Delft ImPhys/Computational Imaging; Universiteit Leiden; Medical Delta); Jaspers, Jaap (Universiteit Leiden; Erasmus MC); Romero, Alejandra Mendez (Universiteit Leiden; Erasmus MC); Wielopolski, Piotr (Erasmus MC); Smits, M. (Erasmus MC; Medical Delta); van Osch, Matthias J.P. (Universiteit Leiden; Leiden University Medical Center; Medical Delta); Vos, F.M. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Vos group; Universiteit Leiden; Erasmus MC; Medical Delta)","","2023","The arterial input function (AIF) plays a crucial role in estimating quantitative perfusion properties from dynamic susceptibility contrast (DSC) MRI. An important issue, however, is that measuring the AIF in absolute contrast-agent concentrations is challenging, due to uncertainty in relation to the measured (Formula presented.) -weighted signal, signal depletion at high concentration, and partial-volume effects. A potential solution could be to derive the AIF from separately acquired dynamic contrast enhanced (DCE) MRI data. We aim to compare the AIF determined from DCE MRI with the AIF from DSC MRI, and estimated perfusion coefficients derived from DSC data using a DCE-driven AIF with perfusion coefficients determined using a DSC-based AIF. AIFs were manually selected in branches of the middle cerebral artery (MCA) in both DCE and DSC data in each patient. In addition, a semi-automatic AIF-selection algorithm was applied to the DSC data. The amplitude and full width at half-maximum of the AIFs were compared statistically using the Wilcoxon rank-sum test, applying a 0.05 significance level. Cerebral blood flow (CBF) was derived with different AIF approaches and compared further. The results showed that the AIFs extracted from DSC scans yielded highly variable peaks across arteries within the same patient. The semi-automatic DSC–AIF had significantly narrower width compared with the manual AIFs, and a significantly larger peak than the manual DSC–AIF. Additionally, the DCE-based AIF provided a more stable measurement of relative CBF and absolute CBF values estimated with DCE–AIFs that were compatible with previously reported values. In conclusion, DCE-based AIFs were reproduced significantly better across vessels, showed more realistic profiles, and delivered more stable and reasonable CBF measurements. The DCE–AIF can, therefore, be considered as an alternative AIF source for quantitative perfusion estimations in DSC MRI.","arterial input function; cerebral blood flow; cerebral blood volume; dynamic contrast enhanced MRI; dynamic susceptibility contrast MRI","en","journal article","","","","","","","","","","","ImPhys/Vos group","","",""
"uuid:2835d608-b840-4022-8da5-5e140823ba39","http://resolver.tudelft.nl/uuid:2835d608-b840-4022-8da5-5e140823ba39","Extensive analysis of PCM-based heat sink with different fin arrangements under varying load conditions and variable aspect ratio","Nedumaran, Muthamil Selvan (National Institute of Technology Karnataka); Gnanasekaran, Nagarajan (National Institute of Technology Karnataka); Hooman, K. (TU Delft Process and Energy)","","2023","The present study compares a modified variable height fin heat sink with the conventional constant height fin heat sink. The two heat sinks are filled with an equal volume of PCM (n-eicosane) and a fin volume fraction of 8 %. The experiments are performed for constant loads and also different power surge conditions. The pulsed heat loads are applied for two scenarios: 1. Constant load 4 W - power surge and constant load 4 W - power surge - 1800 s no-load condition, and 2. Power surge (50 s, 100 s, and 150 s) - no-load conditions of 1800 s. During experiments, the proposed variable height fin heat sinks possess better thermal performance for all load scenarios. Further, a 3D computational model is developed using ANSYS Fluent 19 to assess not only the effect of fin arrangement for different aspect ratios but also the impact of fin shape. The enclosure aspect ratio employed for the given study ranges from 0.3 to 0.8 for both the heat sinks. Regarding the fin structure in a heat sink, four types of fin shapes are adopted: square, circular, diamond, and triangular. The contour images of temperature and the liquid fraction are shown for the charging process. For the discharging process, the time required for the heat sinks to completely solidify the PCM is discussed. From the outcomes, variable height fin heat sinks provide enhanced melting/solidification for all the aspect ratios and fin shapes considered. As the aspect ratio increases, the time difference between the heat sink for the completion of the discharging cycle is reduced. Moreover, the triangular shaped fin shows a higher enhancement percentage of 2.29 % and 1.43 % during melting and 6.25 % and 12.5 % during solidification for both the heat sinks, respectively.","Heat sink; Melting; Phase change material; Pulsed power surge; Solidification; Transient thermal response; Variable fin height","en","journal article","","","","","","","","","","Process and Energy","","","",""
"uuid:d2212587-436e-48ba-8e6a-c178b185732d","http://resolver.tudelft.nl/uuid:d2212587-436e-48ba-8e6a-c178b185732d","The Texas Coast: Ship Channel Network of the Petroleum Age","Lessoff, A.H. (TU Delft History, Form & Aesthetics; Illinois State University)","","2023","This article provides an overview of the Texas Gulf Coast as a port city region dedicated above all to oil and gas. By the late 1800s, the same trends in transportation and industry that encouraged ship channel construction around the world drew attention to schemes to transform the Gulf Coast’s shallow bays and estuaries into inland deep-water harbors. An added factor in Texas was the vulnerability of Galveston and other coastal locations to hurricanes. Between 1902, when construction began on the 52-mile Houston Ship Channel, and the 1950s–60s, when a deep-water channel opened at Matagorda Bay along the mid-Texas coast, various levels of government—local, state, and national—combined to engineer one of the world’s most elaborate navigation networks. Six deep-water channels were woven together by Gulf Intracoastal Waterway, which connected Texas to the Mississippi and beyond. During the years when these ports were taking shape, the Texas oil industry had begun to burgeon. In a reflection of the pre-Spindletop origins of Texas’s deep-water movement, policy and planning continued to assume, until oil’s dominance had become clear, that even the massive ship channels at Houston and Corpus Christi would serve mainly as outlets for agricultural commodities. It was the organizers of the state’s petroleum sector who came to understand the Texas ship channels as exemplary locations for aggregating their diverse operations. This interplay between civil engineering and the energy sector made coastal Texas into a dynamic urban port region. Petroleum and petrochemicals, however, so thoroughly imprinted themselves on the landscape, economy, and life of Texas’s oil port region that the region’s post-oil future remained difficult to envision.","Beaumont; climate change; Corpus Christi; Houston; petroleum industry; Port Arthur; port cities; ship channels; Texas cities","en","journal article","","","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:d861b3c4-234d-4b00-810e-e42e667c0be7","http://resolver.tudelft.nl/uuid:d861b3c4-234d-4b00-810e-e42e667c0be7","Promising solutions for railway operations to cope with future challenges — Tackling COVID and beyond","Wang, Z. (TU Delft Transport and Planning); Aoun, J. (TU Delft Transport and Planning); Szymula, Christopher (Technische Universität Dresden); Bešinović, Nikola (TU Delft Transport and Planning; Technische Universität Dresden)","","2023","The COVID-19 pandemic has imposed a dramatic effect on the mobility habits of both passengers and freight in the rail sector. Since the relaxation of COVID-19 restrictions worldwide, rail transport has been revitalised gradually. However, the new normal emerges with unprecedented issues, such as changed travel behaviour, lost profits, and a lack of personnel. In this paper, we determine the arising challenges due to COVID-19 and pandemics in general and subsequently propose several solutions to tackle these challenges in rail transport. These solutions cover multidisciplinary aspects such as passenger demand management, freight demand management, service design, automation, decentralisation and advanced railway technologies. By reviewing the relevant literature on COVID-19, public transport and particularly rail transport, we synthesise and identify promising lines of research that should devote more attention to a more efficient, effective and sustainable rail transport service. This paper provides policymakers, researchers, railway infrastructure managers and undertakings with an overview and an outlook for the impacts of the pandemic crisis and similar situations. It supports decision-making with more evidence and facilitates rail transport to restore its performance and reach its societal goal.","Capacity management; COVID-19; Railway technology; Railways; Resilience","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:1f610c78-6000-42c7-9319-264a3e2e41b7","http://resolver.tudelft.nl/uuid:1f610c78-6000-42c7-9319-264a3e2e41b7","Sex differences in characteristics of atrial fibrillation recurrence post surgical pulmonary vein isolation","Veen, Danny (Erasmus MC; Onze Lieve Vrouwe Gasthuis); Verbeek, Eva C. (Onze Lieve Vrouwe Gasthuis); Kavousi, Maryam (Erasmus MC); Huigen, Jos (Onze Lieve Vrouwe Gasthuis); Mijnen-Schra, Annet (Onze Lieve Vrouwe Gasthuis); Cocchieri, Riccardo (Onze Lieve Vrouwe Gasthuis); Khan, Muchtiar (Onze Lieve Vrouwe Gasthuis); de Groot, N.M.S. (TU Delft Biomechanical Engineering; TU Delft Signal Processing Systems; Erasmus MC)","","2023","Background: Prior studies demonstrated that female sex is associated with arrhythmia recurrence after endovascular pulmonary vein isolation (PVI). However, it is unknown if the sexes differ in outcome after video assisted thoracoscopic (VATS) PVI. The aim of this study was therefore to compare characteristics of recurrent AF episodes in a matched male and female population, using implantable loop recorders for continuous rhythm monitoring. Methods: 40 matched (based on propensity score) males (age: 60.0 ± 7.71 (45–75)) and females (age: 62.0 ± 7.0 (37–74)) were retrieved from an existing database from a prior conducted study by the cardiothoracic department of the OLVG hospital (1) containing patients who received an implantable looprecorder and underwent a VATS PVI between 2012 and 2017. Patients were continuously monitored for a period of 12 months after VATS PVI and AF characteristics were compared. Results: An equal number of males and females had AF episodes during all periods (P > 0.05). The number of AF episodes was higher in females, during the first 6 months (P = 0.01, P = 0.034). During the entire follow up, the total AF duration was longer in females (P = 0.01, for all periods) with shorter inter - episode intervals (P = 0.001, P = 0001, P = 0.04) and a higher AF burden (P = 0.003, P = 0001, P = 0.006). After 3 months, AF recurrences during the night were more frequently observed in female patients (P = 0.001, P = 0.001). Conclusions: AF episodes occur frequently in both sexes after VATS PVI and warrant frequent rhythm monitoring. The observed sex differences in AF burden after VATS PVI, calls for intensive rhythm monitoring and aggressive treatment of recurrent AF epsiodes in females.","AF recurrences; Atrial fibrillation; Sex differences; VATS PVI","en","journal article","","","","","","Publisher Copyright: © 2023 The Authors","","","","Biomechanical Engineering","Signal Processing Systems","","",""
"uuid:9f37ed35-47e3-4c4b-83af-165c6d1d4a91","http://resolver.tudelft.nl/uuid:9f37ed35-47e3-4c4b-83af-165c6d1d4a91","Can ChatGPT Pass High School Exams on English Language Comprehension?","de Winter, J.C.F. (TU Delft Human-Robot Interaction)","","2023","Launched in late November 2022, ChatGPT, a large language model chatbot, has garnered considerable attention. However, ongoing questions remain regarding its capabilities. In this study, ChatGPT was used to complete national high school exams in the Netherlands on the topic of English reading comprehension. In late December 2022, we submitted the exam questions through the ChatGPT web interface (GPT-3.5). According to official norms, ChatGPT achieved a mean grade of 7.3 on the Dutch scale of 1 to 10—comparable to the mean grade of all students who took the exam in the Netherlands, 6.99. However, ChatGPT occasionally required re-prompting to arrive at an explicit answer; without these nudges, the overall grade was 6.5. In March 2023, API access was made available, and a new version of ChatGPT, GPT-4, was released. We submitted the same exams to the API, and GPT-4 achieved a score of 8.3 without a need for re-prompting. Additionally, employing a bootstrapping method that incorporated randomness through ChatGPT’s ‘temperature’ parameter proved effective in self-identifying potentially incorrect answers. Finally, a re-assessment conducted with the GPT-4 model updated as of June 2023 showed no substantial change in the overall score. The present findings highlight significant opportunities but also raise concerns about the impact of ChatGPT and similar large language models on educational assessment.","GPT-3.5; GPT-4; Large language model; Educational assessment; Reading comprehension","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:e0aaced3-49c8-4a5d-9d65-c7c808415639","http://resolver.tudelft.nl/uuid:e0aaced3-49c8-4a5d-9d65-c7c808415639","In gebiedstransformaties barrières doorbreken: geld alleen is niet genoeg","Verheul, W.J. (TU Delft Urban Development Management)","","2023","Het Rijk probeert de woningbouwproductie aan te jagen met woningbouwimpulsen, infrastructuurgelden en bijdragen voor NOVEX-gebieden. TU Delft-onderzoeker Wouter Jan Verheul bekeek 25 stedelijke gebiedstransformaties. Zijn conclusie: naast financiën zijn ook capaciteit en expertise essentieel om blokkades op te ruimen.","","nl","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:fc0bb2f2-6792-442b-a914-2d6ed63a93d6","http://resolver.tudelft.nl/uuid:fc0bb2f2-6792-442b-a914-2d6ed63a93d6","Tracking Multiphase Flows through Steep Reservoirs with External Constraint","Nazeer, Mubbashar (Government College University Faisalabad, Chiniot Campus); Ali, W. (TU Delft Environmental Fluid Mechanics); Hussain, Farooq (University of Information Technology, Engineering and Management Sciences)","","2023","Problem statement: The study offers theoretical formulations for high-viscosity particulate flows in inclined reservoirs, taking into account the presence of homogeneous spheroidal particles of various types to produce discrete two-phase suspensions. Purpose: The primary objective of this analytical and comparative study is to identify the most dependable nanoparticles among hafnium and crystal metals that are suspended in an Eyring–Powell fluid through an inclined channel while being subjected to external magnetic and gravitational forces. Solution methodology: The flow dynamics of multiphase flows are formulated utilizing the stress tensor of the base fluid. The regular perturbation method (RPM) is employed to attain a more closed-form solution. The perturbation method is frequently employed in engineering problems to obtain an approximated solution, even when demonstrating the convergence of the solution is challenging. The rough solution is also validated through a thorough parametric analysis that shows the role of relevant parameters that contribute to the multiphase flow. Results: A concise parametric study is carried out against some of the most pertinent parameters and reveals that additional particles have promising effects on the momentum of each multiphase flow, whereas Eyring–Powell multiphase suspensions lessen in momentum due to strong internal viscous forces. The velocity of fluid and particle phases diminish with Hartmann number M and Froude number Fr. The second-order material constant B and concentration of nanoparticles C boost the motion of the fluid. The velocities of the particulate phase are quicker than the fluid phase. The hafnium particle is more reliable than crystal particles. Solution benchmark: Numerical and graphical findings have also been compared with the existing literature for the limiting case and found to be fully in agreement. Applications: This study’s findings provide a wider understanding of subterranean flows, specifically within the petroleum sector, with a focus on multiphase flows. Originality: The current study represents the authors’ original work and has not been previously submitted or published elsewhere.","multiphase; spherically homogeneous; suspension; reservoir; coherence","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:4b2f5aed-c520-4120-894f-dedd897bc4db","http://resolver.tudelft.nl/uuid:4b2f5aed-c520-4120-894f-dedd897bc4db","Numerical Simulations of Effects of the Layout of Permeable Pile Groin Systems on Longshore Currents","Zhang, R. (TU Delft Coastal Engineering; Hohai University); Chen, Y. (Hohai University); Yao, P. (Hohai University); Stive, M.J.F. (TU Delft Coastal Engineering); Zeng, Jian (Zhejiang Institute of Marine Planning and Design)","","2023","Coastal permeable groins have been used to protect beaches from erosion for centuries. However, the hydraulic functioning of permeable groins has not been fully understood and their design heavily depends on engineering experiences. In this study, numerical experiments were executed to investigate the effects of layout configurations of a permeable groin system on longshore currents. The non-hydrostatic SWASH (Simulating WAve till SHore) model was employed to carry out the numerical simulations. Two data sets obtained from physical laboratory experiments with different permeable groin layouts on different slopes are used to validate the accuracy of the model. Then, the longshore current reduction by the permeable groin system with varying configuration parameters (e.g., groin spacing, groin length) was numerically investigated under different environmental conditions (e.g., a slight or a moderate wave climate). From the calculation results of numerical experiments, it is indicated that permeable groins function efficiently to reduce the maximal longshore current velocity under the condition that the groin length ranges from 84% and 109% of the wave breaker zone width. The longshore current reduction rate monotonously decreases with the increase in groin spacing; permeable pile groin functions best to reduce longshore current with the minimal groin spacing-groin length ratio 1:1 among the range between 1:1 and 2:1. When the groin spacing–groin length ratios are 1:1 and 1.5:1, the longshore current reduction is not sensitive to the investigated wave conditions in this study. When the spatial ratio is 2:1, the permeable pile groin system functions worse under a moderate wave climate than under a slight wave climate, from the view of longshore current reduction.","permeable pile groin; layout design; SWASH; longshore current; longshore current reduction","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:0115a6a1-da19-4e7d-8967-170f054e2750","http://resolver.tudelft.nl/uuid:0115a6a1-da19-4e7d-8967-170f054e2750","Cyber Attacks on Power Grids: Causes and Propagation of Cascading Failures","Subramaniam Rajkumar, Vetrivel (TU Delft Intelligent Electrical Power Grids); Stefanov, Alexandru (TU Delft Intelligent Electrical Power Grids); Presekal, A. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Electrical Sustainable Energy); Rueda, José L. (TU Delft Intelligent Electrical Power Grids)","","2023","Cascading effects in the power grid involve an uncontrolled, successive failure of elements. The root cause of such failures is the combined occurrence of multiple, statistically rare events that may result in a blackout. With increasing digitalisation, power systems are vulnerable to emergent cyber threats. Furthermore, such threats are not statistically limited and can simultaneously occur at multiple locations. In the absence of real-world attack information, however, it is imperative to investigate if and how cyber attacks can cause power system cascading failures. Hence, in this work we present a fundamental analysis of the connection between the cascading failure mechanism and cyber security. We hypothesise and demonstrate how cyber attacks on power grids may cause cascading failures and a blackout. To do so, we perform a systematic survey of major historic blackouts caused by physical disturbances, and examine the cascading failure mechanism. Subsequently, we identify critical cyber-physical factors that can activate and influence it. We then infer and discuss how cyber attack vectors can enable these factors to cause and accelerate cascading failures. A synthetic case-study and software-based simulation results prove our hypothesis. This analysis enables future research into cyber resilience of power grids.","","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:e2c3c09f-4afc-495f-b296-ffc777b73ad8","http://resolver.tudelft.nl/uuid:e2c3c09f-4afc-495f-b296-ffc777b73ad8","‘Zeitenwende’: The retrenchment – or not – of ‘territorialism’?","Faludi, A.K.F. (TU Delft Spatial Planning and Strategy)","","2023","‘Zeitenwende’ as announced by German Chancellor Olaf Scholz in relation to Ukraine suggests the retrenchment of ‘territorialism’. I discuss this with a focus on Poland. Western Ukraine has after all been Eastern Poland until becoming part of the Ukrainian SSR in 1939. Enlarged with Crimea, the same SSR declared independence from the Soviet Union in 1991 at a time when Poland was aiming to join the EU and NATO. Now, Poland is a conduit of assistance, military or otherwise to Ukraine. In due course she may need to reconsider her aspiration, as good as fulfilled now, for being a consolidated nation-state. The alternative in 1919 was something like the Polish-Lithuanian Commonwealth of old. That model may become relevant once more. If so, then this would be like my alternative – neo-medievalism – to a territorialism under which nation-states are the exclusive building blocks of the international order. Neo-medievalism stands for political action, including defence, no longer being the exclusive province of the territorial nation-state. If so, then thinking about the state as if it were the owner on behalf of the nation of its territory needs to adapt.","neo-medievalism; Poland; Polish-Lithuanian Commonwealth; territorialism; Ukraine","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:b45b4a13-c2ff-415e-9cde-2ac3965c9688","http://resolver.tudelft.nl/uuid:b45b4a13-c2ff-415e-9cde-2ac3965c9688","Overview of Space-Capable Global Navigation Satellite Systems Receivers: Heritage, Status and the Trend towards Miniaturization","Gill, E.K.A. (TU Delft Space Systems Egineering); Morton, Jade (University of Colorado Boulder); Axelrad, Penina (University of Colorado Boulder); Akos, Dennis M. (University of Colorado Boulder); Centrella, M. (TU Delft Space Systems Egineering); Speretta, S. (TU Delft Space Systems Egineering)","","2023","Spaceborne Global Navigation Satellite Systems (GNSS) receivers have become ubiquitous sensors for spacecraft navigation, especially in Low Earth Orbits (LEOs), often also supporting science endeavors or as acting dedicated science payloads. Due to the large number of space-capable GNSS receiver models available, spacecraft designers, as well as scientists, may find it difficult to have or gain an overview of suitable state-of-the-art models for their purposes and constraints. Based on a literature review that included more than 90 different receiver models, this paper aims to provide an overview of space-capable GNSS receivers that have a heritage in space missions. It analyses trends from the collected data and provides an outlook on miniaturized GNSS receiver models, which have a high potential of being used in future space missions.","GNSS; space receivers; space missions; COTS; miniaturization","en","journal article","","","","","","","","","","","Space Systems Egineering","","",""
"uuid:56c27dcc-5c3f-4b62-a901-094714c3589e","http://resolver.tudelft.nl/uuid:56c27dcc-5c3f-4b62-a901-094714c3589e","Evaluation of the effect of previous endometriosis surgery on clinical and surgical outcomes of subsequent endometriosis surgery","Tummers, Fokkedien H.M.P. (Leiden University Medical Center); Peltenburg, Sophie I. (Leiden University Medical Center); Metzemaekers, Jeroen (Leiden University Medical Center); Jansen, F.W. (TU Delft Medical Instruments & Bio-Inspired Technology; Leiden University Medical Center); Blikkendaal, Mathijs D. (Haaglanden Medical Center; Reinier de Graaf Gasthuis)","","2023","Purpose: Patients often undergo repeat surgery for endometriosis, due to recurrent or residual disease. Previous surgery is often considered a risk factor for worse surgical outcome. However, data are scarce concerning the influence of subsequent endometriosis surgery. Methods: A retrospective study in a centre of expertise for endometriosis was conducted. All endometriosis subtypes and intra-operative steps were included. Detailed information regarding surgical history of patients was collected. Surgical time, intra-operative steps and major post-operative complications were obtained as outcome measures. Results: 595 patients were included, of which 45.9% had previous endometriosis surgery. 7.9% had major post-operative complications and 4.4% intra-operative complications. The patient journey showed a median of 3 years between previous endometriosis surgeries. Each previous therapeutic laparotomic surgery resulted on average in 13 additional minutes (p = 0.013) of surgical time. Additionally, it resulted in more frequent performance of adhesiolysis (OR 2.96, p < 0.001) and in a higher risk for intra-operative complications (OR 1.81, p = 0.045), however no higher risk for major post-operative complications (OR 1.29, p = 0.418). Previous therapeutic laparoscopic endometriosis surgery, laparotomic and laparoscopic non-endometriosis surgery showed no association with surgical outcomes. Regardless of previous surgery, disc and segmental bowel resection showed a higher risk for major post-operative complications (OR 3.64, p = 0.017 respectively OR 3.50, p < 0.001). Conclusion: Previous therapeutic laparotomic endometriosis surgery shows an association with longer surgical time, the need to perform adhesiolysis, and more intra-operative complications in the subsequent surgery for endometriosis. However, in a centre of expertise with experienced surgeons, no increased risk of major post-operative complications was observed.","Complications; Endometriosis; Patient journey; Recurrence; Repeat surgery","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:96dff9ca-3aa1-4882-a29d-c232616904c4","http://resolver.tudelft.nl/uuid:96dff9ca-3aa1-4882-a29d-c232616904c4","A Provably Stable Iterative Learning Controller for Continuum Soft Robots","Pierallini, M. (University of Pisa); Stella, F. (Swiss Federal Institute of Technology); Angelini, Franco (University of Pisa); Deutschmann, Bastian (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Hughes, Josie (Swiss Federal Institute of Technology); Bicchi, Antonio (University of Pisa; Fondazione Istituto Italiano di Tecnologia); Garabini, Manolo (University of Pisa); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR))","","2023","Fully exploiting soft robots' capabilities requires devising strategies that can accurately control their movements with the limited amount of control sources available. This task is challenging for reasons including the hard-to-model dynamics, the system's underactuation, and the need of using a prominent feedforward control action to preserve the soft and safe robot behavior. To tackle this challenge, this letter proposes a purely feedforward iterative learning control algorithm that refines the torque action by leveraging both the knowledge of the model and data obtained from past experience. After presenting a 3D polynomial description of soft robots, we study their intrinsic properties, e.g., input-to-state stability, and we prove the convergence of the controller coping with locally Lipschitz nonlinearities. Finally, we validate the proposed approach through simulations and experiments involving multiple systems, trajectories, and in the case of external disturbances and model mismatches.","Feedforward systems; Kinematics; Modeling, Control, and Learning for Soft Robots; Motion Control; Robots; Soft robotics; Solid modeling; Three-dimensional displays; Trajectory; Underactuated Robots","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:3b942384-88fd-4bfa-8bfa-a05e8eb2068c","http://resolver.tudelft.nl/uuid:3b942384-88fd-4bfa-8bfa-a05e8eb2068c","Distinctive sedimentary processes on two contrasting tidal flats of the Yellow River Delta","Xie, Weiming (East China Normal University); Sun, J. (TU Delft Coastal Engineering); Guo, Leicheng (East China Normal University); Xu, Fan (East China Normal University); Wang, Xianye (East China Normal University); Ji, Hongyu (East China Normal University); Fan, Yaoshen (Yellow River Conservancy Commission); Wang, Zhengbing (TU Delft Coastal Engineering; Deltares); He, Qing (East China Normal Univeristy)","","2023","Coastal tidal flats provide valuable ecosystems, but are highly sensitive to tidal dynamics, sea-level rise, and human activities. Tidal inundation depth and frequency are known to affect tidal flat morphodynamics. However, the causes, processes and extent remain uncertain, particularly given the associated changes in sediment availability. In this study, we monitored the hydrodynamics, sediment transport, and morphological changes on two tidal flats in the northern and southern parts of the Yellow River Delta, with contrasting tidal regimes. The data showed that longer inundation periods under diurnal tides gained additional sediment and deposition than under semi-diurnal tides, because of the associated increase in water depth and sediment availability. The wave impact increased at the site with a semi-diurnal tidal regime owing to the lower water depth, where a larger bed shear stress led to tidal flat erosion. These results indicated that the combination of tidal regime and the occurrence of powerful waves played a joint role in controlling bed erosion, sediment availability, and short-term tidal flat evolution. This has implications for coping with delta erosion by enhancing local sediment availability in diurnal tidal regions and restoring vegetation to attenuate waves in semi-diurnal regions of the Yellow River Delta.","tidal flat; hydrodynamics; sediment dynamics; inundation period; Yellow River Delta","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:83c13de5-0992-4e3e-b1a6-4b224f77c88e","http://resolver.tudelft.nl/uuid:83c13de5-0992-4e3e-b1a6-4b224f77c88e","Turning waste into value: eco-efficient recovery of by-products from biomass pretreatment in lignocellulosic biorefineries","Jankovic, T.J. (TU Delft BT/Bioprocess Engineering); Straathof, Adrie J.J. (TU Delft BT/Bioprocess Engineering); Kiss, A.A. (TU Delft ChemE/Product and Process Engineering)","","2023","This original research contributes to enhancing the viability of biorefineries through recovering valuable by-products from the liquid remaining after the biomass pretreatment by hot liquid water. A novel downstream processing method is developed for the recovery of acetic acid, formic acid, furfural and 5-hydroxymethylfurfural (HMF) by enhanced distillation. The major challenge in this research is the processing of the highly diluted initial solution (>96 wt% water) and the thermodynamic limitations owing to possible formation of several azeotropes. This new process recovers 78.7% of the acetic acid (99.8 wt%), while the rest of it is recycled back to the biomass pretreatment step together with most of the separated water from the initial solution. Over 99.5% of formic acid, furfural and HMF is also recovered, at purities of 74.7, 98.0 and 100 wt%, respectively. Vapor recompression and heat integration are implemented to decrease the energy use. The results demonstrate a 77.4% decrease in total annual costs (from $3.44 to 0.78/kgproduct), a 75.0% reduction in minimum average selling price (from $3.50 to 0.87/kgproduct), an 81.1% reduction in energy requirements (from 77.41 to 14.66 kWthh/kgproduct) and an up to 99.7% decrease in CO2 emissions (from 11.17 to 0.03 kgCO2/kgproduct).","biomass pretreatment; biorefineries; by-products recovery; downstream processing; lignocellulosic biomass","en","journal article","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:f589d3b0-dcf3-4ebf-9992-7d773d2c710c","http://resolver.tudelft.nl/uuid:f589d3b0-dcf3-4ebf-9992-7d773d2c710c","Evaluating railway track stiffness using axle box accelerations: A digital twin approach","Shen, C. (TU Delft Railway Engineering); Zhang, P. (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering); Zoeteman, A. (ProRail); Li, Z. (TU Delft Railway Engineering)","","2023","While various train-borne techniques have been developed for measuring railway track stiffness, differentiating stiffness at different track layers remains a challenge. This study proposes a digital twin framework for the vehicle–track interaction system, which enables track stiffness evaluations based on axle box accelerations (ABA). The digital twin consists of a physics-based model, a model library and data-driven models. Compared to existing techniques, the proposed method simultaneously evaluates the stiffness of the railpad, sleeper and ballast layers at a sleeper spacing resolution, while being robust to varying track conditions, such as track irregularities and vehicle speeds. This is accomplished by employing a localized frequency-domain ABA feature capable of distinguishing between the characteristics of different track layers. Furthermore, track stiffness is evaluated in near real-time. This is achieved using a model library derived from physics-based simulations of a range of track conditions. Two data-driven models that can quickly select or interpolate model instances contained in the library are developed. During operation, the data-driven models use the measured ABA features as input and then infer the stiffness for the different track layers. The proposed method is applied to evaluate the track stiffness of a downscale test rig in a case study. The track stiffness evaluated by the proposed method is compared with that obtained through hammer tests and with the observations of the track component conditions. These comparisons show that the proposed method can capture the stiffness variations due to periodically fastened clamps and substructure misalignments at different speeds. In addition, the proposed method is demonstrated to be superior to the commonly used hammer test method for evaluating track stiffness under loaded conditions.","Railway track stiffness; Axle box acceleration; Digital twin; Physics-based simulation; Gaussian process regression","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:e5cdf8e2-9b9e-4476-84ef-2f0592035a38","http://resolver.tudelft.nl/uuid:e5cdf8e2-9b9e-4476-84ef-2f0592035a38","Cognitive warfare: an ethical analysis","Miller, S.R.M. (TU Delft Ethics & Philosophy of Technology; Charles Sturt University; University of Oxford)","","2023","This article characterises the nature of cognitive warfare and its use of disinformation and computational propaganda and its political and military purposes in war and in conflict short of war. It discusses both defensive and offensive measures to counter cognitive warfare and, in particular, measures that comply with relevant moral principles.","Cognitive warfare; Computational propaganda; Disinformation; Freedom of communication; Liberal democracy; Necessity; Proportionality","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:cadf981f-1555-4631-a8c2-db373ebee15e","http://resolver.tudelft.nl/uuid:cadf981f-1555-4631-a8c2-db373ebee15e","Public transport crowding valuation in a post-pandemic era","Yap, M.D. (TU Delft Transport and Planning; Transport for London); Wong, Howard (Transport for London; University College London (UCL)); Cats, O. (TU Delft Transport and Planning)","","2023","It is important to understand how public transport passengers value on-board crowding since the outbreak of the COVID-19 pandemic. The main contribution of this study is to derive the crowding valuation of public transport passengers in a post-pandemic era entirely based on observed, actual passenger route choices. We derive passengers’ crowding valuation for the London metro network based on a revealed preference discrete choice model using maximum likelihood estimation. We find that after the passenger load on-board the metro reaches the seat capacity, the in-vehicle time valuation increases by 0.42 for each increase in the average number of standing passengers per square metre upon boarding. When comparing this result to a variety of crowding valuation studies conducted before the pandemic in London and elsewhere, we can conclude that public transport passengers value crowding more negatively since the pandemic. Furthermore, we found a ratio between out-of-vehicle time and in-vehicle time of 1.94 pre-pandemic and of 1.92 post-pandemic, based on which we conclude that the relative waiting/walking time valuation did not significantly change since the COVID-19 pandemic. Our study results contribute to a better understanding on how on-board crowding in urban public transport is perceived in a European context since the outbreak of the COVID-19 pandemic.","COVID-19; Crowding; Public transport; Revealed preference; Smart card data","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:72e7a4ef-184a-4fbb-8ed7-c672284b3a01","http://resolver.tudelft.nl/uuid:72e7a4ef-184a-4fbb-8ed7-c672284b3a01","Landschap als basis voor natuurinclusief bouwen","Nijhuis, S. (TU Delft Landscape Architecture)","","2023","Natuurinclusief bouwen is een actueel en belangrijk thema. Maar hoe doe je dat, natuurinclusief bouwen? We zien steeds meer goede toepassingen op het niveau van gebouwen met groene daken en wanden, nestgelegenheden voor vogels etc. en op het niveau van de openbare ruimte met vaste planten grassen, regentuinen, etc. Maar in hoeverre speelt de landschappelijke context daarbij een rol en wat is de potentie daarvan? Deze bijdrage betoogt dat duurzame verstedelijking, klimaatadaptatie en biodiversiteit vragen om een ontwerpaanpak die het landschap als uitgangspunt nemen. De bestaande landschappelijke logica biedt aanknopingspunten voor planning en ontwerp van een sociaal en ecologisch inclusieve ruimtelijke omgeving door de schalen heen; van stad tot straatprofiel. Het landschap biedt structuur, ecologische samenhang en variatie, maar is ook flexibel en multifunctioneel. Landschap vertegenwoordigd ook ruimtelijk-esthetische waarden als schoonheid en oriëntatie in ruimte en tijd. Het landschap is immers het resultaat van de jarenlange interactie van de mens met zijn natuurlijke omgeving. Maar hoe kunnen we landschaps-gebaseerd ontwerpen aan ruimtelijke opgaven? Deze bijdrage bespreekt een aantal manieren waarop het landschap als basis kan dienen voor natuurinclusief bouwen door de schalen heen.","natuurinclusief bouwen; landschapsarchitectuur; landscape-based urbanism; landschapsbenadering","nl","journal article","","","","","","","","","","","Landscape Architecture","","",""
"uuid:f36211a3-58e0-48ac-8e00-6d65b54a583e","http://resolver.tudelft.nl/uuid:f36211a3-58e0-48ac-8e00-6d65b54a583e","The DIA-Method for Navigational Integrity","Teunissen, P.J.G. (TU Delft Mathematical Geodesy and Positioning; Curtin University)","","2023","In this contribution we present a review of the DIA-method to ensure navigational integrity. The DIA-method rigorously combines parameter estimation and statistical testing for the Detection, Identification and Adaptation of multivariate and multiple model misspecifications. We describe the statistical properties of the so-obtained DIA-estimator together with its probability density function. Numerical examples are given to highlight various aspects of the navigational DIA-estimator.","Adaptation; Detection; DIA-estimator; Estimation; Identification; Integrity risk; Probability distribution; Statistical testing","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:3868193f-a25d-4e3a-8012-6e287fedbd4f","http://resolver.tudelft.nl/uuid:3868193f-a25d-4e3a-8012-6e287fedbd4f","Variance–covariance analysis of two high-resolution regional least-squares quasi-geoid models","Klees, R. (TU Delft Physical and Space Geodesy); Slobbe, D.C. (TU Delft Physical and Space Geodesy)","","2023","This paper investigates the full variance–covariance (VC) matrix of two high-resolution regional quasi-geoid models, utilizing a spherical radial basis function parameterization. Model parameters were estimated using weighted least-squares techniques and variance component estimation (VCE) for data weighting. The first model, known as the “RCR model,” is computed through the remove–compute–restore method, incorporating various local gravity and radar altimeter datasets. The second model, the “combined model,” includes the GOCO05s satellite-only global geopotential model as an additional dataset with a full-noise VC matrix. Validation of the noise VC matrix scaling for each quasi-geoid model is achieved by comparing observed and formal noise standard deviations of differences between geometric and gravimetric height anomalies at GPS height markers in the Netherlands. Analysis of the noise VC matrix of height anomalies at grid nodes reveals significantly smaller formal noise standard deviations for the RCR model compared to the combined model. This difference is attributed to VCE assigning larger weights to the GOCO05s dataset, which exhibits greater noise standard deviations for the specific spatial scales used. Additionally, the formal noise standard deviations of height anomaly differences, relevant for GNSS-heighting, favor the RCR model. However, the disparity between the two models is smaller than implied by the height anomaly noise standard deviations. This is due to the combined model’s noise autocorrelation function displaying a longer correlation length (67 km) in contrast to the RCR model’s (17 km). Consequently, the combined model exhibits a greater reduction in noise variance for height anomaly differences relative to white noise compared to the RCR model.","Covariance propagation; GNSS-levelling; Least-squares techniques; Local quasi-geoid modelling; Multiscale analysis; Noise variance–covariance matrix; Spherical radial basis functions","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:28c4999b-0a55-4951-a7e9-6153e288720d","http://resolver.tudelft.nl/uuid:28c4999b-0a55-4951-a7e9-6153e288720d","Captain and conscript or companions in operational reconfiguration? The case of an infrastructure owner with projects and asset management units","Krystallis, Ilias (University College London (UCL)); Locatelli, Giorgio (Politecnico di Milano); Papadonikolaki, E. (TU Delft Integral Design & Management)","","2023","Infrastructure owners with projects and asset management units reconfigure their operational capabilities to deal with external stressors. We distinguish between two reconfiguration approaches, the discrete and the continuous approach. The discrete approach is broadly adopted in the infrastructure sector and draws from the project capabilities literature, whereas the continuous approach draws from the general management literature and views reconfiguration as a best practice dynamic capability. This article compares and contrasts the two approaches by leveraging an ethnographic study of an infrastructure owner. We explain why the discrete approach was initially adopted but ultimately failed. Later, by adopting the continuous approach, the organisation succeeded by enabling the two units to work collaboratively by developing two dynamic capabilities: negotiating and disseminating for reconfiguring their operational capabilities. Our research contributes to the theoretical elaboration of why and how change management processes succeed or fail. We discuss the implications of our study to the capabilities literature and project organising research and the managerial implications of developing dynamic capabilities for operational reconfiguration in organisations with projects and asset management units.","Capability development; ethnography; organizational change; project capabilities; project-operations transition; reconfiguration","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:71867b36-e1e3-43ef-bb7b-41e351e1f0bb","http://resolver.tudelft.nl/uuid:71867b36-e1e3-43ef-bb7b-41e351e1f0bb","Beyond data transactions: a framework for meaningfully informed data donation","Gomez Ortega, A. (TU Delft Internet of Things); Bourgeois, J. (TU Delft Internet of Things); Hutiri, Wiebke (TU Delft Information and Communication Technology); Kortuem, G.W. (TU Delft Internet of Things)","","2023","As we navigate physical (e.g., supermarket) and digital (e.g., social media) systems, we generate personal data about our behavior. Researchers and designers increasingly rely on this data and appeal to several approaches to collect it. One of these is data donation, which encourages people to voluntarily transfer their (personal) data collected by external parties to a specific cause. One of the central pillars of data donation is informed consent, meaning people should be adequately informed about what and how their data will be used. However, can we be adequately informed when it comes to donating our data when many times we don’t even know it is being collected and, even more so, what exactly is being collected? In this paper, we investigate how to foster (personal) data literacy and increase donors’ understanding of their data. We introduce a Research through Design approach where we define a data donation journey in the context of speech records, data collected by Google Assistant. Based on the data donation experiences of 22 donors, we propose a data donation framework that understands and approaches data donation as an encompassing process with mutual benefit for donors and researchers. Our framework supports a donation process that dynamically and iteratively engages donors in exploring and understanding their data and invites them to (re)evaluate and (re)assess their participation. Through this process, donors increase their data literacy and are empowered to give meaningfully informed consent.","Data donation; Data literacy; Personal data; Voice assistants","en","journal article","","","","","","","","","","","Internet of Things","","",""
"uuid:0eee41db-eee0-4a49-b9aa-ab96ee09a937","http://resolver.tudelft.nl/uuid:0eee41db-eee0-4a49-b9aa-ab96ee09a937","Introducing CNN-LSTM network adaptations to improve remaining useful life prediction of complex systems","Borst, N.G. (TU Delft Air Transport & Operations); Verhagen, W.J.C. (TU Delft Air Transport & Operations; Royal Melbourne Institute of Technology University)","","2023","Prognostics and Health Management (PHM) models aim to estimate remaining useful life (RUL) of complex systems, enabling lower maintenance costs and increased availability. A substantial body of work considers the development and testing of new models using the NASA C-MAPSS dataset as a benchmark. In recent work, the use of ensemble methods has been prevalent. This paper proposes two adaptations to one of the best-performing ensemble methods, namely the Convolutional Neural Network - Long Short-Term Memory (CNN-LSTM) network developed by Li et al. (IEEE Access, 2019, 7, pp 75464-75475)). The first adaptation (adaptable time window, or ATW) increases accuracy of RUL estimates, with performance surpassing that of the state of the art, whereas the second (sub-network learning) does not improve performance. The results give greater insight into further development of innovative methods for prognostics, with future work focusing on translating the ATW approach to real-life industrial datasets and leveraging findings towards practical uptake for industrial applications.","Neural networks; Predictive maintenance; Prognostics; Remaining useful life","en","journal article","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:79127ecc-da43-48ad-b25e-3f5f7c4ded60","http://resolver.tudelft.nl/uuid:79127ecc-da43-48ad-b25e-3f5f7c4ded60","Advancing Design Approaches through Data-Driven Techniques: Patient Community Journey Mapping Using Online Stories and Machine Learning","Jung, Jiwon (TU Delft Methodologie en Organisatie van Design; Erasmus MC); Kim, K. (TU Delft Methodologie en Organisatie van Design; Pusan National University); Peters, T. (TU Delft Methodologie en Organisatie van Design); Snelders, H.M.J.J. (TU Delft Methodologie en Organisatie van Design); Kleinsmann, M.S. (TU Delft Design, Organisation and Strategy; Leiden University Medical Center)","","2023","Designers are increasingly collaborating with data scientists to apply smart data technologies to understand large-scale user behavior during their design research. This is useful in specific impact domains with vulnerable users and unfamiliar contexts, such as healthcare design. Patient journey mapping is the most common design tool for developing and communicating patient-centred perspectives in healthcare design. However, creating a traditional patient journey map is labor intensive. Consequently, they often represent the experiences of a limited number of patients and, therefore, have limitations in including an extensive group patient experience. To overcome these challenges, we present a new data-driven and hybrid intelligent design approach that utilizes tens of thousands of online patient stories and machine-learning techniques through collaboration with data scientists. We set up two studies in the field of oncology and demonstrate that combining the two machine-learning techniques allows for quantifying the experiences of a wide range of patients, detecting relationships between co-occurring experiences within the journey, and detecting new design opportunities/directions. In these studies, designers gained a large-scale, yet qualitative and inspiring, understanding of a complex context in healthcare with reduced time and cost investments","Patient Journey Mapping; Machine Learning; Hybrid Intelligence; Patient Stories; Healthcare Design","en","journal article","","","","","","","","","","Design, Organisation and Strategy","Methodologie en Organisatie van Design","","",""
"uuid:83897cba-5d4d-45e2-9432-4373c7660140","http://resolver.tudelft.nl/uuid:83897cba-5d4d-45e2-9432-4373c7660140","Application of GIS-based machine learning algorithms for prediction of irrigational groundwater quality indices","Mohammed, Musaab A. A. (University of Miskolc; University of Bahri); Kaya, Fuat (Isparta University of Applied Sciences); Mohamed, Ahmed (Assiut University); Alarifi, Saad S. (King Saud University); Abdelrady, Ahmed (TU Delft Water Resources); Keshavarzi, Ali (University of Tehran); Szabó, Norbert P. (University of Miskolc); Szűcs, Péter (University of Miskolc)","","2023","Agriculture is considered one of the primary elements for socioeconomic stability in most parts of Sudan. Consequently, the irrigation water should be properly managed to achieve sustainable crop yield and soil fertility. This research aims to predict the irrigation indices of sodium adsorption ratio (SAR), sodium percentage (Na%), permeability index (PI), and potential salinity (PS) using innovative machine learning (ML) techniques, including K-nearest neighbor (KNN), random forest (RF), support vector regression (SVR), and Gaussian process regression (GPR). Thirty-seven groundwater samples are collected and analyzed for twelve physiochemical parameters (TDS, pH, EC, TH, Ca+2, Mg+2, Na+, HCO3−, Cl, SO4−2, and NO3−) to assess the hydrochemical characteristics of groundwater and its suitability for irrigation purposes. The primary investigation indicated that the samples are dominated by Ca-Mg-HCO3 and Na-HCO3 water types resulted from groundwater recharge and ion exchange reactions. The observed irrigation indices of SAR, Na%, PI, and PS showed average values of 7, 42.5%, 64.7%, and 0.5, respectively. The ML modeling is based on the ion’s concentration as input and the observed values of the indices as output. The data is divided into two sets for training (70%) and validation (30%), and the models are validated using a 10-fold cross-validation technique. The models are tested with three statistical criteria, including mean square error (MSE), root means square error (RMSE), and correlation coefficient (R2). The SVR algorithm showed the best performance in predicting the irrigation indices, with the lowest RMSE value of 1.45 for SAR. The RMSE values for the other indices, Na%, PI, and PS, were 6.70, 7.10, and 0.55, respectively. The models were applied to digital predictive data in the Nile River area of Khartoum state, and the uncertainty of the maps was estimated by running the models 10 times iteratively. The standard deviation maps were generated to assess the model’s sensitivity to the data, and the uncertainty of the model can be used to identify areas where a denser sampling is needed to improve the accuracy of the irrigation indices estimates.","Nubian aquifer system; artificial intelligence; spatial uncertainty; irrigation; groundwater quality; Khartoum; Sudan","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:afac5bed-ef2d-4438-87a7-320d88973a1d","http://resolver.tudelft.nl/uuid:afac5bed-ef2d-4438-87a7-320d88973a1d","Exploring the effect of automation failure on the human’s trustworthiness in human-agent teamwork","Centeio Jorge, C. (TU Delft Interactive Intelligence); Bouman, Nikki H. (Student TU Delft); Jonker, C.M. (TU Delft Interactive Intelligence; Universiteit Leiden); Tielman, M.L. (TU Delft Interactive Intelligence)","","2023","Introduction: Collaboration in teams composed of both humans and automation has an interdependent nature, which demands calibrated trust among all the team members. For building suitable autonomous teammates, we need to study how trust and trustworthiness function in such teams. In particular, automation occasionally fails to do its job, which leads to a decrease in a human’s trust. Research has found interesting effects of such a reduction of trust on the human’s trustworthiness, i.e., human characteristics that make them more or less reliable. This paper investigates how automation failure in a human-automation collaborative scenario affects the human’s trust in the automation, as well as a human’s trustworthiness towards the automation.Methods: We present a 2 × 2 mixed design experiment in which the participants perform a simulated task in a 2D grid-world, collaborating with an automation in a “moving-out” scenario. During the experiment, we measure the participants’ trustworthiness, trust, and liking regarding the automation, both subjectively and objectively.Results: Our results show that automation failure negatively affects the human’s trustworthiness, as well as their trust in and liking of the automation.Discussion: Learning the effects of automation failure in trust and trustworthiness can contribute to a better understanding of the nature and dynamics of trust in these teams and improving human-automation teamwork.","human-automation teamwork; automation failure; mental model; trust; trustworthiness; human-agent collaboration","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:e67b52d7-89d1-45f4-998f-e8c53fd25871","http://resolver.tudelft.nl/uuid:e67b52d7-89d1-45f4-998f-e8c53fd25871","Exploring the Use of Granger Causality for the Identification of Chemical Exposure Based on Physiological Data","Difrancesco, S. (TNO); van Baardewijk, J.U. (TNO); Cornelissen, A.S. (TNO); Varon, Carolina (TU Delft Signal Processing Systems; Universite' Libre de Bruxelles (ULB)); Hendriks, R.C. (TU Delft Signal Processing Systems); Bouwer, A.M. (TNO)","","2023","Wearable sensors offer new opportunities for the early detection and identification of toxic chemicals in situations where medical evaluation is not immediately possible. We previously found that continuously recorded physiology in guinea pigs can be used for early detection of exposure to an opioid (fentanyl) or a nerve agent (VX), as well as for differentiating between the two. Here, we investigated how exposure to these different chemicals affects the interactions between ECG and respiration parameters as determined by Granger causality (GC). Features reflecting such interactions may provide additional information and improve models differentiating between chemical agents. Traditional respiration and ECG features, as well as GC features, were extracted from data of 120 guinea pigs exposed to VX (n = 61) or fentanyl (n = 59). Data were divided in a training set (n = 99) and a test set (n = 21). Minimum Redundancy Maximum Relevance (mRMR) and Support Vector Machine (SVM) algorithms were used to, respectively, perform feature selection and train a model to discriminate between the two chemicals. We found that ECG and respiration parameters are Granger-related under healthy conditions, and that exposure to fentanyl and VX affected these relationships in different ways. SVM models discriminated between chemicals with accuracy of 95% or higher on the test set. GC features did not improve the classification compared to traditional features. Respiration features (i.e., peak inspiratory and expiratory flow) were the most important to discriminate between different chemical’s exposure. Our results indicate that it may be feasible to discriminate between chemical exposure when using traditional physiological respiration features from wearable sensors. Future research will examine whether GC features can contribute to robust detection and differentiation between chemicals when considering other factors, such as generalizing results across species","Granger causality; chemical exposure; toxidrome detection; physiological data; support vector machine; machine learning","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:1d138b19-127c-46a0-9000-a14bdc9000a5","http://resolver.tudelft.nl/uuid:1d138b19-127c-46a0-9000-a14bdc9000a5","Critical cartographies for assessing and designing with planning legacies: The case of Jaap Bakema’s Open Society in ‘t Hool, the Netherlands","Sanz Oliver, Juan (Student TU Delft); Bracken, G. (TU Delft Spatial Planning and Strategy); Muñoz Sanz, V. (TU Delft Urban Design)","","2023","The Open Society appeared as a concept in planning discourse at the Congrès
International d’Architecture Moderne (CIAM XI). It attempted to create urban
conditions which would allow society to prosper. Despite its good theoretical
intentions, the project did not always translate well into practice. We observe that
historic approaches and tools have tended to be neglected in urban regeneration
projects and discussions, yet we think that they can bring valuable urban
transformations. This paper therefore considers the extent to which historic
planning tools and theories can be useful for assessing built projects to provide
fresh approaches for urban renovation. This paper will reappraise the concept of
the Open Society empirically by analysing, critiquing, and imagining its relevance
in twenty-first-century planning projects and discourse. This research uses a
mostly qualitative approach through critical cartographies as a main medium and
to draw conclusions that highlight the power relations in the Dutch neighbourhood
of ‘t Hool (Eindhoven) as well as the local conditions and materials that can enable
them to plan for a more resilient future. We aim to bridge the gap between theory
and practice through a methodology that allows for a broader and deeper
understanding of place, history, potentials, and urgencies.","Jaap Bakema; Open Society; T Hool (the Netherlands); critical cartographies; modernist planning legacy","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:f830b9cd-40ac-4a77-86c4-3caeee4b8f85","http://resolver.tudelft.nl/uuid:f830b9cd-40ac-4a77-86c4-3caeee4b8f85","Machine learning for the prediction of the local skin friction factors and Nusselt numbers in turbulent flows past rough surfaces","Diez Sanhueza, R.G. (TU Delft Energy Technology); Akkerman, I. (TU Delft Ship Hydromechanics and Structures); Peeters, J.W.R. (TU Delft Energy Technology)","","2023","Turbulent flows past rough surfaces can create substantial energy losses in engineering equipment. During the last decades, developing accurate correlations to predict the thermal and hydrodynamic behavior of rough surfaces has proven to be a difficult challenge. In this work, we investigate the applicability of convolutional neural networks to perform a direct image-to-image translation between the height map of a rough surface and its detailed local skin friction factors and Nusselt numbers. Additionally, we propose the usage of separable convolutional modules to reduce the total number of trainable parameters, and PReLU activation functions to increase the expressivity of the neural networks created. Our final predictions are improved by a new filtering methodology, which is able to combine the results of multiple neural networks while discarding non-physical oscillations likely caused by over-fitting. The main study is based on a new DNS database formed by 80 flow cases at a friction Reynolds number of Reτ=180 obtained by applying random shifts to the Fourier spectrum of the grit-blasted surface originally scanned by Busse et al. (2015). The results show that machine learning can accurately predict the skin friction values and Nusselt numbers for a rough surface. A detailed comparison with existing correlations in the literature revealed that the maximum errors generated by deep learning were only 8.1% for the global skin friction factors Cf¯ and 2.9% for the Nusselt numbers Nu¯, whereas the best classical correlations identified reached errors of 24.9% and 13.5% for Cf¯ and Nu¯ respectively. The deep learning results also proved stable with respect to rough surfaces with abrupt changes in their roughness elements, and only presented a minor sensitivity with respect to variations in the dataset size.","Machine learning; Rough surfaces; Turbulence","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:4fe6aa9f-e1d8-4dd7-9049-4a32c3ab749e","http://resolver.tudelft.nl/uuid:4fe6aa9f-e1d8-4dd7-9049-4a32c3ab749e","Assessing the evolution of educational accessibility with self-avoiding random walk: insights from Helsinki","Aydin, N.Y. (TU Delft System Engineering); Yigitbasi, Emre (Student TU Delft); Casali, Y. (TU Delft Transport and Logistics); van Wee, G.P. (TU Delft Transport and Logistics)","","2023","Rapid urbanization has posed challenges to accessibility to critical services that require in-depth analysis. Complex networks theory has been used to evaluate the evolution of network topologies or the overall accessibility of transportation systems. However, topological metrics to explain the temporal changes in accessibility levels do not fully capture the dynamics and implications of accessibility to specific critical services. In this study, we address this gap and investigate the opportunities of using a self-avoiding random walk (SARW) algorithm to evaluate and explain the evolution of spatial accessibility to education facilities. We used hotspot analysis to understand the temporal changes and investigated changes in hot and cold spots over time. Furthermore, we explored the relationship between the network indicators and the SARW-based accessibility metric. We illustrated this method in a case study from Helsinki, where large-scale open data spanning from 1991 to 2016 is available. Our findings indicate that the SARW-based metric delivers more detailed node-level results than the traditional isochrone-based metric. The latter generates accessibility zones where accessibility is assumed to be uniform, while the SARW metric captures the dynamic nature of educational facility accessibility more accurately. The developed methodology helps to identify the impacts on the historical development of accessibility and can be applied to investigate accessibility to other critical services.","Accessibility; Complex networks; Geographic information systems; Temporal analysis; Transportation; OA-Fund TU Delft","en","journal article","","","","","","","","","","","System Engineering","","",""
"uuid:99c53934-02f1-41ce-8a2c-69208893cccc","http://resolver.tudelft.nl/uuid:99c53934-02f1-41ce-8a2c-69208893cccc","Feasibility of generating structured motivational messages for tailored physical activity coaching","Ghantasala, Ramya (Student TU Delft); Albers, N. (TU Delft Interactive Intelligence); Penfornis, Kristell M. (Universiteit Leiden); van Vliet, Milon (Leiden University Medical Center); Brinkman, W.P. (TU Delft Interactive Intelligence)","","2023","Tailored motivational messages are helpful to motivate people in eHealth applications for increasing physical activity, but it is not sufficiently clear how such messages can be effectively generated in advance. We, therefore, put forward a theory-driven approach to generating tailored motivational messages for eHealth applications for behavior change, and we examine its feasibility by assessing how motivating the resulting messages are perceived. For this, we designed motivational messages with a specific structure that was based on an adaptation of an existing ontology for tailoring motivational messages in the context of physical activity. To obtain tailored messages, experts in health psychology and coaching successfully wrote messages with this structure for personas in scenarios that differed with regard to the persona’s mood, self-efficacy, and progress. Based on an experiment in which 60 participants each rated the perceived motivational impact of six generic and six tailored messages based on scenarios, we found credible support for our hypothesis that messages tailored to mood, self-efficacy, and progress are perceived as more motivating. A thematic analysis of people’s free-text responses about what they found motivating and demotivating about motivational messages further supports the use of tailored messages, as well as messages that are encouraging and empathetic, give feedback about people’s progress, and mention the benefits of physical activity. To aid future work on motivational messages, we make our motivational messages and corresponding scenarios publicly available.","","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:a4f9463f-6623-4f07-a554-919e062df182","http://resolver.tudelft.nl/uuid:a4f9463f-6623-4f07-a554-919e062df182","Hybrid neuroelectronics: towards a solution-centric way of thinking about complex problems in neurostimulation tools","Drakopoulou, Sofia (Universiteit Gent); Varkevisser, F. (TU Delft Bio-Electronics); Sohail, Linta (Universiteit Gent); Aqamolaei, M. (TU Delft Bio-Electronics); Lopes Marta da Costa, T.M. (TU Delft Bio-Electronics); Spyropoulos, George D. (Universiteit Gent)","","2023","Responsive neuromodulation is increasingly being used to treat patients with neuropsychiatric diseases. Yet, inefficient bridges between traditional and new materials and technological innovations impede advancements in neurostimulation tools. Signaling in the brain is accomplished predominantly by ion flux rather than the movement of electrons. However, the status quo for the acquisition of neural signals is using materials, such as noble metals, that can only interact with electrons. As a result, ions accumulate at the biotic/abiotic interface, creating a double-layer capacitance that increases impedance and negatively impacts the efficiency of neural interrogation. Alternative materials, such as conducting polymers, allow ion penetration in the matrix, creating a volumetric capacitor (two orders of magnitude larger than an area-dependent capacitor) that lowers the impedance and increases the spatiotemporal resolution of the recording/stimulation. On the other hand, the increased development and integration capabilities of CMOS-based back-end electronics have enabled the creation of increasingly powerful and energy-efficient microchips. These include stimulation and recording systems-on-a-chip (SoCs) with up to tens of thousands of channels, fully integrated circuitry for stimulation, signal conditioning, digitation, wireless power and data telemetry, and on-chip signal processing. Here, we aim to compile information on the best component for each building block and try to strengthen the vision that bridges the gap among various materials and technologies in an effort to advance neurostimulation tools and promote a solution-centric way of considering their complex problems.","","en","journal article","","","","","","","","","","","Bio-Electronics","","",""
"uuid:b3fe5fa2-e2e7-42c1-8aa7-9ccbae355e3e","http://resolver.tudelft.nl/uuid:b3fe5fa2-e2e7-42c1-8aa7-9ccbae355e3e","A method for early-stage design current loads determination on drill-ships","Mauro, F. (TU Delft Ship Design, Production and Operations; Sharjah Maritime Academy); Valentina, Enrico Della (Maritime Research Institute Netherlands (MARIN)); Ferrari, Victor (Maritime Research Institute Netherlands (MARIN)); Begovic, Ermina (Università degli Studi di Napoli Federico II)","","2023","The increasing demand for offshore operations in deep water implies the necessity to predict station-keeping ability of offshore vessels since the early stages of design. To this end, besides developing sufficiently fast and accurate methodologies for the equilibrium resolution of the forces acting on the ship, it is of utmost importance to estimate, in a reliable way, the external forces acting on the vessel. This work focuses on the current loads, aiming at developing a model for fast current load prediction based on high-fidelity Computational Fluid Dynamics (CFD) computations. Selecting the drill-ships as reference vessel-type for the study, starting from the actual fleet operating worldwide, a systematic series of hulls has been generated varying the main hull-form parameters inside the database, according to a Box-Behnken scheme. CFD calculations based on RANS equations have been performed on the whole ship set, for a set of incidence angle varying from 0 to 180 degrees considering the hull symmetric. As numerical analyses are not suitable for fast calculations the results on the systematic series have been used as input for developing a surrogate model based on Multiple Linear Regressions (MLR). The method allows for scaling the results as a function of the Reynolds number, allowing for general and flexible applicability among different vessel dimensions. The results obtained with the developed model are compared with the conventional current loads estimation methods, and the obtained results are compared on the capability plot, highlighting the higher reliability of the proposed model for early-stage predictions.","CFD; Current loads; Drill-ships; Dynamic positioning; Hull forms","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:0f696bce-7542-47e5-ad81-394ec84e3638","http://resolver.tudelft.nl/uuid:0f696bce-7542-47e5-ad81-394ec84e3638","A model predictive control approach towards the energy efficiency of submerged dredging","Bakker, Mathijs (C-Job Naval Architects); Coraddu, A. (TU Delft Ship Design, Production and Operations); Hijdra, Rolph (C-Job Naval Architects)","","2023","Autonomous submerged dredging offers numerous benefits, such as reduced ship resistance and lower vacuum requirements for the dredge pumps. However, this method also presents new challenges, such as stability and buoyancy control, which must be addressed to minimize the energy requirements and ensure cost-effectiveness and sustainability. To achieve these goals, this paper proposes a Model Predictive Control (MPC) strategy to minimize control effort and energy requirements. Compared to traditional motion control methods such as proportional–integral–derivative (PID) control, MPC shows great promise in terms of energy efficiency and trajectory-tracking. The Autonomous Low Energy Replenishment Dredger (ALERD) is used as a case study to showcase the potential of the proposed control strategy. A time-domain simulation model is developed, and the ALERD is modeled as an underwater vehicle using a state-space representation. The classic PID control and the proposed MPC framework are compared in terms of trajectory-tracking, energy requirements, and robustness to modeling uncertainties, using sensitivity analysis. The results show that the proposed MPC control framework outperforms PID control in all aspects considered. Furthermore, a comparison between the energy requirements of the ALERD and a conventional dredger, for the same operational profile and hopper volume, indicates that autonomous submerged dredging can potentially decrease total energy requirements by 66%.","Autonomous shipping; Dredging; Model predictive control; Monte Carlo simulation; Underwater vehicles","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:09ee05b9-4e42-4d8f-8d44-c4b3d925ef09","http://resolver.tudelft.nl/uuid:09ee05b9-4e42-4d8f-8d44-c4b3d925ef09","Spider dynamics under vertical vibration and its implications for biological vibration sensing","Wu, Jun (University of Oxford); Miller, Thomas E. (University of Oxford); Cicirello, A. (TU Delft Mechanics and Physics of Structures; University of Oxford); Mortimer, Beth (University of Oxford)","","2023","Often overlooked, vibration transmission through the entire body of an animal is an important factor in understanding vibration sensing in animals. To investigate the role of dynamic properties and vibration transmission through the body, we used a modal test and lumped parameter modelling for a spider. The modal test used laser vibrometry data on a tarantula, and revealed five modes of the spider in the frequency range of 20-200 Hz. Our developed and calibrated model took into account the bounce, pitch and roll of the spider body and bounce of all the eight legs. We then performed a parametric study using this calibrated model, varying factors such as mass, inertia, leg stiffness, damping, angle and span to study what effect they had on vibration transmission. The results support that some biomechanical parameters can act as physical constraints on vibration sensing. But also, that the spider may actively control some biomechanical parameters to change the signal intensity it can sense. Furthermore, our analysis shows that the parameter changes in front and back legs have a greater influence on whole system dynamics, so may be of particular importance for active control mechanisms to facilitate biological sensing functions.","dynamics; modal test; modelling; spiders; vibration sensing","en","journal article","","","","","","","","","","","Mechanics and Physics of Structures","","",""
"uuid:44b88677-c340-40f9-b701-112ce8cb6df6","http://resolver.tudelft.nl/uuid:44b88677-c340-40f9-b701-112ce8cb6df6","Positive and Negative Photoconductivity in Ir Nanofilm-Coated MoO3 Bias-Switching Photodetector","Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; Selçuk University); En-nadir, Redouane (University Sidi Mohammed Ben Abdellah); Rahmani, Khalid (Mohammed V University); Eker, Yasin Ramazan (Necmettin Erbakan University)","","2023","In this study, we delved into the influence of Ir nanofilm coating thickness on the optical and optoelectronic behavior of ultrathin MoO3 wafer-scale devices. Notably, the 4 nm Ir coating showed a negative Hall voltage and high carrier concentration of 1.524 × 1019 cm−3 with 0.19 nm roughness. Using the Kubelka–Munk model, we found that the bandgap decreased with increasing Ir thickness, consistent with Urbach tail energy suggesting a lower level of disorder. Regarding transient photocurrent behavior, all samples exhibited high stability under both dark and UV conditions. We also observed a positive photoconductivity at bias voltages of >0.5 V, while at 0 V bias voltage, the samples displayed a negative photoconductivity behavior. This unique aspect allowed us to explore self-powered negative photodetectors, showcasing fast response and recovery times of 0.36/0.42 s at 0 V. The intriguing negative photoresponse that we observed is linked to hole self-trapping/charge exciton and Joule heating effects.","molybdenum oxide; atomic layer deposition; sputtering deposition; urbach tail energy; low roughness optoelectronic devices","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:86caa672-25c0-481a-b722-ca7caa77a828","http://resolver.tudelft.nl/uuid:86caa672-25c0-481a-b722-ca7caa77a828","Demographic Evaluation and Parametric Assessment of Air Pollutants over Delhi NCR","Khan, Abul Amir Khan (Amity University Haryana); Garsa, Kalpana (Amity University Haryana); Jindal, P. (TU Delft Space Systems Egineering); Devara, Panuganti C. S. (Amity University Haryana); Tiwari, Shubhansh (Amity University Haryana); Sharma, P. B. (Amity University Haryana)","","2023","The impact of air pollution on people and the environment is a severe issue that has recently been the subject of extensive research. This study has looked at the factors that contribute to the seasonal and spatial variability of pollutant concentration over Delhi NCR from 2019 to 2021. Additionally, the causes of changes in air quality during the COVID-19’s lockdown period in 2020 have been discussed, along with comparisons to the pre-lockdown year (2019) and the post-lockdown year (2021). Seven pollutant parameters, viz., (PM2.5, PM10, NOx, CO, SO2, NH3, and O3) were retrieved from the air quality monitoring stations spread over Delhi NCR. The results show a significant temporal (seasonal) and spatial variability in the air pollutants’ concentration. The highest pollutant level was observed in winter and the lowest in summer seasons. The results suggest that the concentration of atmospheric pollutants was already lower (20–30%) before the implementation of the lockdown. Meteorology played an important role in emission reduction during the lockdown, in particular, and seasonal, in general. The results also suggest that Bhiwadi is not the most polluted city, as claimed in the World Air Quality Report 2022. The most polluted sites in terms of pollutant concentration were observed over Delhi in all the years considered.","PM2.5; PM10; NOx; CO; Delhi; air pollution","en","journal article","","","","","","","","","","","Space Systems Egineering","","",""
"uuid:ffab1bfc-3d3b-43a5-a5b2-205772e8aed0","http://resolver.tudelft.nl/uuid:ffab1bfc-3d3b-43a5-a5b2-205772e8aed0","Experts’ perspectives on the sustainability and risks of freely applicable MSWI bottom ash: a Q-methodology study in the Netherlands","Piltz, I.G.; Annema, J.A. (TU Delft Transport and Logistics); Pesch, U. (TU Delft Ethics & Philosophy of Technology)","","2023","Experts in the Netherlands have lately debated the novel policy idea to freely apply municipal solid waste incineration bottom ash (MSWIBA). In this paper, we map this ambivalent and unforeseeable, subjective, expert debate. This will help policymaking because more knowledge on subjective expert viewpoints and perceptions allows for clustering conflict and consent as well as gaps in common understanding in this complex debate. We applied Q-methodology which resulted in four distinct perspectives in the expert debate that reveal insights into the social licence to operate and into the legitimacy of the novel bottom ash regime. The freely applicable quality of BA itself is accepted in all perspectives. Conflicting views were found about new risks, trust and socio-political acceptance of the novel BA applications. An important practical contribution of this study is that a higher acceptance of the freely applicable MSWI BA quality in the Netherlands within the expert community can be achieved if the new risks of the free application regime are tackled. We are the first to use Q-methodology in this field, and our academic contribution is that we show that this method can be a helpful tool to unravel complex expert debates also related to MSWI BA applications.","Circular economy; Climate resilience; Construction material; Governance; MSWIBA; Q-methodology","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:7de23c43-ca31-4321-b30a-58ab7929c61e","http://resolver.tudelft.nl/uuid:7de23c43-ca31-4321-b30a-58ab7929c61e","Evaluating the Effectiveness of Apps Designed to Reduce Mobile Phone Use and Prevent Maladaptive Mobile Phone Use: Multimethod Study","Rahmillah, Fety Ilma (Queensland University of Technology); Tariq, Amina (Queensland University of Technology); King, Mark (Queensland University of Technology); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science; Queensland University of Technology)","","2023","BACKGROUND: Mobile apps are a popular strategy for reducing mobile phone use and preventing maladaptive mobile phone use (MMPU). Previous research efforts have been made to understand the features of apps that have the potential to reduce mobile phone use and MMPU. However, there has been a lack of a comprehensive examination of the effectiveness of such apps and their features. OBJECTIVE: This paper investigated existing apps designed to reduce mobile phone use and prevent MMPU and examined the evidence of their effectiveness. The research aimed to provide a comprehensive analysis of app features that can reduce mobile phone use and MMPU, while also assessing their effectiveness. In addition, we explored users' perceptions of these apps and the various features the apps offer to understand potential adoption issues and identify opportunities. METHODS: This study used 3 methods: a review of scientific evidence, content analysis, and sentiment analysis. RESULTS: Our study comprehensively examine the common features of 13 apps designed to reduce mobile phone use. We extracted and classified the features into 7 types: self-tracking, social tracking, goal setting, blocking, gamification, simplification, and assessment. The effectiveness of these apps in reducing mobile phone use and MMPU varied from weak to strong. On the basis of content analysis, self-tracking and goal setting were the most frequently used features, whereas gamification and assessment were used the least frequently. The intervention strategies that effectively reduce mobile phone use and MMPU included using grayscale mode, app limit features, and mixed interventions. Overall, users tended to accept these apps, as indicated by sentiment scores ranging from 61 to 86 out of 100. CONCLUSIONS: This study demonstrates that app-based management has the potential to reduce mobile phone use and MMPU. However, further research is required to evaluate the effectiveness of app-based interventions. Collaborations among researchers, app developers, mobile phone manufacturers, and policy makers could enhance the process of delivering, evaluating, and optimizing apps aimed at reducing mobile phone use and MMPU.","apps; features; maladaptive mobile phone use; mobile phone; problematic phone use","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:f4160acd-8d1d-4816-aba1-8cd9baaaa526","http://resolver.tudelft.nl/uuid:f4160acd-8d1d-4816-aba1-8cd9baaaa526","Identifying human mobility patterns using smart card data","Cats, O. (TU Delft Transport and Planning; KTH Royal Institute of Technology)","","2023","Human mobility is subject to collective dynamics that are the outcome of numerous individual choices. Smart card data which originated as a means of facilitating automated fare collection has emerged as an invaluable source for analysing mobility patterns. A variety of clustering and segmentation techniques has been adopted and adapted for applications ranging from market segmentation to the analysis of urban activity locations. In this paper we provide a systematic review of the state-of-the-art on clustering public transport users based on their temporal or spatial-temporal characteristics as well as studies that use the latter to characterise individual stations, lines or urban areas. Furthermore, a critical review of the literature reveals an important distinction between studies focusing on the intra-personal variability of travel patterns versus those concerned with the inter-personal variability of travel patterns. We synthesise the key analysis approaches as well as substantive findings and subsequently identify common trends and shortcomings and outline related directions for further research.","Travel patterns; public transport; smart card data; market segmentation; clustering; urban analytics","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:37a03c0a-5214-4247-9dd6-f2d2c9d091dc","http://resolver.tudelft.nl/uuid:37a03c0a-5214-4247-9dd6-f2d2c9d091dc","Navigating Approaches to the Use of Pattern Language Theory in Practice","Chen, Ruihua (Beijing Shangyi Heart Technology Co. Ltd); Bos-de Vos, M. (TU Delft Methodologie en Organisatie van Design); Mulder, I. (TU Delft Design Conceptualization and Communication); van Eldik, Zoë (Wageningen University & Research)","","2023","Christopher Alexander’s Pattern Language Theory (PLT) has been recognized as a valuable methodology to understand complex systems. It has been applied across domains through a variety of different approaches. This article reviews exist-ing approaches to PLT application and reflects upon the differences between them. We find that application generally differs across four components: artefact, activity, roles and tools, informed by practitioners’ diverging values and needs. We elaborate on how consciously navigating the dimensions that these components consist of can help to broaden the application of PLT in practice. We report on the development of a set of conceptual tools that aim to support this process. The resulting “activity kit” has been applied in a Dutch housing renovation project to support homeowners in communication and decision‐making to illustrate the applicability of our methodology. It can be concluded that the “activity kit” is a promising approach to broaden the use of PLT and contributes to the methodological repertoire of researchers and practitioners to address complexity in today’s societal challenges.","action repertoire; design methodology; housing renovation; literature synthesis; pattern language theory","en","journal article","","","","","","","","","","","Methodologie en Organisatie van Design","","",""
"uuid:29025a34-8149-4459-9f96-610eb4c50c6e","http://resolver.tudelft.nl/uuid:29025a34-8149-4459-9f96-610eb4c50c6e","Evaluation of different classification methods using electronic nose data to diagnose sarcoidosis","van der Sar, Iris G. (Erasmus MC); van Jaarsveld, Nynke (Student TU Delft); Spiekerman, Imme A. (Student TU Delft); Toxopeus, Floor J. (Leiden University Medical Center); Langens, Quint L. (Student TU Delft); Wijsenbeek, Marlies S. (Erasmus MC); Dauwels, J.H.G. (TU Delft Signal Processing Systems); Moor, Catharina C. (Erasmus MC)","","2023","Electronic nose (eNose) technology is an emerging diagnostic application, using artificial intelligence to classify human breath patterns. These patterns can be used to diagnose medical conditions. Sarcoidosis is an often difficult to diagnose disease, as no standard procedure or conclusive test exists. An accurate diagnostic model based on eNose data could therefore be helpful in clinical decision-making. The aim of this paper is to evaluate the performance of various dimensionality reduction methods and classifiers in order to design an accurate diagnostic model for sarcoidosis. Various methods of dimensionality reduction and multiple hyperparameter optimised classifiers were tested and cross-validated on a dataset of patients with pulmonary sarcoidosis (n= 224) and other interstitial lung disease (n= 317). Best performing methods were selected to create a model to diagnose patients with sarcoidosis. Nested cross-validation was applied to calculate the overall diagnostic performance. A classification model with feature selection and random forest (RF) classifier showed the highest accuracy. The overall diagnostic performance resulted in an accuracy of 87.1% and area-under-the-curve of 91.2%. After comparing different dimensionality reduction methods and classifiers, a highly accurate model to diagnose a patient with sarcoidosis using eNose data was created. The RF classifier and feature selection showed the best performance. The presented systematic approach could also be applied to other eNose datasets to compare methods and select the optimal diagnostic model.","breath analysis; classification model; diagnostic test; electronic nose; interstitial lung disease; sarcoidosis; volatile organic compounds","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:7cd949ea-94d9-4fb7-8f5a-f93d6676ff3f","http://resolver.tudelft.nl/uuid:7cd949ea-94d9-4fb7-8f5a-f93d6676ff3f","Data-Driven Revision of Conditional Norms in Multi-Agent Systems","Dell'Anna, D. (TU Delft Control & Simulation); Alechina, Natasha (Universiteit Utrecht); Dalpiaz, Fabiano (Universiteit Utrecht); Dastani, Mehdi (Universiteit Utrecht); Logan, Brian (Universiteit Utrecht; University of Aberdeen)","","2023","In multi-agent systems, norm enforcement is a mechanism for steering the behavior of individual agents in order to achieve desired system-level objectives. Due to the dynamics of multi-agent systems, however, it is hard to design norms that guarantee the achievement of the objectives in every operating context. Also, these objectives may change over time, thereby making previously defined norms ineffective. In this paper, we investigate the use of system execution data to automatically synthesise and revise conditional prohibitions with deadlines, a type of norms aimed at prohibiting agents from exhibiting certain patterns of behaviors. We propose DDNR (Data-Driven Norm Revision), a data-driven approach to norm revision that synthesises revised norms with respect to a data set of traces describing the behavior of the agents in the system. We evaluate DDNR using a state-of-the-art, off-the-shelf urban traffic simulator. The results show that DDNR synthesises revised norms that are significantly more accurate than the original norms in distinguishing adequate and inadequate behaviors for the achievement of the system-level objectives.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-01","","","Control & Simulation","","",""
"uuid:0587da55-4476-41d6-8564-f7fb11171679","http://resolver.tudelft.nl/uuid:0587da55-4476-41d6-8564-f7fb11171679","Spectroscopic optical coherence tomography at 1200 nm for lipid detection","Kuttippurath, Vivek (Erasmus MC); Slijkhuis, Nuria (Erasmus MC); Liu, Shengnan (Erasmus MC); van Soest, G. (TU Delft Biomechanical Engineering)","","2023","Significance: Spectroscopic analysis of optical coherence tomography (OCT) data can yield added information about the sample's chemical composition, along with high-resolution images. Typical commercial OCT systems operate at wavelengths that may not be optimal for identifying lipid-containing samples based on absorption features. Aim: The main aim of this study was to develop a 1200 nm spectroscopic OCT (SOCT) for the classification of lipid-based and water-based samples by extracting the lipid absorption peak at 1210 nm from the OCT data. Approach: We developed a 1200 nm OCT system and implemented a signal processing algorithm that simultaneously retrieves spectroscopic and structural information from the sample. In this study, we validated the performance of our OCT system by imaging weakly scattering phantoms with and without lipid absorption features. An orthogonal projections to latent structures-discriminant analysis (OPLS-DA) model was developed and applied to classify weakly scattering samples based on their absorption features. Results: The OCT system achieved an axial resolution of 7.2 m and a sensitivity of 95 dB. The calibrated OPLS-DA model on weakly scattering samples with lipid and water-based absorption features correctly classified 19/20 validation samples. Conclusions: The 1200 nm SOCT system can discriminate the lipid-containing weakly scattering samples from water-based weakly scattering samples with good predictive ability.","biomedical imaging; lipid-rich plaques; optical coherence tomography; spectroscopic optical coherence tomography","en","journal article","","","","","","","","","","Biomechanical Engineering","","","",""
"uuid:3ce3b0c7-89a0-4409-ab1c-b7973232810e","http://resolver.tudelft.nl/uuid:3ce3b0c7-89a0-4409-ab1c-b7973232810e","Hysteresis and the Unobserved Congestion Branch in the Macroscopic Fundamental Diagram: Theoretical Considerations and Modeling","Yuan, K. (Hefei University of Technology); Knoop, V.L. (TU Delft Transport and Planning)","","2023","The macroscopic fundamental diagram (MFD) is developed to describe traffic operations aggregated over an area. The MFD is defined by network traffic states as a relationship between the accumulation of vehicles and flow or speed of vehicles. The concept of the MFD has been applied to model traffic dynamics and to design control strategies. For various applications (e.g., routing and departure time choices), the MFD is often assumed to be of a particular shape, consisting of two branches—a free-flow branch and a congestion branch. However, empirical observations show some inconsistencies between the theoretical and the empirical MFD. First, the empirical MFD only presents free-flow branch, i.e., the congestion branch is missing. Second, the MFD presents as hysteresis loop(s). This paper explores these differences, providing insights into urban network traffic dynamics. This work takes the travelers’ departure time choices and user equilibrium (UE) as starting points. We consider demand to be in UE in terms of departure time choices. Using this property of the demand profile, the paper proposes a closed-form expression for average density and outflow. Finally, we show some insights in the urban traffic dynamics: (i) an explanation of the hysteresis phenomenon solely from the perspective of departure time choices and UE and (ii) an explanation of why we hardly observe the MFD congestion branch in real life even in heavily congested networks. Our study shows that, for management purposes, the missing of congestion branch is a result of UE, rather than an indicator of congestion severity.","","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:48911536-9240-4261-8016-4082df238cdf","http://resolver.tudelft.nl/uuid:48911536-9240-4261-8016-4082df238cdf","Optimizing the battery charging and swapping infrastructure for electric short-haul aircraft—The case of electric flight in Norway","van Oosterom, S.J.M. (TU Delft Control & Simulation); Mitici, M.A. (TU Delft Air Transport & Operations; Universiteit Utrecht)","","2023","Recent advances in battery technology have opened the possibility for short-haul electric flight. This is particularly attractive for commuter airlines that operate in remote regions such as archipelagos or Nordic fjords where the geography impedes other means of transportation. In this paper we address the question of how to optimize the charging infrastructure (charging power, spare batteries) for an airline when considering a battery swapping system. Our analysis considers the expenditures needed for (i) the significant charging power requirements, (ii) spare aircraft batteries, (iii) the used electricity, and (iv) delay costs, should the infrastructure not be sufficient to accommodate the flight schedule. The main result of this paper is the formulation of this problem as a two-phase recourse model. This is required to account for the variation of the flight schedule throughout a year of operations. With this, both the strategic (infrastructure sizing) and tactical (battery recharge scheduling) planning are addressed The model is applied for Widerøe Airlines, with a network of 7 hub airports and 36 regional airports in Norway. The results show that a total investment of 4412 kW in electricity power supply and 25 spare batteries is needed for the considered network, resulting in a daily investment of €11700. We also quantify the benefits of considering an entire year of operations for our analysis, instead of just one congested day (7% cost reduction) or one average day of operations (31% reduction) at the most congested airport.","Battery swapping systems; Commuter air transport; Electric aviation; Infrastructure sizing; Scheduling","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:9d543bd8-14ca-4b18-9ae3-d94bb036c0d1","http://resolver.tudelft.nl/uuid:9d543bd8-14ca-4b18-9ae3-d94bb036c0d1","Beyond federated data: a data commoning proposition for the EU’s citizen-centric digital strategy","Calzati, S. (TU Delft Urban Data Science); van Loenen, B. (TU Delft Urban Data Science)","","2023","In various official documents, the European Union has declared its goal to pursue a citizen-centric governance of digital transformation. Through a critical review of several of these documents, here we show how “citizen-centric” is more a glamouring than a driving concept. De facto, the EU is enabling a federated data system that is corporate-driven, economic-oriented, and GDPR-compliant; in other words, a Digital Single Market (DSM). This leaves out societal and collective-level dimensions of digital transformation—such as social inclusion, digital sovereignty, and environmental sustainability—which are acknowledged, but not operationalized, by the EU as pillars of a citizen-centric governance. Hence, the door is open to a complementary approach to the governance of digital transformation. We argue that, while a federated data model can constitute the tech-legal backbone of the emerging DSM, a commoning of data, as an ecosystemic approach that maintains a societal and collective outlook by default, can represent a complement to enact a truly citizen-centric governance.","Citizen-centric; Data commons; Data governance; Digital single market; EU digital strategy; Federated data","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:f64f4ca0-6f46-45a7-a895-059f6ac40fe1","http://resolver.tudelft.nl/uuid:f64f4ca0-6f46-45a7-a895-059f6ac40fe1","Impurity Knight shift in quantum dot Josephson junctions","Paveši, Luka (University of Ljubljana; Jozef Stefan Institute); Pita-Vidal, Marta (TU Delft QRD/Kouwenhoven Lab; QuTech; Kavli institute of nanoscience Delft); Bargerbos, A. (TU Delft QRD/Kouwenhoven Lab; QuTech; Kavli institute of nanoscience Delft); Žitko, Rok (University of Ljubljana; Jozef Stefan Institute)","","2023","Spectroscopy of a Josephson junction device with an embedded quantum dot reveals the presence of a contribution to level splitting in external magnetic field that is proportional to cosϕ, where ϕ is the gauge-invariant phase difference across the junction. To elucidate the origin of this unanticipated effect, we systematically study the Zeeman splitting of spinful subgap states in the superconducting Anderson impurity model. The magnitude of the splitting is renormalized by the exchange interaction between the local moment and the continuum of Bogoliubov quasiparticles in a variant of the Knight shift phenomenon. The leading term in the shift is linear in the hybridisation strength Γ (quadratic in electron hopping), while the subleading term is quadratic in Γ (quartic in electron hopping) and depends on ϕ due to spin-polarization-dependent corrections to the Josephson energy of the device. The amplitude of the ϕ-dependent part is largest for experimentally relevant parameters beyond the perturbative regime where it is investigated using numerical renormalization group calculations. Such magnetic-field-tunable coupling between the quantum dot spin and the Josephson current could find wide use in superconducting spintronics.","","en","journal article","","","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:d0507d62-1f30-4cd1-9607-9887eed6b567","http://resolver.tudelft.nl/uuid:d0507d62-1f30-4cd1-9607-9887eed6b567","On the equivalence of OSDM and OTFS","van der Werf, I. (TU Delft Electrical Engineering, Mathematics and Computer Science); Dol, H. S. (TNO); Blom, K. (TU Delft ESA Education Service Centre); Heusdens, R. (TU Delft Signal Processing Systems; Netherlands Defense Academy (NLDA)); Hendriks, R.C. (TU Delft Signal Processing Systems); Leus, G.J.T. (TU Delft Signal Processing Systems)","","2023","In this paper, we show the mathematical equivalence of two popular modulation schemes: OSDM and OTFS. The former is mainly used in underwater acoustic communications, while the latter scheme is a promising modulation technique in radio-frequency communications. Although literature suggests a link between the two modulation schemes by connecting them to related modulation schemes like V-OFDM and A-OFDM, to the best of the authors’ knowledge, a direct mathematical comparison between the schemes has not been presented yet. The main purpose of this paper is therefore to show the mathematical equivalence of the two schemes. In addition, by combining the knowledge of acoustic and radio-frequency communications, we give insight in the performance of OSDM/OTFS in terms of intersymbol interference (ISI) and intercarrier interference (ICI) by analyzing its signal structure.","","en","journal article","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","ESA Education Service Centre","","",""
"uuid:02f5760f-6e6c-4e2d-b45d-8e11bc29a956","http://resolver.tudelft.nl/uuid:02f5760f-6e6c-4e2d-b45d-8e11bc29a956","Stochastic particle transport by deep-water irregular breaking waves","Eeltink, D. (Massachusetts Institute of Technology; University of Oxford; École Polytechnique Fédérale de Lausanne); Calvert, R. (TU Delft Environmental Fluid Mechanics; University of Edinburgh); Swagemakers, J.E. (Student TU Delft); Xiao, Qian (University of Oxford); van den Bremer, T.S. (TU Delft Environmental Fluid Mechanics; University of Oxford)","","2023","Correct prediction of particle transport by surface waves is crucial in many practical applications such as search and rescue or salvage operations and pollution tracking and clean-up efforts. Recent results by Deike et al. (J. Fluid Mech., vol. 829, 2017, pp. 364–391) and Pizzo et al. (J. Phys. Oceanogr., vol. 49, no. 4, 2019, pp. 983–992) have indicated transport by deep-water breaking waves is enhanced compared with non-breaking waves. To model particle transport in irregular waves, some of which break, we develop a stochastic differential equation describing both mean particle transport and its uncertainty. The equation combines a Brownian motion, which captures non-breaking drift-diffusion effects, and a compound Poisson process, which captures jumps in particle positions due to breaking. From the corresponding Fokker–Planck equation for the evolution of the probability density function for particle position, we obtain closed-form expressions for its first three moments. We corroborate these predictions with new experiments, in which we track large numbers of particles in irregular breaking waves. For breaking and non-breaking wave fields, our experiments confirm that the variance of the particle position grows linearly with time, in accordance with Taylor's single-particle dispersion theory. For wave fields that include breaking, the compound Poisson process increases the linear growth rate of the mean and variance and introduces a finite skewness of the particle position distribution.","","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:4721619c-39a4-49d4-aec7-bfba11584a4c","http://resolver.tudelft.nl/uuid:4721619c-39a4-49d4-aec7-bfba11584a4c","Physics-Informed Neural Networks for Solving Forward and Inverse Problems in Complex Beam Systems","Kapoor, T. (TU Delft Railway Engineering); Wang, H. (TU Delft Railway Engineering); Nunez, Alfredo (TU Delft Railway Engineering); Dollevoet, R.P.B.J. (TU Delft Railway Engineering)","","2023","This article proposes a new framework using physics-informed neural networks (PINNs) to simulate complex structural systems that consist of single and double beams based on Euler–Bernoulli and Timoshenko theories, where the double beams are connected with a Winkler foundation. In particular, forward and inverse problems for the Euler–Bernoulli and Timoshenko partial differential equations (PDEs) are solved using nondimensional equations with the physics-informed loss function. Higher order complex beam PDEs are efficiently solved for forward problems to compute the transverse displacements and cross-sectional rotations with less than <inline-formula> <tex-math notation=""LaTeX"">$1e-3$</tex-math> </inline-formula>% error. Furthermore, inverse problems are robustly solved to determine the unknown dimensionless model parameters and applied force in the entire space–time domain, even in the case of noisy data. The results suggest that PINNs are a promising strategy for solving problems in engineering structures and machines involving beam systems.","Complex system; double-beam system; Euler–Bernoulli beam; physics-informed neural networks (PINNs); Timoshenko beam","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:21dd69f8-4252-4b4e-a501-87569e6d8bd7","http://resolver.tudelft.nl/uuid:21dd69f8-4252-4b4e-a501-87569e6d8bd7","A systematic investigation into the effect of roughness on self-propelled swimming plates","Massey, J. (TU Delft Ship Hydromechanics; University of Southampton); Ganapathisubramani, B (University of Southampton); Weymouth, Gabriel D. (TU Delft Ship Hydromechanics; University of Southampton)","","2023","This study examines the effects of surface topography on the flow and performance of a self-propelled swimming (SPS) body. We consider a thin flat plate with an egg-carton roughness texture undergoing prescribed undulatory swimming kinematics at Strouhal number and tail amplitude to length ratio; we use plate Reynolds numbers, 12 and, and focus on. As the roughness wavelength is decreased, we find that the undulation wave speed must be increased to overcome the additional drag from the roughness and maintain SPS. Correspondingly, the extra wave speed raises the power required to maintain SPS, making the swimmer less efficient. To decouple the roughness and the kinematics, we compare the rough plates to equivalent smooth cases by matching the kinematic conditions. We find that all but the longest roughness wavelengths reduce the required swimming power and the unsteady amplitude of the forces when compared to a smooth plate undergoing identical kinematics. Additionally, roughness can enhance flow enstrophy by up to 116 % compared to the smooth cases without a corresponding spike in forces; this suggests that the increased mixing is not due to increased vorticity production at the wall. Instead, the enstrophy is found to peak strongly when the roughness wavelength is approximately twice the boundary layer thickness over the range, indicating the roughness induces large-scale secondary flow structures that extend to the edge of the boundary layer. This study reveals the nonlinear interaction between roughness and kinematics beyond a simple increase or decrease in drag, illustrating that roughness studies on static shapes do not transfer directly to unsteady swimmers.","","en","journal article","","","","","","","","","","","Ship Hydromechanics","","",""
"uuid:1ae6ef3a-b7ed-411f-b623-dbe9df7bbbf5","http://resolver.tudelft.nl/uuid:1ae6ef3a-b7ed-411f-b623-dbe9df7bbbf5","Cost Inference for Feedback Dynamic Games from Noisy Partial State Observations and Incomplete Trajectories","Li, Jingqi (University of California); Chiu, Chih Yuan (University of California); Peters, L. (TU Delft Learning & Autonomous Control); Sojoudi, Somayeh (University of California); Tomlin, Claire (University of California); Fridovich-Keil, David (The University of Texas at Austin)","","2023","In multi-agent dynamic games, the Nash equilibrium state trajectory of each agent is determined by its cost function and the information pattern of the game. However, the cost and trajectory of each agent may be unavailable to the other agents. Prior work on using partial observations to infer the costs in dynamic games assumes an open-loop information pattern. In this work, we demonstrate that the feedback Nash equilibrium concept is more expressive and encodes more complex behavior. It is desirable to develop specific tools for inferring players' objectives in feedback games. Therefore, we consider the dynamic game cost inference problem under the feedback information pattern, using only partial state observations and incomplete trajectory data. To this end, we first propose an inverse feedback game loss function, whose minimizer yields a feedback Nash equilibrium state trajectory closest to the observation data. We characterize the landscape and differentiability of the loss function. Given the difficulty of obtaining the exact gradient, our main contribution is an efficient gradient approximator, which enables a novel inverse feedback game solver that minimizes the loss using first-order optimization. In thorough empirical evaluations, we demonstrate that our algorithm converges reliably and has better robustness and generalization performance than the open-loop baseline method when the observation data reflects a group of players acting in a feedback Nash game.","Dynamic Game Theory; Inverse Games; Nash Equilibrium","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-30","","","Learning & Autonomous Control","","",""
"uuid:eb0a1812-61ce-462b-89cc-9aa7fe1e9a4e","http://resolver.tudelft.nl/uuid:eb0a1812-61ce-462b-89cc-9aa7fe1e9a4e","A Novel Aggregation Framework for the Efficient Integration of Distributed Energy Resources in the Smart Grid","Orfanoudakis, Stavros (TU Delft Intelligent Electrical Power Grids); Chalkiadakis, Georgios (Technical University of Crete)","","2023","In this paper, we put forward a novel DER aggregation framework, encompassing a multiagent architecture and various types of mechanisms for the effective management and efficient integration of DERs in the Grid. One critical component of our architecture is the Local Flexibility Estimators (LFEs) agents, which are key for offloading the Aggregator from serious or resource-intensive responsibilities-such as addressing privacy concerns and predicting the accuracy of DER statements regarding their offered demand response services. The proposed aggregation framework allows the formation of efficient LFE cooperatives. Our experiments verify its effectiveness for incorporating heterogeneous DERs into the Grid in an efficient manner-showing that the use of appropriate mechanisms results in higher payments for participating LFEs.","Distributed Energy Resources; Flexibility Aggregators; Mechanism Design; Smart Grid","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-27","","","Intelligent Electrical Power Grids","","",""
"uuid:f9187a19-436e-40a8-bceb-3222b8aa30b9","http://resolver.tudelft.nl/uuid:f9187a19-436e-40a8-bceb-3222b8aa30b9","Pluralizing the urban waste economy: insights from community-based enterprises in Ahmedabad (India) and Kampala (Uganda)","Oates, L.E. (TU Delft Urban Development Management); Kasaija, Peter (Makerere University); Sseviiri, Hakimu (Makerere University); Sudmant, Andrew (University of Leeds); Ersoy, A. (TU Delft Urban Development Management); van Bueren, Ellen (TU Delft Management in the Built Environment)","","2023","The delivery of urban basic infrastructure services is often guided by the modern infrastructure ideal, which aims for technical innovation, economic efficiency and uniformity through long-term, centralized management approaches. In rapidly growing urban centres of the global South, however, heterogeneous infrastructure configurations have long involved multiple systems in varying degrees of coexistence. This paper explores how community-based enterprises – organizations that aim not to turn a profit but rather to generate human well-being – contribute to, complement or conflict with wider municipal solid waste management strategies. It does so through two case studies, focused on Luchacos, a local enterprise turning waste into briquettes in an informal settlement of Kampala, Uganda; and the Self Employed Women’s Association (SEWA), a cooperative of waste pickers in Ahmedabad, India. Drawing on empirical data and policy analysis, the research finds that, given the necessary state support, community-based enterprises can contribute to a range of sustainability and development objectives.","community-based enterprises; India; municipal solid waste management (MSWM); Uganda; urban service delivery","en","journal article","","","","","","","","","","Management in the Built Environment","Urban Development Management","","",""
"uuid:0417087e-2e2e-4130-81eb-4de9a38b9b43","http://resolver.tudelft.nl/uuid:0417087e-2e2e-4130-81eb-4de9a38b9b43","Transit-Oriented Development in China: A Comparative Content Analysis of the Spatial Plans of High-Speed Railway Station Areas","Wang, B. (TU Delft Urban Development Management); de Jong, Martin (Fudan University; Erasmus Universiteit Rotterdam); van Bueren, Ellen (TU Delft Management in the Built Environment); Ersoy, A. (TU Delft Urban Development Management); Meng, Yanchun (Tsinghua University)","","2023","With rapid high-speed railway (HSR) developments in China, HSR-based transit-oriented development (TOD) has proliferated across the country. Although local governments claim that HSR station areas are planned according to TOD principles, some scholars argue that these station areas actually contribute to unsustainable development. This study investigates two main questions: (1) what success factors should be included in a TOD plan for HSR station areas? (2) to what extent are these factors considered in the plans of Chinese HSR station areas? To answer these questions, we use content analysis to compare spatial plans for 15 HSR station areas across China, triangulating the findings via in-depth interviews and field investigations. This study reveals that most of the factors in the plans for HSR station areas deviate from TOD principles, especially in small- and medium-sized cities. We find that Chinese local governments mainly use TODs as a tool to promote suburban expansion around HSR stations.","TOD; high-speed railway; station area; spatial plan; content analysis","en","journal article","","","","","","","","","","Management in the Built Environment","Urban Development Management","","",""
"uuid:14118907-ee9b-41b0-9394-00aa010f22e2","http://resolver.tudelft.nl/uuid:14118907-ee9b-41b0-9394-00aa010f22e2","Developing inclusive digital health diagnostic for schistosomiasis: a need for guidance via target product profiles","Onasanya, A.A. (TU Delft Design for Sustainability); Bengtson, Michel (Leiden University Medical Center); de Goeje, Ludo (Leiden University Medical Center); van Engelen, J.M.L. (TU Delft Design for Sustainability); Diehl, J.C. (TU Delft Design for Sustainability); van Lieshout, Lisette (Leiden University Medical Center)","","2023","IntroductionThe INSPIRED project aims to develop inclusive Digital Optical Diagnostic Devices (DODDs) for schistosomiasis, to support disease management by enabling rapid diagnostic results, to improve efficient data management to guide decision-making and to provide healthcare workers with critical health information to facilitate follow-up action. Due to the non-availability of Target Product Profiles (TPPs) for guiding the development of digital diagnostics for schistosomiasis, we explored existing diagnostic TPPs.MethodsUsing a curated open access database (Notion database), we studied a selection of TPPs for diagnosing infectious diseases, focusing on specifications related to digital health products for Neglected Tropical Diseases (NTDs).ResultsEighteen TPPs originating from 12 documents, covering 13 specific diseases, were selected and their characteristics were labeled and entered into the database. Further exploration of the database revealed several gaps, including a lack of stakeholder input, sustainability, and TPP availability. Other significant gaps related to digital health platform interconnectivity and data stewardship specifically in relation to digital diagnostics, including DODDs.DiscussionThese findings reflect two possible scenarios: (1) there is currently no need for digital diagnostic devices for schistosomiasis and, by extension for other NTDs; or (2) those needs are not yet covered by TPPs. Therefore, we recommend that digital health diagnostics are included in the use cases for schistosomiasis control and elimination, at least in the ideal/desirable scenario, as this will guide research and incentivize investment in digital health diagnostics for schistosomiasis.","schistosomiasis; Target Product Profile; digital diagnostics; inclusiveness; design","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:4f63adc9-6cd6-43dc-923e-28a9c4a21cb6","http://resolver.tudelft.nl/uuid:4f63adc9-6cd6-43dc-923e-28a9c4a21cb6","A Comprehensive Study on Measurement Accuracy of Distributed Fiber Optic Sensors Embedded within Capillaries of Solid Structures","Xiao, Y. (TU Delft Structural Integrity & Composites); Rans, C.D. (TU Delft Structural Integrity & Composites); Zarouchas, D. (TU Delft Structural Integrity & Composites); Benedictus, R. (TU Delft Structural Integrity & Composites)","","2023","Embedding fiber optic sensors (FOSs) within parts for strain measurement is attracting widespread interest due to its great potential in the field of structural health monitoring (SHM). This work proposes a novel method of embedding FOSs using capillaries within solid structures and investigates fiber positions and orientation uncertainties within capillaries of different sizes and their influences on strain measurement accuracies. To investigate how the fiber positions and orientation variations influence strain measurement accuracy, both analytical and numerical models are utilized to predict strain distributions along embedded fibers at different positions and with different orientations within the specimen. To verify the predictions, a group of specimens made of Aluminum 6082 was prepared, and the specimens in each group had capillaries of 2 mm, 4 mm, and 6 mm diameters, respectively. Fibers were embedded within each specimen using the capillaries. Four-point bending static tests were conducted for each specimen with embedded FOSs, performing in situ strain measurement. Subsequently, the specimens were partitioned into several pieces, and the cross sections were observed to know the real positions of the embedded fiber. Finally, the strain predictions at the real locations of the fiber were compared with the measured strain from the embedded FOSs. The predicted strain distributions as a function of the fiber positions alone and as a function of both the fiber positions and orientations were compared to assess the influence of fiber orientation change. The results from a combination of analytical, numerical, and experimental techniques suggest that the fiber position from the capillary center is the main factor that can influence strain measurement accuracies of embedded FOSs, and potential fiber misalignments within the capillary had a negligible influence. The fiber position-induced measured error increases from 10.5% to 18.5% as the capillary diameter increases from 2 mm to 6 mm. A 2 mm capillary diameter is able to lead to the lowest measurement error in this study and maintains ease of embedding. In addition, it is found that the measured strain always lies within a strain window defined by the strain distribution along capillary boundaries when there are no cracks. This can be further studied for crack detection.","fiber optic sensor embedding; strain measurement; structural health monitoring; measurement accuracy","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:891074de-6650-4fa5-92f7-0dfddfb5f1bc","http://resolver.tudelft.nl/uuid:891074de-6650-4fa5-92f7-0dfddfb5f1bc","Graph-Time Convolutional Neural Networks: Architecture and Theoretical Analysis","Sabbaqi, M. (TU Delft Multimedia Computing); Isufi, E. (TU Delft Multimedia Computing)","","2023","Devising and analysing learning models for spatiotemporal network data is of importance for tasks including forecasting, anomaly detection, and multi-agent coordination, among others. Graph Convolutional Neural Networks (GCNNs) are an established approach to learn from time-invariant network data. The graph convolution operation offers a principled approach to aggregate information and offers mathematical analysis by exploring tools from graph signal processing. This analysis provides insights into the equivariance properties of GCNNs; spectral behaviour of the learned filters; and the stability to graph perturbations, which arise from support perturbations or uncertainties. However, extending the convolutional learning and respective analysis to the spatiotemporal domain is challenging because spatiotemporal data have more intrinsic dependencies. Hence, a higher flexibility to capture jointly the spatial and temporal dependencies is required to learn meaningful higher-order representations. Here, we leverage product graphs to represent the spatiotemporal dependencies in the data and introduce Graph-Time Convolutional Neural Networks (GTCNNs) as a principled architecture. We also introduce a parametric product graph to learn the spatiotemporal coupling. The convolution principle further allows a similar mathematical tractability as for GCNNs. In particular, the stability result shows GTCNNs are stable to spatial perturbations. owever, there is an implicit trade-off between discriminability and robustness; i.e., the more complex the model, the less stable. Extensive numerical results on benchmark datasets corroborate our findings and show the GTCNN compares favorably with state-of-the-art solutions. We anticipate the GTCNN to be a starting point for more sophisticated models that achieve good performance but are also fundamentally grounded.","Convolution; Convolutional neural networks; Data models; Graph convolutional neural networks; graph signal processing; graph-time neural networks; Numerical stability; Perturbation methods; Spatiotemporal phenomena; Stability analysis; stability to perturbations","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-03","","","Multimedia Computing","","",""
"uuid:e9309de8-82e8-4266-85a0-2436a0e40190","http://resolver.tudelft.nl/uuid:e9309de8-82e8-4266-85a0-2436a0e40190","Drone-racing champions outpaced by AI","de Croon, G.C.H.E. (TU Delft Control & Simulation)","","2023","","Engineering; Machine learning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-01","","","Control & Simulation","","",""
"uuid:c5f36a0a-60d4-4d44-a476-bc451068e9b9","http://resolver.tudelft.nl/uuid:c5f36a0a-60d4-4d44-a476-bc451068e9b9","The impact of crustal scattering on body-wave reflection interferometry by cross-correlation and multidimensional deconvolution","Hartstra, I.E. (TU Delft Applied Geophysics and Petrophysics; Deltares); Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics)","","2023","Previous studies indicate that scattering may pose a trade-off for the performance of seismic interferometry (SI) applications for retrieving body-wave reflections of a target reflector. While it has been demonstrated that a higher scattering strength of the overburden improves the Green's function estimated by cross-correlation SI, other theoretical and empirical studies showed that multiple scattering also gives rise to more artefacts. The implications of this trade-off are analysed in this numerical study for a lithospheric scenario with varying crustal scattering strength and passive illumination conditions. In this scenario, we apply SI by cross-correlation to elastodynamic responses to double-couple sources to reconstruct virtual Moho primary reflections. We include multidimensional deconvolution (MDD) methods in the analysis to investigate whether scattering-induced artefacts affect MDD methods in a similar way as was shown for the cross-correlation method. Our results show that there indeed exists a trade-off between the quality of the virtual primary reflection of the target that can be obtained by SI and the scattering strength of the overburden. Furthermore, we find that the full-field MDD method proves to be most resilient to the negative effects of multiple scattering for all illumination conditions and scattering strengths analysed.","Body waves; Coda waves; Inverse theory; Numerical modelling; Seismic interferometry; Wave scattering and diffraction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-02","","","Applied Geophysics and Petrophysics","","",""
"uuid:f089b54c-3baf-4e19-bf24-0db92e0bf95f","http://resolver.tudelft.nl/uuid:f089b54c-3baf-4e19-bf24-0db92e0bf95f","New Techniques and Methods for Modelling, Visualization, and Analysis of a 3D City","Ying, Shen (Wuhan University); van Oosterom, P.J.M. (TU Delft Digital Technologies); Fan, Hongchao (Norwegian University of Science and Technology (NTNU))","","2023","The recent years observe the vast development in new techniques and methods for modelling, visualization, and analysis of 3D digital cities, as the need of digital twins of urban environment in different applications and simulations has been increased dramatically. This special issue attempts to give an overview of the recent progress and future tendency of research activities in the aforementioned domain. The special issue includes seven articles with topics ranging from data acquisition and data processing, to data modelling and applications. The experience in this special issue says that 3D building models should contain semantic information for various applications and therefore set the corresponding requirement in techniques and methods for 3D objects detection and modelling.","3D city model; 3D model; CityGML; Street image; Urban analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-02","","","Digital Technologies","","",""
"uuid:b575e819-1bb1-4375-bb49-fc6ae3660ab7","http://resolver.tudelft.nl/uuid:b575e819-1bb1-4375-bb49-fc6ae3660ab7","Cryogenic-Aware Forward Body Biasing in Bulk CMOS","Overwater, R.W.J. (TU Delft QCD/Sebastiano Lab; TU Delft QuTech Advanced Research Centre); Babaie, M. (TU Delft Electronics); Sebastiano, F. (TU Delft Quantum Circuit Architectures and Technology; TU Delft QuTech Advanced Research Centre)","","2023","Cryogenic CMOS (cryo-CMOS) circuits are often hindered by the cryogenic threshold-voltage increase. To mitigate such an increase, a forward body biasing (FBB) technique in bulk CMOS is proposed, which can operate up to the nominal supply without problematic leakage currents, thanks to the larger diode turn-on voltage at cryogenic temperatures. As a result, traditional circuits, such as pass-gates, can operate down to 4.2 K, and their performance is augmented, e.g., digital circuits speeding up by 1.62× or lowering their energy per transition and energy-delay product by 4.24× and 2.33× , respectively. Unlike back biasing in FD-SOI, here all FBB voltages remain within the supplies, hence enabling on-chip and device-specific biasing. The proposed FBB technique thus represents a valuable design tool for bulk cryo-CMOS circuits.","body biasing; bulk CMOS; Cryo-CMOS; Cryogenics; Inverters; Logic gates; MOS devices; Switching circuits; Threshold voltage; Transistors","en","journal article","","","","","","","","","","","QCD/Sebastiano Lab","","",""
"uuid:4cbffb4e-619a-4ad1-902e-e1fa148c3bb2","http://resolver.tudelft.nl/uuid:4cbffb4e-619a-4ad1-902e-e1fa148c3bb2","Multi-risk assessment for bridges: The application of the Italian Guidelines","Di Sano, Silvia (Polytechnic of Milan); Costa, Giancarlo (Polytechnic of Milan; BAM Federal Institute for Materials Research and Testing); Giordano, Pier Francesco (Polytechnic of Milan); Pregnolato, M. (TU Delft Hydraulic Structures and Flood Risk; University of Bristol); Limongelli, Maria Pina (Polytechnic of Milan; Lund University)","","2023","Bridges are essential elements in the built environment since they underpin the functioning of transportation systems. Nevertheless, they are vulnerable due to aggressive environment, demand beyond the design level, and other contingencies such as extreme events. The management of bridges represents a significant challenge for improving transport performance and ensure the safety of users. In Italy, bridge management is addressed by the recent “Guidelines for risk classification and management, safety evaluation and monitoring of existing bridges” which were issued by the Italian Ministry for Public Work in 2020. The guidelines propose an operational approach with distinctive characteristics: (i) risk-based, i.e., based on the typical parameters of hazard, vulnerability, and exposure; (ii) multi-level, i.e., they include six assessment levels (0-5) of increasing complexity; and (iii) multi-risk, i.e., they assess structural/foundation, seismic, hydrological and landslide risk. This paper presents a general overview of the 2020 Italian Guidelines and their application to a selected case study. Lessons from the application to the case study are drafted and possible criticalities highlighted.","bridge management; infrastructures; Italian guidelines; structural safety; risk assessment; risk classification","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:2b025b71-e076-4b41-b15f-b88c9673dbbf","http://resolver.tudelft.nl/uuid:2b025b71-e076-4b41-b15f-b88c9673dbbf","Damage tolerance in ductile woven silk fibre thermoplastic composites","Prapavesis, Alexandros (Katholieke Universiteit Leuven); Kopana, Penelope (Katholieke Universiteit Leuven); Wu, Weijing (Nanjing University of Aeronautics and Astronautics); Soete, Jeroen (Katholieke Universiteit Leuven); Mosleh, Yasmine (TU Delft Bio-based Structures & Materials); Van Vuure, Aart Willem (Katholieke Universiteit Leuven)","","2023","In this study, the tensile and bending properties of silk fibre composites using three (0, 90) woven fabrics with different architectures are investigated. The tensile results show that the silk composites can achieve high strain to failure (more than 20%) and toughness (up to 13 MJ/m3), which can be further manipulated based on the architecture of the fabrics, thus providing more tailored properties and design freedom in applications. XCT and SEM characterization are used to investigate and explain the outstanding toughness of these composites. In tension, a high density of microcracking was observed away from the failed location, which could explain the intrinsic high ductility and energy absorption of silk fibre composites by means of damage spreading throughout its volume in contrast to inherently brittle materials. In bending, significantly lower properties were observed with the more striking being the strain at failure reaching only 30% of the tensile value, thus limiting the potential of silk fibres in bending-dominated loading configurations. XCT revealed that the lower performance is due to failure on the compressive side of the composites, where a clear characteristic kink-band was observed in all composites subjected to bending, while there was no visible damage on the side under tension. This behaviour is also linked to the soft HDPE polymer matrix used, which provides little resistance to fibre buckling.","biocomposite; ductility; damage tolerance; X-Ray computed tomography","en","journal article","","","","","","","","","","","Bio-based Structures & Materials","","",""
"uuid:0078e179-a180-440d-984f-b9e0485100e3","http://resolver.tudelft.nl/uuid:0078e179-a180-440d-984f-b9e0485100e3","The Dodecahedron and the Basket of Fruit: Architecture in the Age of Artificial Intelligence","Corbo, S. (TU Delft Space & Type)","","2023","Starting from the late 1980s, the advent of digital design—the possibility to ideate, develop, and generate projects via computers—has progressively pushed the disciplinary discourse to rethink architecture’s role in society, as well as its formal manifestations. The contemporary evolution of digital architecture has taken different directions, which are sometimes contradictory and ambiguous in their intents. This paper especially focuses attention on one of those directions—the opportunities that artificial intelligence can offer in the future production and communication of architecture. Recent episodes are analysed and contextualised within the historical antinomy between two diverging worldviews that, since the fifteenth century until the end of the twentieth century, have informed the architectural discourse. These worldviews can be exemplified in the dichotomy between the dodecahedron and the basket of fruit.","artificial intelligence; digital culture; architecture; form; process","en","journal article","","","","","","","","","","","Space & Type","","",""
"uuid:23b11cef-dc20-4c9b-a7aa-3ff8fa874cce","http://resolver.tudelft.nl/uuid:23b11cef-dc20-4c9b-a7aa-3ff8fa874cce","A Comparative Techno-Economic Assessment of Alternative Fuels in SOFC Systems for Cruise Ships","Pina, E.A. (École Polytechnique Fédérale de Lausanne; University of Zaragoza); van Veldhuizen, B.N. (TU Delft Ship Design, Production and Operations); Maréchal, François (École Polytechnique Fédérale de Lausanne); van Herle, J. (École Polytechnique Fédérale de Lausanne)","","2023","This study presents the techno-economic analysis of a 100 kWe Solid Oxide Fuel Cell (SOFC) system for maritime applications fueled by methane, methanol, diesel, ammonia, and hydrogen. Two system configurations are considered for each fuel considering cathode off-gas recirculation (COGR) implementation to improve waste heat recovery both in terms of quantity and quality. The economic benefit of COGR is verified for all fuels, especially for methanol, hydrogen, and diesel, which present Levelized Cost of Exergy (LCOEx) reductions of about 10%, 9%, and 6%, respectively. Ammonia and methanol have the lowest LCOEx of about 0.260 EUR/kWh and 0.270 EUR/kWh, respectively, while hydrogen has the highest LCOEx of about 0.430 EUR/kWh. The sensitivity analyses suggest that fuel purchase cost, stack lifetime, and annual interest rate are the three parameters with the highest influence on the system cost. Overall, ammonia and methanol are the most promising fuels.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-01","","","Ship Design, Production and Operations","","",""
"uuid:dc91a370-7f76-4b78-a8c3-3f10656f3fe1","http://resolver.tudelft.nl/uuid:dc91a370-7f76-4b78-a8c3-3f10656f3fe1","Physics-informed Neural Networks Based On Sequential Training For CO2 Utilization And Storage In Subsurface Reservoir","Mansour Pour, K. (TU Delft Reservoir Engineering); Voskov, D.V. (TU Delft Reservoir Engineering; Stanford University)","","2023","CO2 utilization and storage (CCUS) simulation in subsurface reservoirs with complex heterogeneous structures necessitates a model that can capture multiphase compositional flow and transport. The governing equations are highly nonlinear due to the complex thermodynamic behavior, which involves the appearance and disappearance of multiple phases. Accurate simulation of these processes necessitates the use of stable numerical methods. While machine learning (ML) approaches have been used to solve a variety of nonlinear computational problems, a new approach based on physics-informed neural networks (PINNs) has been proposed for solving partial differential equations (PDEs). Unlike typical ML algorithms that require a large dataset for training, PINNs can train the network with unlabeled data. The applicability of this method has been explored for multiphase flow and transport in porous media. However, for nonlinear hyperbolic transport equations, the solution degrades significantly. This work proposes sequential training PINNs to simulate two-phase transport in porous media. The main concept is to retrain the neural network to solve the PDE over successive time segments rather than train for the entire time domain simultaneously. We observe that sequential training can capture the solution more accurately concerning the standard training for conventional two-phase problems. Furthermore, we extend the sequential training approach for compositional problems in which nonlinearity is more significant due to the complex phase transition. Our approach was tested on miscible and immiscible test cases and showed higher accuracy than the standard training method.","hyperbolic PDE; PINNs; Buckley–Leverett; gas injection; sequential training; compositional simulation; CCUS","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-01","","","Reservoir Engineering","","",""
"uuid:d2b5b285-baf1-43dd-8004-c4afc7f7a37f","http://resolver.tudelft.nl/uuid:d2b5b285-baf1-43dd-8004-c4afc7f7a37f","Experimental Evaluation of SOFC System Exposed to Marine Inclination Conditions","van Veldhuizen, B.N. (TU Delft Ship Design, Production and Operations); Zera, Emanuele (SolydEra S.p.A.); van Biert, L. (TU Delft Ship Design, Production and Operations); Modena, Stefano (SolydEra S.p.A.); Aravind, P.V. (TU Delft Energy Technology; Rijksuniversiteit Groningen); Visser, K. (TU Delft Ship Design, Production and Operations); Hopman, J.J. (TU Delft Ship Design, Production and Operations)","","2023","Marine actors are showing an increased interest in the application of Solid Oxide Fuel Cells (SOFCs) for deep sea shipping, because of their high conversion efficiency, low pollutant emissions, and fuel flexibility. However, it is unknown how the operation of SOFC systems is affected by large inclinations and motions, which can be present in ships for instance by seawaves. The goal of this research is to evaluate the influence of static and dynamic inclinations on the operation and safety of SOFC systems. Ship motions are emulated using a one-axial oscillation platform up to 30 degrees of inclination. The SOFC system was successfully operated on the platform and demonstrated stable power production under a variety of test conditions without any noticeable safety hazards. The results of the experiments are used to propose design improvements for marine SOFC systems, ultimately contributing to reduce the emissions of the shipping industry.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-01","","","Ship Design, Production and Operations","","",""
"uuid:66e4a981-a5d0-4a48-9b14-836d89c32e76","http://resolver.tudelft.nl/uuid:66e4a981-a5d0-4a48-9b14-836d89c32e76","Fusion Framework for Coaxial Catheter Tracking","Ha, Xuan Thao (Katholieke Universiteit Leuven; Scuola Superiore Sant’Anna); Wu, D. (TU Delft Medical Instruments & Bio-Inspired Technology; Katholieke Universiteit Leuven); Trauzettel, F. (TU Delft Medical Instruments & Bio-Inspired Technology; Katholieke Universiteit Leuven); Ourak, Mouloud (Katholieke Universiteit Leuven); Borghesan, Gianni (Katholieke Universiteit Leuven; Flanders Make); Menciassi, Arianna (Scuola Superiore Sant’Anna); Poorten, Emmanuel Vander (Katholieke Universiteit Leuven)","","2023","Minimally invasive catheter-based interventions normally take place under the guidance of fluoroscopy. However, fluoroscopy is harmful to both patients and clinicians. Moreover, it only offers 2-D shape visualization of flexible devices. To solve the problem of harmful radiation and offer 3-D pose and shape information, recent studies propose a combination of electromagnetic tracking (EMT) sensors and multicore fiber Bragg grating (FBG) fiber sensing. However, for robust localization, at least two EMT sensors are required to be attached to each multicore fiber. This may make the catheter overly complex and fragile. Furthermore, the inability of multicore FBG fibers to distinguish between twist-induced strain and bend-induced strain impacts shape sensing accuracy. This article proposes a new approach offering a precise shape sensing method that is robust against torsional twists and exploits symmetry and geometry to compensate for limited sensing information. The proposed approach originates from the observation that many interventional procedures employ a plurality of concentric instruments. By distributing sensors over these instruments, the complexity per instrument can be kept acceptable. The proposed sensor fusion approach ensures robust and superior shape reconstruction. Experiments in 3-D with ground truth generated by a stereo vision system have been done and yielded promising results. Compared to the state-of-the-art methods, the presented framework uses only half of the required EMT sensors per instrument resulting in significant spatial conservation while improving the catheter shape tracking accuracy by 57%.","Electromagnetic tracking (EMT); optical fiber; sensor fusion; shape sensing; steerable catheters/needles","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-01","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:15c56b12-8b00-4467-a43c-8a351f05d295","http://resolver.tudelft.nl/uuid:15c56b12-8b00-4467-a43c-8a351f05d295","Embedding Justice Considerations in Climate Resilience","Cañizares Gaztelu, J.C. (TU Delft Ethics & Philosophy of Technology); Copeland, S.M. (TU Delft Ethics & Philosophy of Technology); Doorn, N. (TU Delft Ethics & Philosophy of Technology)","","2023","This article contributes to recent work on justice in resilience-based projects for climate adaptation. At present, the model commonly used for guiding normative reflection in this domain is the tripartite model of justice, whereby justice is seen as comprising distributive, procedural and recognitional aspects. After discussing some conceptual problems and practical shortcomings of this model, we propose an alternative model with six forms of justice or kinds of justice demands: distributive, procedural, intergenerational, restorative and retributive justice, and justice in system outcomes. We also illustrate some advantages of this model with respect to representative accounts of the tripartite model.","climate adaptation; distributive justice; justice demands; procedural justice; Resilience","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:8b8175c9-10a4-4762-90e6-d437f2ff3632","http://resolver.tudelft.nl/uuid:8b8175c9-10a4-4762-90e6-d437f2ff3632","Performance of old and new mass-lumped triangular finite elements for wavefield modelling","Mulder, W.A. (TU Delft Applied Geophysics and Petrophysics; Shell Global Solutions International B.V.)","","2023","Finite elements with mass lumping allow for explicit time stepping when modelling wave propagation and can be more efficient than finite differences in complex geological settings. In two dimensions on quadrilaterals, spectral elements are the obvious choice. Triangles offer more flexibility for meshing, but the construction of polynomial elements is less straightforward. The elements have to be augmented with higher-degree polynomials in the interior to preserve accuracy after lumping of the mass matrix. With the classic accuracy criterion, triangular elements suitable for mass lumping up to a polynomial degree 9 were found. With a newer, less restrictive criterion, new elements were constructed of degree 5–7. Some of these are more efficient than the older ones. To assess which of all these elements performs best, the acoustic wave equation is solved for a homogeneous model on a square and on a domain with corners, as well as on a heterogeneous example with topography. The accuracy and runtimes are measured using either higher-order time stepping or second-order time stepping with dispersion correction. For elements of polynomial degree 2 and higher, the latter is more efficient. Among the various finite elements, the degree-4 element appears to be a good choice.","acoustics; computing aspects; modelling; numerical study; seismics; wave","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:da1400c4-d665-41d1-b4aa-08610aa568a7","http://resolver.tudelft.nl/uuid:da1400c4-d665-41d1-b4aa-08610aa568a7","Why do we do science?: Navigating the paths of individual excellence and team science","Flipse, S.M. (TU Delft Methodologie en Organisatie van Design); Kalmar, E. (TU Delft Methodologie en Organisatie van Design)","","2023","In this whitepaper, we address an issue that has been emerging within the academic community: how do we align our personal career perspectives with ideas of democratic, open and inclusive research and innovation strategies? We address this issue and voice our concerns regarding the governance of this alignment within our lovely institution in the hope that it provides a starting point for further deliberation amongst our scholars and students. ","Individual excellence; Team Science; Collaboration in Educationn; Incentives; Collaborative Science; Open Science","en","journal article","","","","","","","","","","","Methodologie en Organisatie van Design","","",""
"uuid:7d41daea-ca9f-4f2d-a266-8f057a9c84d1","http://resolver.tudelft.nl/uuid:7d41daea-ca9f-4f2d-a266-8f057a9c84d1","Pore Evolution during Combustion of Distinct Thermally Mature Shales: Insights into Potential In Situ Conversion","Hazra, Bodhisatwa (Academy of Scientific and Innovative Research (AcSIR); Central Institute of Mining and Fuel Research); Chandra, D. (TU Delft Applied Geophysics and Petrophysics); Lahiri, Sivaji (Central Institute of Mining and Fuel Research); Vishal, Vikram (Indian Institute of Technology Bombay); Sethi, Chinmay (Academy of Scientific and Innovative Research (AcSIR); Central Institute of Mining and Fuel Research); Pandey, Jai Krishna (Academy of Scientific and Innovative Research (AcSIR); Central Institute of Mining and Fuel Research)","","2023","Organic-rich shales are marked by the presence of complex pore structures and extremely low permeabilities, which present challenges while extracting hydrocarbon from them. With the potential negative environmental impacts of hydraulic fracturing, recent research has focused on alternative techniques such as in situ combustion/pyrolysis for enhancing the permeability of shales. In this study, low-temperature combustion was used to evaluate the evolution of pore structures in shales for contrasting thermal maturities and organic matter type from the Raniganj and Bikaner-Nagaur basins of India. The heating temperatures were decided on the basis of the combustion behavior of the samples observed from thermogravimetric analysis (TGA). Results from low-pressure N2 and CO2 gas adsorption indicate that mesopore and micropore structures in shales are significantly altered due to thermal treatment at higher temperatures. In general, for both of the shales, initially, when treated at lower temperatures, with respect to the raw shales, the mesopore surface area and fractal dimensions were observed to increase with lowering of pore sizes and vice versa. Similar to the mesopore trend, the increase-decrease trend of microporosity with heating was observed to be consistent for both of the shales. The oil-window mature shales showed a significant increase in micropores compared to the thermally immature shales. Microstructural investigations using high-resolution imaging also indicated a dramatic alteration of visible porosity with thermal treatment.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-03-06","","","Applied Geophysics and Petrophysics","","",""
"uuid:dffbcfe6-2839-4120-9dd9-26a69323d43d","http://resolver.tudelft.nl/uuid:dffbcfe6-2839-4120-9dd9-26a69323d43d","A degradable mode I cohesive zone model developed for damage and fracture analysis of dissimilar composite/metal adhesive joints subjected to cyclic ageing conditions","Moazzami, M. (TU Delft Structural Integrity & Composites; Iran University of Science and Technology); Akhavan-Safar, A. (Universidade do Porto); Ayatollahi, M. R. (Iran University of Science and Technology); Poulis, J.A. (TU Delft Structural Integrity & Composites); da Silva, L. F.M. (Universidade do Porto); Teixeira De Freitas, S. (TU Delft Structural Integrity & Composites)","","2023","Adhesive joints are frequently exposed to cyclic ageing conditions during their service life, which can have a substantial impact on the mechanical properties of both the adhesive and the substrates. The safe life philosophy, commonly employed in the design of bonded joints, underscores the importance of obtaining an accurate estimate of the adhesive's durability. Therefore, it is essential to enhance the predictive capabilities of the adhesive's mechanical behavior under cyclic ageing conditions. This research aims to expand the use of quasi-static cohesive zone modelling (CZM) for damage and fracture analysis of dissimilar adhesive joints subjected to cyclic ageing environments. The first step involved measuring the mechanical properties of the adhesive through tensile tests on unaged and cyclically aged dogbone specimens, considering their moisture content and ageing cycles. Based on the results, a degradable CZM was developed. To validate the numerical model, dissimilar double cantilever beam specimens (DCBs) of glass fibre reinforced polymer (GFRP) and aluminium were manufactured and tested before and after ageing. The load-displacement curves of the bi-materials bonded joints were successfully predicted using the developed model where the properties of the material are defined as a function of the moisture uptake and ageing cycles at each material element. The obtained results showed that after 4 ageing cycles, the maximum load of DCB specimens decrease considerably.","Cohesive zone modelling; Cyclic ageing; Dissimilar adhesive joints; Mode I; Moisture diffusion","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:24bba919-3d78-4ab7-89e1-7e4d66bd6df0","http://resolver.tudelft.nl/uuid:24bba919-3d78-4ab7-89e1-7e4d66bd6df0","Magnetic particle tracking: A semi-algebraic solution","Buist, K. A. (Eindhoven University of Technology); Nijssen, Tim M.J. (TU Delft BT/Bioprocess Engineering)","","2023","Magnetic Particle Tracking (MPT) is a relatively new non-invasive measurement technique which is often used to study dense granular flow. Its basic principle relies on tracking the movement of a single magnetic tracer by means of measuring the magnetic field strength at a suitable distance from the tracer. By assumption of a magnetic dipole and the use of minimization techniques, both location and orientation of the tracer can be determined. MPT is therefore uniquely suited for the study of non-spherical particles. The performance of the localization is largely dependent on the signal-to-noise ratio and very often relies on nonlinear optimization techniques, as the definition of the magnetic field generated by a dipole is highly nonlinear and has five degrees of freedom. In this paper, we present a semi-algebraic solution by decoupling the estimation of the position and orientation in separate algebraic solutions. The two estimates are mutually dependent, necessitating an iterative approach between the two. The main benefits of this new approach is in the speed and robustness of the algorithm, which are much higher than for the classical constrained nonlinear optimization techniques.","Granular flows; Magnetic Particle Tracking; Minimization; Non-invasive monitoring; Optimization","en","journal article","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:c573a0ff-381c-45bf-9fa9-cf87d6481b1b","http://resolver.tudelft.nl/uuid:c573a0ff-381c-45bf-9fa9-cf87d6481b1b","Experimental Characterization of the Airflow within a Car Cabin","Bertone, M. (University of Cassino and Southern Lazio); Sciacchitano, A. (TU Delft Aerodynamics); Arpino, F. (University of Cassino and Southern Lazio); Canale, C. (University of Cassino and Southern Lazio); Cortellessa, G. (University of Cassino and Southern Lazio); Grossi, G. (University of Cassino and Southern Lazio); Moretti, L. (University of Cassino and Southern Lazio)","","2023","The ventilation flow in a car cabin has been experimentally investigated. The study has been carried out in a car commercially available, by testing one ventilation mode (panel-vent mode) at one fan strength (level 3 of the 4 available) with fresh air intake (without any re-circulation). The flow velocity at the exit of the vents has been measured using a 5-hole pressure probe. The flow velocity fields inside the car cabin have been measured by particle image velocimetry (PIV) in three longitudinal sections: (i) the car centre plane, including both the front and rear area; (ii) the driver's seat centre plane, only in the front area; (iii) the passenger's seat centre plane, only in the front area. At these longitudinal planes, the time-average flow velocity is presented and discussed. The experimental results provide new insights in the ventilation flow in a car cabin.","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:7f2f2ca0-6ada-4a9a-ade0-09ba03758980","http://resolver.tudelft.nl/uuid:7f2f2ca0-6ada-4a9a-ade0-09ba03758980","An ethics assessment list for geoinformation ecosystems: revisiting the integrated geospatial information framework of the United Nations","Calzati, S. (TU Delft Urban Data Science); van Loenen, B. (TU Delft Urban Data Science)","","2023","To achieve sustainable development goals, georeferenced data and geographic information systems play a crucial role. Yet, the way in which these data and systems are summoned upon rests on positivist assumptions which overlook both epistemological and ethical concerns. This is epitomized by the integrated geospatial information framework (IGIF) of the United Nations, which, from the perspective of sustainable development, aims to provide guidance for the management of geoinformation and related tools, considering these as mirrors of the physical world. In this respect, the article has three main goals. First, it delivers an epistemological and ethical critique of the IGIF, by highlighting its internal tensions. Second, it suggests how the IGIF and similar geoinformation initiatives can benefit from an ethical reflection that allows to conduct georeferenced practices in a fair(er) way. Third, it designs an ethics assessment list for self-evaluating the ethical robustness of geoinformation initiatives as ecosystems.","data ecosystem; data ethics; geoinformation; GISs; integrated geospatial information framework; Sustainable development goals","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:049cfba8-ca23-4da2-aca0-d169db8e15a5","http://resolver.tudelft.nl/uuid:049cfba8-ca23-4da2-aca0-d169db8e15a5","ThermoSurf: Thermal display technology for dynamic and multi-finger interactions","Peters, Luka (Student TU Delft); Serhat, Gokhan (Katholieke Universiteit Leuven); Vardar, Y. (TU Delft Human-Robot Interaction)","","2023","Thermal feedback has been proven to enhance user experience in human-machine interactions. Yet state-of-the-art thermal technology has focused on the single finger or palm in static contact, overlooking dynamic and multi-finger interactions. The underlying challenges include incompatible designs of conventional interfaces for providing salient thermal stimuli for such interactions and, thereby, a lack of knowledge on human thermal perception for relevant conditions. Here we present the ThermoSurf, a new thermal display technology that can deliver temperature patterns on a large interface suitable for dynamic and multi-finger interactions. We also investigate how user exploration affects the perception of the generated temperature distributions. Twenty-three human participants interacted with the device following three exploration conditions: static-single finger, dynamic-single finger, and static-multi finger. In these experiments, the individuals evaluated 15 temperature differences ranging from -7.5°C to +1.5°C with an initial temperature of 38°C. Our results showed that human sensitivity against thermal stimuli is significantly greater for static-single finger contact compared to the other tested conditions. In addition, this interaction type resulted in higher thermal discrimination thresholds than the ones reported in the literature. Our findings offer new perspectives on providing salient and consistent thermal feedback for future tactile interfaces.","Fingers; Heating systems; human thermal perception; human-machine interaction; Sensitivity; Skin; Temperature distribution; Temperature sensors; Thermal conductivity; thermal display; thermal feedback","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:3f6337dd-d66f-413a-b884-f48c8ea650dd","http://resolver.tudelft.nl/uuid:3f6337dd-d66f-413a-b884-f48c8ea650dd","Mastery and social position: Factors in negotiating urban social resilience","Benitez Avila, C.A. (TU Delft Values Technology and Innovation; TU Delft Ethics & Philosophy of Technology); Schuberth, Florian (University of Twente); Copeland, S.M. (TU Delft Values Technology and Innovation; TU Delft Ethics & Philosophy of Technology)","","2023","The pragmatic view of urban resilience has re-framed long-lasting social issues as chronic social stresses that can be addressed by building strong social networks in urban environments. This practice, inspired by disaster management, is problematic because it presupposes a community whose members share the same fate. Conversely, social vulnerability emerges from the asymmetrical distribution of agency in the social order, so that a low social position jeopardises life chances. Hence, we argue that the social dimension in urban resilience should focus on the role of social positions and individuals’ agentic predispositions to control their life chances if faced with adversity (i.e., their Mastery). Using structural equation modelling and data from a 2018 public Dutch survey, we found that when mediated by Mastery, socioeconomic status drives the individual’s positive adaptation behaviour. In contrast, Interaction with Primary Networks, Neighbourhood Cohesion, and Membership in Voluntary Associations have an unsubstantial relationship to positive adaptation. These empirical results suggest that Mastery is crucial for people’s resilience in their daily life. In view of the recent shift towards negotiation in resilience thinking, we propose Mastery as the guiding factor for transforming arrangements that shape social positions.","OA-Fund TU Delft","en","journal article","","","","","","","","","","Values Technology and Innovation","Ethics & Philosophy of Technology","","",""
"uuid:76deb277-4b7c-45f2-ba71-f6b970dadefd","http://resolver.tudelft.nl/uuid:76deb277-4b7c-45f2-ba71-f6b970dadefd","Astraea: Anonymous and Secure Auditing Based on Private Smart Contracts for Donation Systems","Li, Meng (Hefei University of Technology); Chen, Yifei (NSFOCUS); Zhu, Liehaung (Beijing Institute of Technology); Zhang, Zijian (Queen’s University); Ni, Jianbing (Queen’s University); Lal, C. (TU Delft Intelligent Systems; TU Delft Cyber Security); Conti, M. (TU Delft Intelligent Systems; TU Delft Cyber Security)","","2023","Many regions are in urgent need of facial masks for slowing down the spread of COVID-19. To fight the pandemic, people are contributing masks through donation systems. Most existing systems are built on a centralized architecture which is prone to the single point of failure and lack of transparency. Blockchain-based solutions neglect fundamental privacy concerns (<italic>donation privacy</italic>) and security attacks (<italic>collusion attack, stealing attack</italic>). Moreover, current auditing solutions are not designed to achieve donation privacy, thus not appropriate in our context. In this work, we design a decentralized, anonymous, and secure auditing framework <italic>Astraea</italic> based on private smart contracts for donation systems. Specifically, we integrate a Distribute Smart Contract (DiSC) with an SGX Enclave to distribute donations, prove the integrity of donation number (intention) and donation sum while preserving donation privacy. With DiSC, we design a Donation Smart Contract to refund deposits and defend against the stealing attack the collusion attack from malicious collector and transponder. We formally define and prove the privacy and security of Astraea by using security reduction. We build a prototype of Astraea to conduct extensive performance analysis. Experimental results demonstrate that Astraea is practically efficient in terms of both computation and communication.","Auditing; Blockchains; commitment; COVID-19; donation privacy; donation systems; Privacy; private smart contract; security; Security; Smart contracts; Systems architecture; Transponders","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-19","","Intelligent Systems","Cyber Security","","",""
"uuid:1c18c2f1-f4d0-488e-915b-3362bb32d510","http://resolver.tudelft.nl/uuid:1c18c2f1-f4d0-488e-915b-3362bb32d510","Bioethanol sustainable supply chain design: A multi-attribute bi-objective structure","Kheybari, Siamak (University of Cambridge); Davoodi Monfared, Mansoor (Institute for Advanced Studies in Basic Sciences, Zanjan; Helmholtz Zentrum Dresden Rossendorf); Salamirad, Amirhossein (University of British Columbia); Rezaei, J. (TU Delft Transport and Logistics)","","2023","To design a bioethanol supply chain, along with the transportation and operational costs, it is vital to consider more factors categorized into three sustainability pillars (i.e. economy, social and environment). In this paper, to develop a mathematical model for bioethanol supply chain (BSC), we propose a two-phase methodology; in the first phase, using a sustainable framework of attributes contributing to the facility location selection in the BSC network, we calculate the sustainability score of alternatives through employing the best-worst method (BWM). Then, considering the results of the multi-attribute step as the parameters of an objective function called the sustainability value function, we develop a bi-objective multi-level bioethanol supply chain model. To solve the proposed model, a Nested bi-objective Optimization Genetic Algorithm (NbOGA) is introduced in this research. Finally, we evaluate the performance of the presented BSC model and the algorithm for a real-world problem. The results show that using the proposed structure, both sustainability attributes and transportation costs are appropriately satisfied in the BSC network.","best-worst method (BWM); Bi-objective optimization; Bioethanol supply chain; Genetic algorithm; Sustainability index","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:166ca2b9-3ac7-4503-ad96-33a0b3cf8519","http://resolver.tudelft.nl/uuid:166ca2b9-3ac7-4503-ad96-33a0b3cf8519","Deterministic vs. robust design optimization using DEM-based metamodels","Fransen, M.P. (TU Delft Transport Engineering and Logistics); Langelaar, Matthijs (TU Delft Computational Design and Mechanics); Schott, D.L. (TU Delft Transport Engineering and Logistics)","","2023","In design optimization of bulk handling equipment (BHE) we generally focus on the mean performance of the equipment. However, granular materials behave stochastic due to irregularities in particle shape and size which leads to stochastic performance of the equipment. To include the stochastic performance we propose robust metamodel-based design optimization (MBDO). The used metamodels are trained with stochastic performance data from randomly repeated discrete element method (DEM) simulations and predict mean and variance of the equipment performance. This method is compared to the conventional deterministic optimization method by means of a case study of a discharging hopper including verification and validation. The robust MBDO shows more distinctive optimal designs compared to the deterministic approach. In addition, the DEM-based metamodel is a relatively accurate method to predict DEM-model simulation results. However, the validation indicates that differences between DEM-model and experimental results highly affect the reliability of the found optima.","Deterministic optimization; Metamodels; Optima validation; Robust optimization","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:e4ca4ace-9976-4474-89b4-7fb4747692b5","http://resolver.tudelft.nl/uuid:e4ca4ace-9976-4474-89b4-7fb4747692b5","Cooperative Task Execution for Object Detection in Edge Computing: An Internet of Things Application","Amanatidis, Petros (International Hellenic University); Karampatzakis, Dimitris (International Hellenic University); Iosifidis, G. (TU Delft Networked Systems); Lagkas, Thomas (International Hellenic University); Nikitas, Alexandros (University of Huddersfield)","","2023","The development of computer hardware and communications has brought with it many exciting applications in the Internet of Things. More and more Single Board Computers (SBC) with high performance and low power consumption are used to infer deep learning models at the edge of the network. In this article, we investigate a cooperative task execution system in an edge computing architecture. In our topology, the edge server offloads different workloads to end devices, which collaboratively execute object detection on the transmitted sets of images. Our proposed system attempts to provide optimization in terms of execution accuracy and execution time for inferencing deep learning models. Furthermore, we focus on implementing new policies to optimize the E2E execution time and the execution accuracy of the system by highlighting the key role of effective image compression and the batch sizes (splitting decisions) received by the end devices from a server at the network edge. In our testbed, we used the You Only Look Once (YOLO) version 5, which is one of the most popular object detectors. In our heterogeneous testbed, an edge server and three different end devices were used with different characteristics like CPU/TPU, different sizes of RAM, and different neural network input sizes to identify sharp trade-offs. Firstly, we implemented the YOLOv5 on our end devices to evaluate the performance of the model using metrics like Precision, Recall, and mAP on the COCO dataset. Finally, we explore optimal trade-offs for different task-splitting strategies and compression decisions to optimize total performance. We demonstrate that offloading workloads on multiple end devices based on different splitting decisions and compression values improves the system’s performance to respond in real-time conditions without needing a server or cloud resources.","edge AI; edge computing; Internet of Things; object detection system; task offloading; YOLOv5","en","journal article","","","","","","","","","","","Networked Systems","","",""
"uuid:9a5c143d-7088-4939-aa57-3da55733269c","http://resolver.tudelft.nl/uuid:9a5c143d-7088-4939-aa57-3da55733269c","The distributional effects of a nitrogen tax: Evidence from Germany","Johne, C. (Universiteit Leiden); Schröder, E. (TU Delft Economics of Technology and Innovation); Ward, H. (TU Delft Organisation & Governance; Universiteit Leiden; Mercator Research Institute on Global Commons and Climate Change)","","2023","The high level of nitrogen emissions over the last decades and their adverse impact on the natural environment and human health are a pressing environmental issue. A nitrogen tax can be a cost-efficient and effective policy instrument to reduce nitrogen emissions. However, adverse effects on low- and middle-income households might lead to societal and political frictions that could end up in resistance. In this paper we investigate how a hypothetical nitrogen tax covering the specific external costs of nitrogen could be implemented and estimate its short-term distributional effects on household income groups in Germany. The findings show that the proposed tax would be regressive. However, if the tax rate is set equal to the true cost of nitrogen, the monetary impacts would overall be small, ranging from 1.15% of income for the first income quintile to 0.66% for the fifth. Complementary policy measures to lower the burden on low-income households, farmers and the energy sector could preempt social resistance against the tax.","Distributional effects; Environmental policy; Germany; Household impacts; Nitrogen tax","en","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:4d11606f-376a-4f4e-928b-84509872bef9","http://resolver.tudelft.nl/uuid:4d11606f-376a-4f4e-928b-84509872bef9","Experimental and numerical study of the wake deflections of scaled vertical axis wind turbine models","Huang, M. (TU Delft Wind Energy); Sciacchitano, A. (TU Delft Aerodynamics); Ferreira, Carlos (TU Delft Wind Energy)","","2023","Wake steering of vertical axis wind turbines (VAWTs) is investigated experimentally and numerically via stereoscopic particle image velocimetry and Reynolds averaged Navier-Stokes simulations. Three different blade pitch angles (-10°, 0°, 10°) of straight H-type VAWTs are adopted to deflect and deform the wake. The experimental results confirm the efficacy of blade pitching on the wake steering, and validate the simulation for both moderate and significant wake deflections. The simulation is then extended to full-scale VAWTs, exploring the wake deflection effects on the power performance of VAWT arrays. The effects of inter-turbine distances and pitching configurations are considered. With the upwind VAWT deflecting the wake, the overall power coefficient is increased by 41%.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:d9af48ca-b428-4f4f-b8ca-c253de066a31","http://resolver.tudelft.nl/uuid:d9af48ca-b428-4f4f-b8ca-c253de066a31","Association of Social Media Use with Family Connectedness and Parental Monitoring: A Survey Study of Young Adults in Pakistan","Tariq, Amina (Queensland University of Technology); Khan, Shanchita R. (The Kirby Institute); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science; Queensland University of Technology); Basharat, Amna (National University of Computer and Emerging Sciences)","","2023","An increasing number of young adults are using social media platforms in collectivist family cultures like Pakistan, but little is known about how social media use is associated with family connectedness in this population. This study is aimed (a) at examining the social media usage patterns in Pakistani young adults and how they use it to connect with their parents and (b) at exploring the possible association between social media use and perceived family connectedness and parental monitoring. Data came from an online survey conducted among young adults in Pakistan. Patterns of use across various popular social media platforms were recorded. Additionally, family connectedness and parental monitoring were measured. Multiple linear regression analyses were used to examine the relationship between social media used to connect with parents and family connectedness and parental monitoring. A total of 421 participants responded to the survey. All participants regularly used at least two popular social media platforms. WhatsApp was the most used platform irrespective of gender or age, with about 91% of the participants reporting daily usage. Overall, 63% of participants connected with their parents using social media. This varied significantly among male and female participants with 69% of female participants connecting with their parents on social media compared to 59% of males. Connecting with parents on both Facebook and Instagram was highly associated with participants' perception of parental monitoring before and after adjusting for age and gender. The study highlights that while family connectedness in collectivist societies like Pakistan is not associated with social media usage, adult children perceive parents monitoring their social media activities.","","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:c394723d-bb04-4b44-9d25-defc9ffad773","http://resolver.tudelft.nl/uuid:c394723d-bb04-4b44-9d25-defc9ffad773","Correlation between Slag Reactivity and Cement Paste Properties: The Influence of Slag Chemistry","Zhang, Yu (Southeast University); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2023","The properties of slag-rich cement paste are fundamentally associated with slag chemistry. In the present research, 10 slags covering the common chemistry range, including eight synthetic slags of CaO-SiO2-Al2O3-MgO system and two commercial slags, were adopted to evaluate the influence of slag composition on the early (7 days) and later (3 months) age properties of blended paste. Mixture containing Al2O3-rich slag performed better at 7 days as it favored the formation of ettringite and/or monosulfate. The MgO-rich slag cement paste exhibited good properties at both early and later ages, and it effectively promoted the precipitation of Mg-Al hydrotalcite-like phase. It was also noted that the Mg/Al atomic ratio of hydrotalcite-like phase was positively related to the Mg/Al atomic ratio of slag itself. Conversely, with the increasing MgO content in slag, the Al/Si atomic ratio of C-S(A)-H gel phase decreased. High Al2O3 and/or MgO contents can compensate the negative effect of reduced CaO/SiO2 ratio at early age while not at later age. Overall, attention should be paid to aluminum- and sulfur-rich slags. These two elements in slag promoted the formation of ettringite and/or monosulfate at an early age; however, this positive effect disappeared at later ages.","Al 2 O 3 and MgO; Early- and late-age properties; Graphic method; Slag-rich cement paste; Synthetic slag","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-27","","","Materials and Environment","","",""
"uuid:930255d2-49c0-47b3-8b35-c7afaf218e8f","http://resolver.tudelft.nl/uuid:930255d2-49c0-47b3-8b35-c7afaf218e8f","Toward Physically Plausible Data-Driven Models: A Novel Neural Network Approach to Symbolic Regression","Kubalik, Jiri (Czech Technical University); Derner, Erik (Czech Technical University); Babuska, R. (TU Delft Learning & Autonomous Control; Czech Technical University)","","2023","Many real-world systems can be described by mathematical models that are human-comprehensible, easy to analyze and help explain the system's behavior. Symbolic regression is a method that can automatically generate such models from data. Historically, symbolic regression has been predominantly realized by genetic programming, a method that evolves populations of candidate solutions that are subsequently modified by genetic operators crossover and mutation. However, this approach suffers from several deficiencies: it does not scale well with the number of variables and samples in the training data-models tend to grow in size and complexity without an adequate accuracy gain, and it is hard to fine-tune the model coefficients using just genetic operators. Recently, neural networks have been applied to learn the whole analytic model, i.e., its structure and the coefficients, using gradient-based optimization algorithms. This paper proposes a novel neural network-based symbolic regression method that constructs physically plausible models based on even very small training data sets and prior knowledge about the system. The method employs an adaptive weighting scheme to effectively deal with multiple loss function terms and an epoch-wise learning process to reduce the chance of getting stuck in poor local optima. Furthermore, we propose a parameter-free method for choosing the model with the best interpolation and extrapolation performance out of all the models generated throughout the whole learning process. We experimentally evaluate the approach on four test systems: the TurtleBot 2 mobile robot, the magnetic manipulation system, the equivalent resistance of two resistors in parallel, and the longitudinal force of the anti-lock braking system. The results clearly show the potential of the method to find parsimonious models that comply with the prior knowledge provided.","neural networks; physics-aware modeling; Symbolic regression","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:f3e03ad2-666d-43a0-9ddb-9613ecf30408","http://resolver.tudelft.nl/uuid:f3e03ad2-666d-43a0-9ddb-9613ecf30408","Predicting Gait Patterns of Children With Spasticity by Simulating Hyperreflexia","Veerkamp, Kirsten (Vrije Universiteit Amsterdam; Griffith University; Amsterdam Movement Sciences); Carty, Christopher P. (Griffith University); Waterval, Niels F.J. (Vrije Universiteit Amsterdam; Universiteit van Amsterdam; Amsterdam Movement Sciences); Geijtenbeek, T. (TU Delft Biomechatronics & Human-Machine Control); Buizer, Annemieke I. (Vrije Universiteit Amsterdam; Universiteit van Amsterdam; Amsterdam Movement Sciences); Lloyd, David G. (Griffith University); Harlaar, J. (TU Delft Biomechatronics & Human-Machine Control; Erasmus MC); van der Krogt, Marjolein M. (Vrije Universiteit Amsterdam; Amsterdam Movement Sciences)","","2023","Spasticity is a common impairment within pediatric neuromusculoskeletal disorders. How spasticity contributes to gait deviations is important for treatment selection. Our aim was to evaluate the pathophysiological mechanisms underlying gait deviations seen in children with spasticity, using predictive simulations. A cluster analysis was performed to extract distinct gait patterns from experimental gait data of 17 children with spasticity to be used as comparative validation data. A forward dynamic simulation framework was employed to predict gait with either velocity- or force-based hyperreflexia. This framework entailed a generic musculoskeletal model controlled by reflexes and supraspinal drive, governed by a multiobjective cost function. Hyperreflexia values were optimized to enable the simulated gait to best match experimental gait patterns. Three experimental gait patterns were extracted: (1) increased knee flexion, (2) increased ankle plantar flexion, and (3) increased knee flexion and ankle plantar flexion when compared with typical gait. Overall, velocity-based hyperreflexia outperformed force-based hyperreflexia. The first gait pattern could mostly be explained by rectus femoris and hamstrings velocity-based hyperreflexia, the second by gastrocnemius velocity-based hyperreflexia, and the third by gastrocnemius, soleus, and hamstrings velocity-based hyperreflexia. This study shows how velocity-based hyperreflexia from specific muscles contributes to different spastic gait patterns, which may help in providing targeted treatment.","cerebral palsy; forward dynamics; neuromusculoskeletal modeling; predictive simulations; spastic diplegia","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-02","","","Biomechatronics & Human-Machine Control","","",""
"uuid:c41d6261-6122-410f-85d9-a63a9f8a8280","http://resolver.tudelft.nl/uuid:c41d6261-6122-410f-85d9-a63a9f8a8280","3D Printed Liquid Crystal Polymer Thermosiphon for Heat Transfer under Vacuum","Seshadri, Bharath (ETH Zürich); Hischier, Illias (ETH Zürich); Masania, K. (TU Delft Aerospace Manufacturing Technologies); Schlueter, Arno (ETH Zürich)","","2023","A novel approach is presented to 3D print vacuum–tight polymer components using liquid crystal polymers (LCPs). Vacuum–tight components are essential for gas storage and passive heat transfer, but traditional polymer 3D printing methods often suffer from poor interfaces between layers and high free volume, compromising vacuum integrity. By harnessing the unique properties of LCPs, including low free volume and low melt viscosity, highly ordered domains are achieved through nematic alignment of polymer chains. Critical gas–barrier properties are demonstrated, even in thin, single–print line–walled samples ranging from 0.8 to 1.6 mm. A 200 mm evacuated thermosiphon is successfully printed, which exhibits a thermal resistance of up to 2.18 K/W and an effective thermal conductivity of up to 28 W/mK at 60 °C. These values represent a significant increase compared to the base LCP material. Furthermore, the geometric freedom, enabled by 3D printing through the fabrication of complex–shaped thermosiphons, is showcased. The authors study highlights the potential of LCPs as high–performance materials for 3D printing vacuum–tight components with intricate geometries, opening new avenues for functional design. An application of integrating 3D printed thermosiphons as selective heat transfer components in building envelopes is presented, contributing to greenhouse gas emissions mitigation in the construction sector.","3d printing; liquid crystal polymers; thermosiphons; vacuum","en","journal article","","","","","","","","","","","Aerospace Manufacturing Technologies","","",""
"uuid:a7d1ab0d-b73d-4be8-8210-911387ce6c06","http://resolver.tudelft.nl/uuid:a7d1ab0d-b73d-4be8-8210-911387ce6c06","Towards parallel time-stepping for the numerical simulation of atherosclerotic plaque growth","Frei, Stefan (Universität Konstanz); Heinlein, A. (TU Delft Numerical Analysis)","","2023","The numerical simulation of atherosclerotic plaque growth is computationally prohibitive, since it involves a complex cardiovascular fluid-structure interaction (FSI) problem with a characteristic time scale of milliseconds to seconds, as well as a plaque growth process governed by reaction-diffusion equations, which takes place over several months. In this work we combine a temporal homogenization approach, which separates the problem in computationally expensive FSI problems on a micro scale and a reaction-diffusion problem on the macro scale, with parallel time-stepping algorithms. It has been found in the literature that parallel time-stepping algorithms do not perform well when applied directly to the FSI problem. To circumvent this problem, a parareal algorithm is applied on the macro-scale reaction-diffusion problem instead of the micro-scale FSI problem. We investigate modifications in the coarse propagator of the parareal algorithm, in order to further reduce the number of costly micro problems to be solved. The approaches are tested in detailed numerical investigations based on serial simulations.","Atherosclerotic plaque growth; Fluid-structure interactions; Parallel time-stepping; Parareal algorithm; Temporal homogenization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-15","","","Numerical Analysis","","",""
"uuid:3a0be0e0-db12-4b56-a42b-a1338edf8b53","http://resolver.tudelft.nl/uuid:3a0be0e0-db12-4b56-a42b-a1338edf8b53","Towards multi-domain user archetypes for user-centred façade design","Luna-Navarro, Alessandra (TU Delft Architectural Technology); Khandhachani, P. (Student TU Delft); Brembilla, E. (TU Delft Environmental & Climate Design); de la Barra Luegmayer, P. (TU Delft Architectural Technology); Andriotis, C. (TU Delft Architectural Technology)","","2023","User experience and satisfaction with the facade play a significant role in user comfort and energy efficiency of buildings. This paper explores the concept of User-Facade archetypes to inform the user-centred design of shading devices based on the perceived level of importance of different environmental domains at the workplace. A questionnaire was developed to collect data on users’ perceived level of importance of different environmental domains, user characteristics and other preferences. Based on the associated level of importance of the domains affected by shading devices (thermal conditions, access to daylight, access to outdoor view, privacy and glare mitigation), users were then clustered into eight different archetypes, which associated different ""weights"" to each comfort domain. The study also found a significant correlation between the associated level of importance and the reported frequency of interaction with shadings because of thermal comfort, glare mitigation or privacy. Overall, users that associated high levels of importance to several environmental domains also reported high perceived levels of importance for personal control at the workplace. Only one archetype reported low importance for personal control at the workplace. Further work is required to validate these archetypes by capturing actual user behaviour and preferences in real workplaces. However, these findings provide preliminary and valuable insights into the possibility of clustering users on their preferences and using this for informing a more user-centred design or operation of shading devices.","facade design; archetype; multi-domain; user centered research; User centred design","en","journal article","","","","","","","","","","","Architectural Technology","","",""
"uuid:c84b8baf-1d3f-41a8-9f4f-7e96e361040e","http://resolver.tudelft.nl/uuid:c84b8baf-1d3f-41a8-9f4f-7e96e361040e","The Potential of Switchable Glazing in Cooling Dominated Climates","Magri, Etienne (University of Malta); Buhagiar, Vincent (University of Malta); Overend, M. (TU Delft Architectural Technology)","","2023","The design trend of most commercial and office buildings over the past three decades focused on attaining a façade design with the highest possible window to wall ratio. Whereas this approach appears to satisfy the aesthetic scope of developing buildings that look ‘modern and transparent’ to maximise on real estate value, the demand for heating and cooling of these buildings tends to fall short of what one should expect. Literature review shows the possible benefits of switchable glass. This paper proposes a methodology for a novel switchable glazing assembly identified as having the potential of offering increased occupant comfort, particularly in providing sufficient daylight and glare control without diminishing the view quality. The hypothesis is that switchable glazing may have a substantial potential to achieve lower cooling loads and improved indoor visual comfort without compromising views and a positive outlook.","design trends; glazing; cooling; Switchable coatings; switchable glass","en","journal article","","","","","","","","","","","Architectural Technology","","",""
"uuid:8bcb339f-3f76-4d19-8644-1bbb7f5023bc","http://resolver.tudelft.nl/uuid:8bcb339f-3f76-4d19-8644-1bbb7f5023bc","Ankle-Foot-Orthosis “Hermes” Compensates Pathological Ankle Stiffness of Chronic Stroke—A Proof of Concept","Rodriguez Hernandez, K.E. (TU Delft Biomechatronics & Human-Machine Control); de Groot, J.H. (Leiden University Medical Center); Baas, Frank (InteSpring BV); Stijntjes, M. (TU Delft Support Biomechanical Engineering; Leiden University Medical Center); Grootendorst, E.R.M. (TU Delft Biomechatronics & Human-Machine Control; Leiden University Medical Center); Schiemanck, S.K. (TU Delft Biomechanical Engineering; Leiden University Medical Center); van der Helm, F.C.T. (TU Delft Biomechatronics & Human-Machine Control); van der Kooij, H. (TU Delft Support Biomechanical Engineering; University of Twente); Mugge, W. (TU Delft Biomechatronics & Human-Machine Control)","","2023","Individuals with an upper motor neuron syndrome, e.g., stroke survivors, may have a pathological increase of passive ankle stiffness due to spasticity, that impairs ankle function and activities such as walking. To improve mobility, walking aids such as ankle-foot orthoses and orthopaedic shoes are prescribed. However, these walking aids generally limit the range of motion (ROM) of the foot and may therewith negatively influence activities that require a larger ROM. Here we present a new ankle-foot orthosis 'Hermes', and its first experimental results from four hemiparetic chronic stroke patients. Hermes was designed to facilitate active ankle dorsiflexion by mechanically compensating the passive ankle stiffness using a negative-stiffness mechanism. Four levels of the Hermes' stiffness compensation (0%, 35%, 70% and 100%) were applied to evaluate active ROM in a robotic ankle manipulator and to test walking feasibility on an instrumented treadmill, in a single session. The robotic tests showed that Hermes successfully compensated the ankle joint stiffness in all four patients and improved the active dorsiflexion ROM in three patients. Three patients were able to walk with Hermes at one or more Hermes' stiffness compensation levels and without reducing their preferred walking speeds compared to those with their own walking aids. Despite a small sample size, the results show that Hermes holds great promise to support voluntary ankle function and to benefit walking and daily activities.","equinus deformity; Footwear; joint range of motion; Legged locomotion; Manipulators; Motion control; muscle spasticity; orthotic devices; Read only memory; stroke; Torque; Torque measurement","en","journal article","","","","","","","","","","Biomechanical Engineering","Biomechatronics & Human-Machine Control","","",""
"uuid:49d3ba17-8623-429e-9efa-edc1aa090074","http://resolver.tudelft.nl/uuid:49d3ba17-8623-429e-9efa-edc1aa090074","Systemic risks and solar climate engineering research. Integrating technology ethics into the governance of systemic risks","Hofbauer, B. (TU Delft Ethics & Philosophy of Technology)","","2023","The paper explores how the framework of systemic risks can help govern the risks imposed through solar climate engineering research. The central argument is that a systemic perspective of risk is a useful tool for analysing and assessing the risks imposed through Stratospheric Aerosol Injection (SAI) research. SAI is a form of climate engineering that could cool the planet by enhancing its albedo through the injection of aerosols into the stratosphere. Researching such a technology creates systemic risks with a strong sociotechnical component. This component consists of the potential societal harm that a developing or new technology might cause to existing norms, values, institutions, and politics. The systemic risk framework is a valuable heuristic for this case, given the complex interdependencies of societal systems, infrastructures, markets, etc. At the same time, the systemic risk framework can be enhanced through the inclusion of a more robust and reflected ethical considerations on technological risks. Consequently, this article seeks to supplement the systemic risk governance framework with insights from technology ethics. Specifically, the paper offers an ethically reflective conception of societal value dynamism and stakeholder engagement and participation, tying it to existing systemic risk governance approaches.","ethics of technology; participation; risk governance; solar climate engineering; Systemic risk; values","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:50ddd4f6-de47-4f2f-afb5-c75b9c95c6d8","http://resolver.tudelft.nl/uuid:50ddd4f6-de47-4f2f-afb5-c75b9c95c6d8","Advances in Modeling of Noisy Quantum Computers: Spin Qubits in Semiconductor Quantum Dots","Costa, D.C. (TU Delft QCD/Scappucci Lab; Kavli institute of nanoscience Delft; QuTech); Simoni, Mario (Politecnico di Torino); Piccinini, Gianluca (Politecnico di Torino); Graziano, Mariagrazia (Politecnico di Torino)","","2023","The new quantum era is expected to have an unprecedented social impact, enabling the research of tomorrow in several pivotal fields. These perspectives require a physical system able to encode, process and store for a sufficiently long amount of time the quantum information. However, the optimal engineering of currently available quantum computers, which are small and flawed by several non-ideal phenomena, requires an efficacious methodology for exploring the design space. Hence, there is an unmet need for the development of reliable hardware-aware simulation infrastructures able to efficiently emulate the behaviour of quantum hardware that commits to looking for innovative systematic ways, with a bottom-up approach starting from the physical level, moving to the device level and up to the system level. This article discusses the development of a classical simulation infrastructure for semiconductor quantum-dot quantum computation based on compact models, where each device is described in terms of the main physical parameters affecting its performance in a sufficiently easy way from a computational point of view for providing accurate results without involving sophisticated physical simulators, thus reducing the requirements on CPU and memory. The effectiveness of the involved approximations is tested on a benchmark of quantum circuits - in the expected operating ranges of quantum hardware - by comparing the corresponding outcomes with those obtained via numeric integration of the Schrödinger equation. The achieved results give evidence that this work is a step forward towards the definition of a classical simulator of quantum computers.","Computers; Heterostructures; Integrated circuit modeling; Logic gates; Mathematical models; Models; NISQ; Noise; Noisy Intermediate Scale Quantum Computers; Quantum computing; Quantum Computing; Quantum dots; Qubit; Semiconductor Quantum Dots; Simulation","en","journal article","","","","","","","","","","","QCD/Scappucci Lab","","",""
"uuid:0c65b251-1aa0-42d0-9d5f-80beb3e39672","http://resolver.tudelft.nl/uuid:0c65b251-1aa0-42d0-9d5f-80beb3e39672","In-Service Delaminations in FRP Structures under Operational Loading Conditions: Are Current Fracture Testing and Analysis on Coupons Sufficient for Capturing the Essential Effects for Reliable Predictions?","Brunner, A.E. (TU Delft Team Raf Van de Plas; Swiss Federal Laboratories for Materials Science and Technology (Empa)); Alderliesten, R.C. (TU Delft Structural Integrity & Composites); Pascoe, J.A. (TU Delft Structural Integrity & Composites)","","2023","Quasi-static or cyclic loading of an artificial starter crack in unidirectionally fibre-reinforced composite test coupons yields fracture mechanics data—the toughness or strain-energy release rate (labelled G)—for characterising delamination initiation and propagation. Thus far, the reproducibility of these tests is typically between 10 and 20%. However, differences in the size and possibly the shape, but also in the fibre lay-up, between test coupons and components or structures raise additional questions: Is G from a coupon test a suitable parameter for describing the behaviour of delaminations in composite structures? Can planar, two-dimensional, delamination propagation in composite plates or shells be properly predicted from essentially one-dimensional propagation in coupons? How does fibre bridging in unidirectionally reinforced test coupons relate to delamination propagation in multidirectional lay-ups of components and structures? How can multiple, localised delaminations—often created by impact in composite structures—and their interaction under service loads with constant or variable amplitudes be accounted for? Does planar delamination propagation depend on laminate thickness, thickness variation or the overall shape of the structure? How does exposure to different, variable service environments affect delamination initiation and propagation? Is the microscopic and mesoscopic morphology of FRP composite structures sufficiently understood for accurate predictive modelling and simulation of delamination behaviour? This contribution will examine selected issues and discuss the consequences for test development and analysis. The discussion indicates that current coupon testing and analysis are unlikely to provide the data for reliable long-term predictions of delamination behaviour in FRP composite structures. The attempts to make the building block design methodology for composite structures more efficient via combinations of experiments and related modelling look promising, but models require input data with low scatter and, even more importantly, insight into the physics of the microscopic damage processes yielding delamination initiation and propagation.","fatigue fracture tests; fibre-reinforced polymer-matrix composites; multidirectional laminate lay-up; planar delamination initiation and propagation; predictive modelling; variable environmental exposure","en","journal article","","","","","","","","","","","Team Raf Van de Plas","","",""
"uuid:1bf90ea7-5b51-4f74-8b4f-8ee93af7ae6c","http://resolver.tudelft.nl/uuid:1bf90ea7-5b51-4f74-8b4f-8ee93af7ae6c","Toward a low-carbon and circular building sector: Building strategies and urbanization pathways for the Netherlands","van Oorschot, Janneke (Universiteit Leiden); Sprecher, B. (TU Delft Design for Sustainability); Rijken, Bart (Netherlands Environmental Assessment Agency); Witteveen, Pieter (Metabolic Institute, Amsterdam); Blok, Merlijn (Metabolic Institute, Amsterdam); Schouten, Nico (Metabolic Institute, Amsterdam); van der Voet, Ester (Universiteit Leiden)","","2023","Buildings are an important part of society's environmental impacts, both in the construction and in the use phase. As the energy performance of buildings improve, construction materials become more important as a cause of environmental impact. Less attention has been given to those materials. We explore, as an alternative for conventional buildings, the use of biobased materials and circular building practices. In addition to building design, we analyze the effect of urbanization. We assess the potential to close material cycles together with the material related impact, between 2018 and 2050 in the Netherlands. Our results show a limited potential to close material cycles until 2050, as a result of slow stock turnover and growth of the building stock. At present, end-of-life recycling rates are low, further limiting circularity. Primary material demand can be lowered when shifting toward biobased or circular construction. This shift also reduces material related carbon emissions. Large-scale implementation of biobased construction, however, drastically increases land area required for wood production. Material demand differs strongly spatially and depends on the degree of urbanization. Urbanization results in higher building replacement rates, but constructed dwellings are generally small compared to scenarios with more rural developments. The approach presented in this work can be used to analyze strategies aimed at closing material cycles in the building sector and lowering buildings' embodied environmental impact, at different spatial scales.","building material; circular economy; climate change; geographic information systems; industrial ecology; material flow analysis","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:9e7409f7-1e13-427d-9168-ffcd60ecf65b","http://resolver.tudelft.nl/uuid:9e7409f7-1e13-427d-9168-ffcd60ecf65b","STREAmS-2.0: Supersonic turbulent accelerated Navier-Stokes solver version 2.0","Bernardini, Matteo (Sapienza University of Rome); Modesti, D. (TU Delft Aerodynamics); Salvadore, Francesco (CINECA); Sathyanarayana, Srikanth (Sapienza University of Rome); Della Posta, Giacomo (Sapienza University of Rome); Pirozzoli, Sergio (Sapienza University of Rome)","","2023","We present STREAmS-2.0, an updated version of the flow solver STREAmS, first introduced in Bernardini et al. (2021) [1]. STREAmS-2.0 has an object-oriented design which separates the physics equations from the specific back-end, making the code more suitable for future expansions, such as porting to novel computing architectures or implementation of additional flow physics. Similarly to the previous version, STREAmS-2.0 supports NVIDIA-GPU and CPU back-ends. Additionally, this version features improvements of the input/output data management, new energy and entropy preserving schemes for the discretization of the convective fluxes, recycling/rescaling inflow boundary condition, and a model for thermally perfect gases with variable specific heats. New version program summary: Program Title: STREAmS CPC Library link to program files: https://doi.org/10.17632/hdcgjpzr3y.2 Developer's repository link: https://github.com/STREAmS-CFD/STREAmS-2 Licensing provisions: GPLv3 Programming language: Fortran, CUDA Journal reference of previous version: M. Bernardini, D. Modesti, F. Salvadore, and S. Pirozzoli. STREAmS: a high-fidelity accelerated solver for direct numerical simulation of compressible turbulent flows. Comput. Phys. Commun. 263 (2021) 107906. Does the new version supersede the previous version?: Yes. Reasons for the new version: New code structure and release of new features. Summary of revisions: • The original solver [1] has been rewritten following an object-oriented design implemented through Fortran derived types that include variables and type bound procedures. The new software architecture has been designed to increase modularity and extensibility of the code, allowing users to add new back-ends and physics equations while maintaining the same code structure. This allows users to reuse portions of the code that are independent of the physics equations, the back-end, or both. The layer of computing procedures maintains a lean structure that can be highly optimized with respect to the implemented back-end. • Input handling is now based on the classic.ini format improving both user readability and input data management. • A family of new kinetic energy and entropy preserving schemes (KEEP) are now available and can be selected for stable, non-dissipative and accurate spatial discretization of the convective terms of the Navier–Stokes equations in smooth flow regions [2]. Concerning the shock-capturing flux, the improved low-dissipative WENO-Z scheme proposed by [3] is now available. • New inflow boundary conditions based on the recycling/rescale approach [4] have been implemented for the simulation of spatially evolving compressible turbulent boundary layers. Moreover, a new inflow condition based on the solution of the compressible Blasius equation is available to take into account the case of laminar boundary layers. • The constitutive relations have been generalized to take into account thermally perfect gases with variable specific heats, approximated with polynomial functions of the temperature that can be specified by the user [5]. • A new stretching function has been implemented to improve the distribution of grid nodes for the computation of wall-bounded turbulent flows. The formulation blends uniform near-wall spacing with uniform resolution in terms of Kolmogorov units in the outer wall layer, guaranteeing accuracy with higher computational efficiency [6]. Nature of problem: The code solves the compressible Navier–Stokes equations in Cartesian coordinates for a thermally perfect gas. The solver is designed for direct numerical simulation (DNS) of compressible supersonic turbulent boundary layers and various canonical configurations are supported, including turbulent channel flow, laminar and turbulent boundary layer and shock-wave/boundary layer interaction. Solution method: The equations are discretized using high-order finite difference approximations with hybrid low-dissipative/shock-capturing capabilities and the time advancement is performed using a Runge–Kutta scheme. References: [1] M. Bernardini, D. Modesti, F. Salvadore, S. Pirozzoli, STREAmS: A high-fidelity accelerated solver for direct numerical simulation of compressible turbulent flows, Comput. Phys. Commun. 263 (2021) 107906. [2] Y. Tamaki, Y. Kuya, S. Kawai, Comprehensive analysis of entropy conservation property of non-dissipative schemes for compressible flows: KEEP scheme redefined, J. Comput. Phys. 468 (2022) 111494. [3] R. Borges, M. Carmona, B. Costa, W. Don, An improved weighted essentially non-oscillatory scheme for hyperbolic conservation laws, J. Comput. Phys. 227 (6) (2008) 3191–3211, https://doi.org/10.1016/j.jcp.2007.11.038 [4] S. Pirozzoli, M. Bernardini, F. Grasso, Direct numerical simulation of transonic shock/boundary layer interaction under conditions of incipient separation, J. Fluid Mech. 657 (2010) 361–393. [5] B. J. McBride, M. J. Zehe, S. Gordon, NASA Glenn coefficients for calculating thermodynamic properties of individual species, NASA/TP 211556, NASA, 2002. [6] S. Pirozzoli, P. Orlandi, Natural grid stretching for DNS of wall-bounded flows, J. Comput. Phys. 439 (2021) 110408.","Compressible flows; CUDA; GPUs; Wall turbulence","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Aerodynamics","","",""
"uuid:12067a6a-4ba4-422d-a8fe-6d4778c56d6d","http://resolver.tudelft.nl/uuid:12067a6a-4ba4-422d-a8fe-6d4778c56d6d","Design Rules for Binary Bisamide Gelators: toward Gels with Tailor-Made Structures and Properties","Ghanbari, E. (TU Delft ChemE/Advanced Soft Matter); Picken, S.J. (TU Delft ChemE/Advanced Soft Matter); van Esch, J.H. (TU Delft ChemE/Advanced Soft Matter)","","2023","This study intends to develop design rules for binary mixture of gelators that govern their assembly behavior and subsequently explore the impact of their supramolecular assembly patterns on the gels’ rheological properties. To achieve these goals, nBA gelators with odd and even parities [n-methylene spacers between the amide groups (n = 5-10) and 17 carbons at each end] were blended at different ratios. Such bisamides with simple structures were selected to study because their different spacer lengths offer the possibility to have matching or non-matching hydrogen bonds. The results show that the assembly behavior of binary mixtures of bisamide gelators is the same in the solid and gel states. Binary mixtures of gelators, which only differ two methylene moieties in the spacer length, form compounds and co-assemble into fibers and sheets observed for (5BA)1(7BA)1 and (6BA)1(8BA)1 mixtures, respectively. Binary gelator mixtures of the same parity and a larger spacer length difference still lead to mixing for the odd parity couple (5BA)1(9BA)1), but to partial phase separation for the even parity mixture (6BA)1(10BA)1. Binary mixtures of gelators of different parities gave complete phase separation in the solid state, and self-sorted gels consisting of discrete fibers and sheets in the gels of (5BA)3(6BA)1 and (5BA)3(10BA)1. The even-even binary gels (20 wt %) consisting of co-assembled sheets show higher G′ than odd-odd binary gels (20 wt %) consisting of co-assembled fibers. In general, the self-sorting of odd and even molecules into the separate primary structures results in a dramatic decrease of G′ compared to the co-assembled gels (20 wt %), except for (5BA)1(9BA)1 gel (20 wt %). It might be due to larger woven spheres in (5BA)1(9BA)1 gel (20 wt %), which probably have a less entangled gel network.","","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:04353489-1a95-430f-9f30-92983552d5df","http://resolver.tudelft.nl/uuid:04353489-1a95-430f-9f30-92983552d5df","Designing next-generation cyber-physical systems: Why is it an issue?","Horvath, I. (TU Delft Cyber-Physical Systems)","","2023","Cyber-physical systems (CPSs) are seen as one of the tangible results of the convergence of advanced information technology, nanotechnology, biotechnology, cognitive science, and social science in addition to conventional systems science, engineering, and technologies. Designing next-generation cyber-physical systems (NG-CPSs) is a challenging matter for abundant reasons. It is not possible to consider all reasons and to address their interplays simultaneously in one paper. Therefore, this position paper elaborates only on a selected number of topical issues and influential factors. The author claims that the shift of the paradigm of CPSs and the uncertainty related to the paradigmatic systems features of NG-CPSs are among the primary reasons. Since the future of CPSs will be influenced strongly by their intellectualization, adaptation/evolution, and automation, these aspects are also addressed. It is argued that interaction and cooperation with NG-CPSs should be seen from a multi-dimensional perspective and that socialization of NG-CPSs needs more attention in research. The need for aggregation, management, and exploitation of the growing amount of synthetic systems knowledge produced by smart CPSs is seen by the author as an important emerging concern.","cyber-physical systems; exploitation of synthetic systems knowledge; intellectualization of CPSs; next generation; para-functional capabilities; paradigmatic trends; self-adaptation of CPSs; System design","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-13","","","Cyber-Physical Systems","","",""
"uuid:b1fb2d41-f0c1-4d41-9b72-0a8b5fbd9dc6","http://resolver.tudelft.nl/uuid:b1fb2d41-f0c1-4d41-9b72-0a8b5fbd9dc6","Solubilities of CO2, CH4, C2H6, CO, H2, N2, N2O, and H2S in commercial physical solvents from Monte Carlo simulations","Chen, Q. (TU Delft Engineering Thermodynamics); Ramdin, M. (TU Delft Engineering Thermodynamics); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics)","","2023","The removal of acid gas impurities from synthesis gas or natural gas can be achieved using several physical solvents. Examples of solvents applied on a commercial scale include methanol (Rectisol), poly(ethylene glycol) dimethyl ethers (Selexol), n-methyl-2-pyrrolidone (Purisol), and propylene carbonate (Fluor solvent). Continuous Fractional Component Monte Carlo (CFCMC) simulations in the osmotic ensemble were used to compute the Henry coefficients of the pure gases CO (Formula presented.), CH (Formula presented.), C (Formula presented.) H (Formula presented.), CO, H (Formula presented.), N (Formula presented.), N (Formula presented.) O, and H (Formula presented.) S in the aforementioned solvents. The predicted Henry coefficients are in good agreement with the experimental results. The Monte Carlo method correctly predicts the gas solubility trend in these physical solvents, which obeys the following order: H (Formula presented.) S > CO (Formula presented.) > C (Formula presented.) H (Formula presented.) > CH (Formula presented.) > CO > N (Formula presented.) > H (Formula presented.). The gas separation selectivities for the precombustion process and the natural gas sweetening process are calculated from the pure gas Henry coefficients. The CO (Formula presented.) /N (Formula presented.) O analogy is verified for the solubility in these solvents.","CO /N O analogy; commercial physical solvents; gas solubilities; osmotic ensemble; separation selectivities","en","journal article","","","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:0e470c99-5bda-462a-8e37-abfe80c76cf2","http://resolver.tudelft.nl/uuid:0e470c99-5bda-462a-8e37-abfe80c76cf2","Feasibility of bolted connectors in hybrid FRP-steel structures","Olivier, G. (TU Delft Steel & Composite Structures); Csillag, Fruzsina (Arup Limited, Netherlands); Christoforidou, A. (TU Delft Steel & Composite Structures); Tromp, Liesbeth (Royal HaskoningDHV); Veltkamp, Martijn (FiberCore Europe); Pavlovic, M. (TU Delft Steel & Composite Structures)","","2023","Due to the low weight and excellent durability of composite materials, Fibre Reinforced Polymer (FRP) decks mounted on steel superstructures are becoming increasingly common in engineering practice. Bolted joints are generally used to facilitate connections between an FRP deck and steel girders in road bridges. The connections are subjected to both high magnitude static forces as well as fatigue loading due to overpassing vehicles. With ever increasing traffic on both road and railway bridges, fatigue performance is of critical concern. Bolted FRP joints have been extensively researched in the past under static loading, but less is known about the fatigue and creep behaviour of such joints. Furthermore, little research exists on non-pultruded FRP profiles connected using bolted connections. Therefore, the objective of this research is to investigate connectors’ feasibility by means of static, fatigue and creep experiments on four different types of bolted joints comprising mechanical connectors and injection techniques. The study focuses on application in vacuum infused GFRP panels with integrated webs made of multi-directional laminates) connected to steel bridge superstructures. In addition, experimental results are used to validate Finite Element Analyses (FEA). Based on the obtained results, the novel injected steel-reinforced resin (iSRR) connector shows promising potential in hybrid steel-FRP bridges where good fatigue endurance of the connection, are required.","Bolted connections; Combined short- and long-term loading; Fatigue performance; Injected steel reinforced resin; Slip-resistant connections","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:cedcbe55-0f60-4add-bfd6-45b707946b04","http://resolver.tudelft.nl/uuid:cedcbe55-0f60-4add-bfd6-45b707946b04","Study of Trends in System Efficiency for a Biomass Integrated Gasification Fuel Cell Gas Turbine System when Carbon Dioxide Content is Enhanced","Das Sadiq, Kabir (Amrita Vishwa Vidyapeetham University); Aravind, P.V. (TU Delft Energy Technology; Rijksuniversiteit Groningen); Woudstra, T. (Rijksuniversiteit Groningen); Jaiganesh, N. (Amrita Vishwa Vidyapeetham University); Ajith kumar, R. (Amrita Vishwa Vidyapeetham University)","","2023","The performance of a 30-kW gasifier–SOFC–GT system was evaluated using thermodynamic calculations. Nickel/Gadolinia Doped Ceria (Ni/GDC) anodes were utilized for Solid Oxide Fuel Cells (SOFCs). These systems can achieve high electrical efficiencies of above 50%. The goal of the study is to evaluate trends in system efficiency when carbon dioxide as a gasifier agent is increased in enhanced carbon dioxide system. Carbon dioxide content was increased in both systems, leading to variants of both systems as compositions changed until they could no longer function efficiently. The trends in system variants were monitored. Although the gross efficiency increased, the net efficiency of the enhanced carbon dioxide system dropped. Absorbed heat and delivered gross which deals with flow of energy in sources / sinks was lower in enhanced scheme. Auxiliary power consumed was higher in enhanced carbon dioxide system variants, indicating that the compressors consume more power. Delivered net power was dropping for the enhanced case variants. Enhanced carbon dioxide system variants seem to have a slightly higher total electrical efficiency by a close range of less than 1%.","Biomass gasifier; CO Gasification; Solid Oxide Fuel Cell; System Efficiency","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-23","","","Energy Technology","","",""
"uuid:4953bed4-f12a-433b-9932-66b11d747161","http://resolver.tudelft.nl/uuid:4953bed4-f12a-433b-9932-66b11d747161","Correction to: Integrative Robo-Ethics: Uncovering Roboticists’ Attitudes to Ethics and Moving Forward (International Journal of Social Robotics, (2023), 10.1007/s12369-023-00978-2)","Fleres, Antonio (IULM University); Veling, Louise (Maynooth University); Broz, F. (TU Delft Intelligent Systems; TU Delft Interactive Intelligence); Damiano, Luisa (IULM University)","","2023","In the original publication of this article, the affiliation information of two authors was inadvertently published incorrectly. Please find the correct affiliation information below: Antonio Fleres 1PhD School for Communication Studies, IULM University, via Carlo Bo 1, 20143 Milan, Italy Luisa Damiano 4Department of Communication, Arts and Media “Giampaolo Fabris”, IULM University, via Carlo Bo 1, 20143 Milan, Italy Springer wishes to apologize for the inconvenience caused.","","en","journal article","","","","","","","","","","Intelligent Systems","Interactive Intelligence","","",""
"uuid:d6af4260-5ebf-4c29-95c4-3f0256b31aac","http://resolver.tudelft.nl/uuid:d6af4260-5ebf-4c29-95c4-3f0256b31aac","The potential of the empathic ability for the performance of civil engineering projects","Keusters, A.C.A.M. (TU Delft Integral Design & Management); Batelaan, F.G.M. (TU Delft Integral Design & Management); Sleeswijk Visser, F. (TU Delft Design Conceptualization and Communication); Houwing, E.J. (TU Delft Integral Design & Management); Bakker, H.L.M. (TU Delft Integral Design & Management)","","2023","Purpose:
The increasing complexity of civil engineering projects necessitates focusing on new competencies of project participants. Based on the research on team performance and design processes that are more closely linked to the relevance of the project context, it is hypothesised that empathic abilities could play an important role in the performance of civil engineering projects. Therefore, this study aims to investigate whether performance can be improved by focusing on empathic abilities during the integrated design phase.
Design/methodology/approach:
Semi-structured in-depth interviews with experts were conducted to explore the relevance of empathic abilities and their interaction with performance in a real-life infrastructure project. The project team’s empathy level was measured by means of a survey using Davis’ Interpersonal Reactivity Index method. Finally, differences between expected and measured levels of empathy were analysed.
Findings:
The results provide insights into how empathic abilities interact with performance. The measurement indicates that, on average, professionals in the civil engineering industry score relatively low on empathy. In addition, differences were identified between the expected distribution and the measured empathy levels of the team, implying a potential for improvement, in particular by increasing the empathic abilities of the project management and increasing gender diversity.
Originality/value:
To the best of the authors’ knowledge, this study is the first to investigate a relationship between empathy and the performance of civil engineering projects. The results provide initial insights into the empathic ability of civil engineering project teams and the potential of empathy to improve performance. Furthermore, from an empathy perspective, this study advocates increasing the gender diversity of project teams to improve performance.","Design; Diversity; Empathy; Project management; Project performance","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:e21490c0-d7b7-4b79-a4bb-c04c674f1ecd","http://resolver.tudelft.nl/uuid:e21490c0-d7b7-4b79-a4bb-c04c674f1ecd","Active-feedback quantum control of an integrated low-frequency mechanical resonator","Guo, J. (TU Delft QN/Groeblacher Lab; Kavli institute of nanoscience Delft); Chang, J. (TU Delft QN/Groeblacher Lab; Kavli institute of nanoscience Delft); Yao, X. (TU Delft QN/Groeblacher Lab; Fudan University; Westlake University, Hangzhou); Groeblacher, S. (TU Delft QN/Groeblacher Lab; Kavli institute of nanoscience Delft)","","2023","Preparing a massive mechanical resonator in a state with quantum limited motional energy provides a promising platform for studying fundamental physics with macroscopic systems and allows to realize a variety of applications, including precise sensing. While several demonstrations of such ground-state cooled systems have been achieved, in particular in sideband-resolved cavity optomechanics, for many systems overcoming the heating from the thermal bath remains a major challenge. In contrast, optomechanical systems in the sideband-unresolved limit are much easier to realize due to the relaxed requirements on their optical properties, and the possibility to use a feedback control schemes to reduce the motional energy. The achievable thermal occupation is ultimately limited by the correlation between the measurement precision and the back-action from the measurement. Here, we demonstrate measurement-based feedback cooling on a fully integrated optomechanical device fabricated using a pick-and-place method, operating in the deep sideband-unresolved limit. With the large optomechanical interaction and a low thermal decoherence rate, we achieve a minimal average phonon occupation of 0.76 when pre-cooled with liquid helium and 3.5 with liquid nitrogen. Significant sideband asymmetry for both bath temperatures verifies the quantum character of the mechanical motion. Our method and device are ideally suited for sensing applications directly operating at the quantum limit, greatly simplifying the operation of an optomechanical system in this regime.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","QN/Groeblacher Lab","","",""
"uuid:4b59407c-dfb2-431f-8fb0-176fb2379428","http://resolver.tudelft.nl/uuid:4b59407c-dfb2-431f-8fb0-176fb2379428","3D Printing of Habitats on Mars: Effects of Low Temperature and Pressure","Hedayati, R. (TU Delft Novel Aerospace Materials); Stulova, Victoria (Student TU Delft)","","2023","Due to payload weight limitations and human vulnerability to harsh space conditions, it is preferable that the potential landing location for humans has an already constructed habitat preferably made from in situ materials. Therefore, the prospect of utilizing a readily available Martian material, such as regolith, in an easily programmable manufacturing method, such as 3D printing, is very lucrative. The goal of this research is to explore a mixture containing Martian regolith for the purposes of 3D printing in unfavorable conditions. A binder consisting of water and sodium silicate is used. Martian conditions are less favorable for the curing of such a mixture because of low temperature and pressure on the surface of the planet. In order to evaluate mechanical properties of the mixture, molding and 3D printing were conducted at various curing conditions and the mechanical and physical characteristics were compared. Due to the combination of low reaction speed at low temperature (2 °C) and rapid water evaporation at low pressure (0.1–0.01 bar), curing of the specimens in Martian conditions yielded unsatisfactory results. The reaction medium (water) evaporated before the curing reaction could progress enough to form a proper geopolymer. The specimens cured at high temperatures (60 °C) showed satisfactory results, with flexural strength up to 9 MPa when cured at a temperature of 60 °C and pressure of 1 bar. The specimens manufactured by 3D printing showed ultimate flexural strength that was 20% lower than that of equivalent molded specimens. Exploring potential mixture modifications and performing improved tests using the basis laid in this research can lead to an effective and realistic way of utilizing Martian regolith for unmanned 3D-printing purposes with minimal investment.","3D printing; additive manufacturing; Mars; mechanical properties; regolith","en","journal article","","","","","","","","","","","Novel Aerospace Materials","","",""
"uuid:702aff09-e166-4f8b-8680-b95504e58507","http://resolver.tudelft.nl/uuid:702aff09-e166-4f8b-8680-b95504e58507","Competitive and Cooperative CO2-H2O Adsorption through Humidity Control in a Polyimide Covalent Organic Framework","Veldhuizen, H.V. (TU Delft Novel Aerospace Materials); Butt, Saira Alam (Student TU Delft); Van Leuken, Annemiek (Student TU Delft); van der Linden, B. (TU Delft ChemE/O&O groep); Rook, W. (TU Delft ChemE/O&O groep); van der Zwaag, S. (TU Delft Novel Aerospace Materials); van der Veen, M.A. (TU Delft ChemE/Catalysis Engineering)","","2023","In order to capture and separate CO2 from the air or flue gas streams through nanoporous adsorbents, the influence of the humidity in these streams has to be taken into account as it hampers the capture process in two main ways: (1) water preferentially binds to CO2 adsorption sites and lowers the overall capacity, and (2) water causes hydrolytic degradation and pore collapse of the porous framework. Here, we have used a water-stable polyimide covalent organic framework (COF) in N2/CO2/H2O breakthrough studies and assessed its performance under varying levels of relative humidity (RH). We discovered that at limited relative humidity, the competitive binding of H2O over CO2 is replaced by cooperative adsorption. For some conditions, the CO2 capacity was significantly higher under humid versus dry conditions (e.g., a 25% capacity increase at 343 K and 10% RH). These results in combination with FT-IR studies on equilibrated COFs at controlled RH values allowed us to assign the effect of cooperative adsorption to CO2 being adsorbed on single-site adsorbed water. Additionally, once water cluster formation sets in, loss of CO2 capacity is inevitable. Finally, the polyimide COF used in this research retained performance after a total exposure time of >75 h and temperatures up to 403 K. This research provides insight in how cooperative CO2-H2O can be achieved and as such provides directions for the development of CO2 physisorbents that can function in humid streams.","breakthrough experiments; COcapture; cooperative adsorption; covalent organic frameworks; FT-IR spectroscopy; relative humidity","en","journal article","","","","","","","","","","","Novel Aerospace Materials","","",""
"uuid:38cc6be0-9fa1-4d39-b895-2582dc7e61c1","http://resolver.tudelft.nl/uuid:38cc6be0-9fa1-4d39-b895-2582dc7e61c1","Erratum: Entanglement distribution with minimal memory requirements using time-bin photonic qudits (PRX Quantum (2022) 3 (040319) DOI: 10.1103/PRXQuantum.3.040319)","Zheng, Y. (TU Delft QID/Borregaard Group; Kavli institute of nanoscience Delft); Sharma, H. (TU Delft Applied Sciences; Kavli institute of nanoscience Delft); Borregaard, J. (TU Delft QN/Borregaard groep; Kavli institute of nanoscience Delft)","","2023","Recently we became aware of an important reference that was published during the preparations of our manuscript, which we failed to cite in the original paper. In Ref. [1], the authors propose a similar scheme for the generation of multiple entangled pairs between qubit registers using a high-dimensional photonic qudit and cavity-mediated spin-photon gates. Contrary to Ref. [1], we show that such photonic qudit-mediated entanglement generation schemes have similar distribution rates as standard (parallel) qubit approaches but the memory requirements are significantly relaxed for the qudit schemes.","","en","journal article","","","","","","Erratum DOI 10.110/PRXQuantum.3.040319","","","Applied Sciences","","QID/Borregaard Group","","",""
"uuid:55c2c602-e99e-4866-a6fc-72ce04f39275","http://resolver.tudelft.nl/uuid:55c2c602-e99e-4866-a6fc-72ce04f39275","A level set-based interface-enriched topology optimization for the design of phononic crystals with smooth boundaries","van den Boom, S.J. (TU Delft Computational Design and Mechanics); Abedi, Reza (The University of Tennessee Knoxville); van Keulen, A. (TU Delft Mechanical, Maritime and Materials Engineering); Aragon, A.M. (TU Delft Computational Design and Mechanics)","","2023","Phononic crystals can be designed to show band gaps—ranges of frequencies whose propagation is strongly attenuated in the material. In essence, their working principle is based on destructive interference of waves reflecting from the periodic arrangement of material interfaces (i.e., Bragg scattering). Consequently, capturing accurately the behavior at material interfaces requires appropriate numerical modeling and computational design techniques. However, the commonly used density-based representation in popular topology optimization methods results in a diffuse staircased boundary. The heavily refined finite element meshes required to compensate for this boundary description results in exceedingly large and expensive optimization problems. In this paper, we demonstrate the adverse effect of the density-based boundary description. Furthermore, we propose a level set-based topology optimization procedure with an enriched finite element method that shows improved performance when compared to the density-based approach.","Band gap maximization; Diffuse boundaries; Phononic crystals; Smoothness; Topology optimization","en","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","","Computational Design and Mechanics","","",""
"uuid:46a8c3fa-06b5-4d5f-a5a1-eff9df0c4d99","http://resolver.tudelft.nl/uuid:46a8c3fa-06b5-4d5f-a5a1-eff9df0c4d99","Lock congestion relief in a multimodal network with public subsidies and competitive carriers: a two-stage game model","Yang, Lijuan (Guilin University of Aerospace Technology); Lin, X. (TU Delft Transport and Planning); Li, Eldon Y. (National Chung Cheng University); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics)","","2023","Transshipment can be a detour for carriers to bypass congested locks. Therefore, the local government provides subsidies to carriers reluctant to adopt transshipment due to high costs. Using the Three Gorges Dam (TGD) as the subject, we address the interaction between the government and carriers and the rational routine choice for carriers when facing severe congestion. Specifically, we investigate pricing competition among carriers under different scenarios. A two-stage game model based on Evolutionary game theory and Bertrand game is used for the study. The results confirm that: (1) Subsidies for the road alternative can alleviate congestion in waterways transport before TGD; (2) Road transport is an efficient way to alleviate lock congestion, especially under emergency states; (3) Public subsidies for road transport support this change of modes at a reasonable price to shippers. Additionally, carriers with transshipment mode can provide more competitive freight prices and more convenient services to customers.","Bertrand Game; Evolutionary computation; Evolutionary Game Theory; Game theory; Games; Government; Laser mode locking; Pricing; Subsidies; Transportation","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:bf642704-ee39-4d2e-93ba-3735bd79bc62","http://resolver.tudelft.nl/uuid:bf642704-ee39-4d2e-93ba-3735bd79bc62","基于分段步进式弹塑性格构模型的混凝土破坏过程细观模拟","Zhang, H. (Shandong University); Jin, Zuquan (Qingdao University of Technology); Jiang, Nengdong (Shandong University); Ge, Zhi (Shandong University); Schlangen, E. (TU Delft Materials and Environment); Ling, Yifeng (Shandong University); Šavija, B. (TU Delft Materials and Environment); Wang, Zheng (Shandong Hi-Speed Group)","","2023","The classically lattice model assumes the local elements behave elastic brittle, neglecting the ductility of the mortar matrix. This leads to the simulated load⁃displacement response more brittle than the realistic. To solve the aforementioned issue, a piece⁃wise approach was introduced to describe the elastic⁃plastic constitutive relation of lattice element. The fracture process and the load⁃displacement response were obtained through the sequentially⁃linear solution approach. The model was calibrated using the uniaxial tension and compression tests. It is found that the model can precisely simulate the fracture process and load⁃displacement response. Moreover, the model was used to model the size effect in uniaxial tension and the influence of the specimen’s slenderness and boundary confinement on the fracture behavior under compression. It offers a new theoretical method and approach for studying the fracture of concrete.","concrete; elastic⁃plastic constitutive relation; fracture process; lattice model; meso⁃scale","zh","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-25","","","Materials and Environment","","",""
"uuid:30ee6a58-c137-4f2e-b507-af5c55514dcf","http://resolver.tudelft.nl/uuid:30ee6a58-c137-4f2e-b507-af5c55514dcf","Concerns about climate activism in clinical practice – Authors' reply","Richie, C.S. (TU Delft Ethics & Philosophy of Technology); Kesselheim, Aaron S. (Harvard Medical School; Brigham and Women's Hospital); Jones, David S. (Harvard Medical School; Harvard University)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-27","","","Ethics & Philosophy of Technology","","",""
"uuid:53dea92b-f995-4b52-ac7c-63426f6df049","http://resolver.tudelft.nl/uuid:53dea92b-f995-4b52-ac7c-63426f6df049","Integrating ride-hailing services with public transport: a stochastic user equilibrium model for multimodal transport systems","Liu, B. (TU Delft Transport and Planning; Tongji University); Ji, Yuxiong (Tongji University); Cats, O. (TU Delft Transport and Planning)","","2023","Public transport (PT) agencies are increasingly keen on integrating ride-hailing (RH) services with PT to improve overall mobility. Understanding the traffic flow distribution in the integrated system is vital for the policy decision-making and services design of such a system. We propose a stochastic user equilibrium (SUE) model for multimodal transport systems consisting of private car, PT and RH. The travel costs in the SUE model are investigated using a multimodal graph representation to capture the relationship of different travel modes in the integrated system. We apply the proposed model to a toy case and a real-world case. A RH subsidy strategy is compared with the benchmark to demonstrate travellers’ route and mode shifts in the integrated system. Our findings offer insights on subsidising RH services through the proposed model, and provide valuable knowledge on the planning and design of the integrated system.","multimodal network; public transport; ride-hailing; Stochastic user equilibrium","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:5a55bc8f-6258-47a3-89c4-622ae68f51fa","http://resolver.tudelft.nl/uuid:5a55bc8f-6258-47a3-89c4-622ae68f51fa","High entropy liquid electrolytes for lithium batteries","Wang, Q. (TU Delft RST/Storage of Electrochemical Energy); Zhao, C. (TU Delft RST/Storage of Electrochemical Energy); Wang, Jianlin (Chinese Academy of Sciences); Yao, Zhenpeng (Shanghai Jiao Tong University); Wang, Shuwei (Tsinghua University); Kumar, Sai Govind Hari (University of Toronto); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Eustace, S.J. (TU Delft BT/Biocatalysis); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2023","High-entropy alloys/compounds have large configurational entropy by introducing multiple components, showing improved functional properties that exceed those of conventional materials. However, how increasing entropy impacts the thermodynamic/kinetic properties in liquids that are ambiguous. Here we show this strategy in liquid electrolytes for rechargeable lithium batteries, demonstrating the substantial impact of raising the entropy of electrolytes by introducing multiple salts. Unlike all liquid electrolytes so far reported, the participation of several anionic groups in this electrolyte induces a larger diversity in solvation structures, unexpectedly decreasing solvation strengths between lithium ions and solvents/anions, facilitating lithium-ion diffusivity and the formation of stable interphase passivation layers. In comparison to the single-salt electrolytes, a low-concentration dimethyl ether electrolyte with four salts shows an enhanced cycling stability and rate capability. These findings, rationalized by the fundamental relationship between entropy-dominated solvation structures and ion transport, bring forward high-entropy electrolytes as a composition-rich and unexplored space for lithium batteries and beyond.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:e7fd1438-2dcd-4756-a96e-8b3ef85b245e","http://resolver.tudelft.nl/uuid:e7fd1438-2dcd-4756-a96e-8b3ef85b245e","Privacy-Preserving and Security in SDN-based IoT: A Survey","Ahmadvand, Hossein (University of Calgary); Lal, C. (TU Delft Cyber Security); Hemmati, Hadi (York University; University of Calgary); Sookhak, Mehdi (Texas A&M University-Corpus Christi); Conti, M. (TU Delft Cyber Security; University of Padua)","","2023","In recent years, the use of Software Defined Networking (SDN) has increased due to various network management requirements. Using SDN in computer network applications has brought several benefits to users, including lower operational costs, better hardware management, flexibility, and centralized network deployment. On the other hand, the Internet of Things (IoT) is another rapidly growing technology. Distributed and dynamic infrastructures are two critical characteristics of IoT. These characteristics lead to some challenges while using SDN in IoT in terms of security and privacy. In this paper, we address security and privacy issues and solutions for SDN-based IoT systems. We analyze the techniques used for defense in previous works to achieve an acceptable level of security and privacy protection in SDN-based IoT systems. In the data plane, SDN-based IoT papers have considered hashing and encryption techniques, in the control plane, certificate authority and access control have been analyzed, and in the application plane, attack detection, and authentication have been discussed. We also provide a statistical analysis of the existing work. This analysis shows that researchers have focused on certain areas more than others in recent years. The final analysis also highlights issues that previous researchers have ignored.","Software-defined network; Privacy-Preserving; Security; Cloud Computing","en","journal article","","","","","","","","","","","Cyber Security","","",""
"uuid:27b7ae69-1664-4583-894e-700b79fa32b7","http://resolver.tudelft.nl/uuid:27b7ae69-1664-4583-894e-700b79fa32b7","Exploring the emergence of waste recovery and exchange in industrial clusters","Noori, S. (TU Delft Energie and Industrie); Korevaar, G. (TU Delft Energie and Industrie); Stikkelman, R.M. (TU Delft Energie and Industrie); Ramirez, Andrea (TU Delft Energie and Industrie)","","2023","Self-organized industrial symbiosis (IS) starts with one actor's decision to invest in a waste recovery plant and the other actors' decision to buy the recovered flow. Technical and institutional conditions of the cluster influence actors' decisions. This paper explores the emergence of IS collaborations in industrial clusters under different techno-economic conditions in the long term. We propose a mixed-integer linear programming model that incorporates costs and constraints associated with waste recovery and exchange to study actors' investment decisions and investigate shaped symbiotic exchanges under rising energy prices and limited electricity supply. The approach is implemented in Iran's Persian Gulf Mining and Metals Special Economic Zone as a case study. The results revealed that changes in internal or external condition simultaneously influence the industrial and waste recovery plants. For instance, increasing energy prices without raising product prices significantly decreased the production level of industrial plants and, consequently, heat recovery potential. Furthermore, the waste heat recovery plants' contribution to improving the cluster's economic and environmental performance was not the same. Electricity recovery from a power plant's waste heat can result in 55 PJ grid electricity intake reduction and 720 M€ cluster cash flow increase. Recovered cooling or electricity from the steelmaking plant waste heat was consumed internally rather than shaping IS. These model outcomes show its capability to study IS within the socio-technical structure of the cluster, not a standalone phenomenon. Implemented conceptualization offers a novel system-level approach, which could be adjusted to assess other industrial development strategies.","carbon emissions; complex industrial systems; industrial ecology; industrial symbiosis; MILP model; techno-economic analysis","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:bb6ec249-f7dd-41d1-bca8-0106c1f962db","http://resolver.tudelft.nl/uuid:bb6ec249-f7dd-41d1-bca8-0106c1f962db","Breakthrough in the challenging P450-catalyzed chemoenzymatic synthesis of C14-functionalized steroids","Reetz, Manfred T. (Max-Planck für Kohlenforschung, Mülheim; Chinese Academy of Sciences); Hollmann, F. (TU Delft BT/Biocatalysis)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-12","","","BT/Biocatalysis","","",""
"uuid:06924dbf-1f73-4c1e-8125-fce56f4a21aa","http://resolver.tudelft.nl/uuid:06924dbf-1f73-4c1e-8125-fce56f4a21aa","A tractable physical model for the yeast polarity predicts epistasis and fitness","Daalman, W.K. (TU Delft BN/Liedewij Laan Lab); Sweep, E. (TU Delft BN/Liedewij Laan Lab); Laan, L. (TU Delft BN/Liedewij Laan Lab)","","2023","Accurate phenotype prediction based on genetic information has numerous societal applications, such as crop design or cellular factories. Epistasis, when biological components interact, complicates modelling phenotypes from genotypes. Here we show an approach to mitigate this complication for polarity establishment in budding yeast, where mechanistic information is abundant. We coarse-grain molecular interactions into a so-called mesotype, which we combine with gene expression noise into a physical cell cycle model. First, we show with computer simulations that the mesotype allows validation of the most current biochemical polarity models by quantitatively matching doubling times. Second, the mesotype elucidates epistasis emergence as exemplified by evaluating the predicted mutational effect of key polarity protein Bem1p when combined with known interactors or under different growth conditions. This example also illustrates how unlikely evolutionary trajectories can become more accessible. The tractability of our biophysically justifiable approach inspires a road-map towards bottom-up modelling complementary to statistical inferences. This article is part of the theme issue 'Interdisciplinary approaches to predicting evolutionary biology'.","bottom-up modelling; budding yeast; epistasis; genotype–phenotype map; polarity","en","journal article","","","","","","","","","","","BN/Liedewij Laan Lab","","",""
"uuid:28654a9b-0e21-4d52-81b6-c79a351203f0","http://resolver.tudelft.nl/uuid:28654a9b-0e21-4d52-81b6-c79a351203f0","Topology optimization for infinite fatigue life of cyclic symmetric structures subjected to non-proportional loading","Slebioda, Marek (Student TU Delft); Giele, R.J.P. (TU Delft Computational Design and Mechanics); Langelaar, Matthijs (TU Delft Computational Design and Mechanics)","","2023","This paper presents a density based topology optimization method for infinite fatigue life constraints of non-proportional load cases, with a specific focus on parts with cyclic symmetry. Considering non-proportional loads in topology optimization significantly broadens the types of design problems that can be handled. The method estimates the local variation in Signed von Mises stress using a smooth min/max function and constrains the resulting stress amplitude using established stress based topology optimization methods. Accounting for non-proportionality of loading significantly increases the computation cost with respect to existing proportional methods, as the time-varying stress field needs to be computed. Inertia effects are neglected in the structural analysis. Therefore, a quasi-static analysis is used to obtain the stress history. To reduce the computational cost, advantage is taken of cyclic symmetric properties to reduce the number of necessary time steps to evaluate. This reduces the computational cost roughly proportional to the number of unique load time steps present in the repeated segments as opposed to a standard implementation. The method is tested on numerical examples in 2D and 3D for both proportional and non-proportional loads and was found to be locally accurate up to the accuracy of the constraint aggregation.","Cyclic symmetry; Fatigue constraints; Non-proportional loading; Topology optimization","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:ff9a7c58-e368-4564-a8bd-da2a8f16d9dd","http://resolver.tudelft.nl/uuid:ff9a7c58-e368-4564-a8bd-da2a8f16d9dd","The impact of perceived injury risk and psychosocial factors on walking equity","Rod, J. E. (Centre for Accident Research and Road Safety – Queensland; Universidad del Norte); King, Mark (Centre for Accident Research and Road Safety – Queensland); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science)","","2023","Walking is the cornerstone of active and sustainable transport. However, traffic safety concerns among pedestrians could reduce walking behaviour. Safety concerns are generally measured through risk perceptions. Unfortunately, a lack of theoretical development of risk perceptions in walking behaviour research has limited our capacity to identify groups of pedestrians who are inequitably affected and address their concerns. To address this gap, the present investigation identified various theory-driven risk dimensions (i.e., mechanism of injury, temporal risk dimensions, and information processing). Logistic and hierarchical linear regression analyses were used to investigate the effect of the risk dimensions on walking behaviour while considering psychosocial factors (e.g., attitudes and social norms). The findings suggest that policymakers and practitioners should consider both objective and perceived pedestrian safety to promote sustainable mobility. Older adults require particular attention as they are inequitably affected by objective and subjective risks.","Cross-sectional; Responsible risk management; Risk analysis; Sustainability; Transport justice; Vulnerable Road users","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:f39f3cf3-da47-42a3-9258-02193f4335f7","http://resolver.tudelft.nl/uuid:f39f3cf3-da47-42a3-9258-02193f4335f7","Economic analysis of energy communities: Investment options and cost allocation","Li, N.L. (TU Delft Energie and Industrie); Okur, Ö. (TU Delft System Engineering)","","2023","Energy communities play an important role in the energy transition to future clean and sustainable energy. The economic feasibility of an energy community is largely affected by its investment options: either a third party or households themselves can invest in distributed energy resources. Another common problem for energy communities is cost allocation among local community members to ensure cost recovery. For these reasons, in this paper, an economic feasibility analysis for energy communities with two investment options is conducted: third party investment and self-investment, while also taking into account various cost allocation methods. An optimization model is developed to solve the optimal operation of the energy community with both investment options. The results indicate that it is economically feasible for a third party to invest in an energy community with the right energy prices and payback time. In this case, the third party makes the highest profits when the payback time is 15 years, which is around 50% percent of its total investment cost. In addition, it is possible for the third party to have multiple cost allocation methods within the same energy community. On the other hand, local community members benefit the most from a joint investment, despite the high initial investment costs. The energy costs of each household are largely affected by the payback time and cost allocation methods. These variations are the largest when payback time is 25 years, which is also the system lifetime. Overall, this study provides insights both for third parties and households to make decisions on investment options and cost allocation.","Cost allocation; Distributed energy resources; Energy community; Investment; Optimization","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:94925cc9-6365-4e49-bb3c-554905372779","http://resolver.tudelft.nl/uuid:94925cc9-6365-4e49-bb3c-554905372779","A risk-based driver behaviour model","Yuan, Y. (TU Delft Transport and Planning; Technische Universität München); Wang, X. (Queen Mary University of London); Calvert, S.C. (TU Delft Transport and Planning); Happee, R. (TU Delft Intelligent Vehicles); Wang, M. (Technische Universität Dresden)","","2023","Current driver behaviour models (DBMs) are primarily designed for the general driver population under specific scenarios, such as car following or lane changing. Hence DBMs capturing individual behaviour under various scenarios are lacking. This paper presents a novel method to quantify individual perceived driving risk in the longitudinal and lateral directions using risk thresholds capturing the time headway and time to line crossing. These are integrated in a risk-based DBM formulated under a model predictive control (MPC) framework taking into account vehicle dynamics. The DBM assumes drivers to operate as predictive controllers jointly optimising multiple criteria, including driving risk, discomfort, and travel inefficiency. Simulation results in car following and passing a slower vehicle demonstrate that the DBM predicts plausible behaviour under representative driving scenarios, and that the risk thresholds are able to reflect individual driving behaviour. Furthermore, the proposed DBM is verified using empirical driving data collected from a driving simulator, and the results show it is able to accurately generate vehicle longitudinal and lateral control matching individual human drivers. Overall, this model can capture individual risk perception behaviour and can be applied to the design and assessment of intelligent vehicle systems.","driver behaviour model; human factors; path planning; risk perception; vehicle dynamics and control","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:7ffcc615-24d1-4914-b653-e52822759474","http://resolver.tudelft.nl/uuid:7ffcc615-24d1-4914-b653-e52822759474","Discussion of ""study on Asphalt-Cement Materials for Seismic Isolation Layer of Shield Tunnels""","Jiang, Xi (The Hong Kong Polytechnic University); Zhang, Yumeng (Tongji University); Jiang, Jiwang (Southeast University); Zhang, X. (TU Delft Geo-engineering); Leng, Zhen (The Hong Kong Polytechnic University)","","2023","This paper presents a discussion of “Study on Asphalt-Cement
Materials for Seismic Isolation Layer of Shield Tunnels” by Qi Yang,
Ping Geng, Liangjie Wang, Bingbing Zhao, and Pingliang Chen.
https://doi.org/10.1061/(ASCE)MT.1943-5533.0004466.","","en","journal article","","","","","","","","2024-04-20","","","Geo-engineering","","",""
"uuid:e369d175-b52a-4e0f-bfc7-cbb399c1a756","http://resolver.tudelft.nl/uuid:e369d175-b52a-4e0f-bfc7-cbb399c1a756","Metadata Representations for Queryable Repositories of Machine Learning Models","Li, Z. (TU Delft Web Information Systems); Kant, Henk (Student TU Delft); Hai, R. (TU Delft Web Information Systems); Katsifodimos, A (TU Delft Web Information Systems); Brambilla, Marco (Politecnico di Milano); Bozzon, A. (TU Delft Sustainable Design Engineering)","","2023","Machine learning (ML) practitioners and organizations are building model repositories of pre-trained models, referred to as model zoos. These model zoos contain metadata describing the properties of the ML models and datasets. The metadata serves crucial roles for reporting, auditing, ensuring reproducibility, and enhancing interpretability. Despite the growing adoption of descriptive formats like datasheets and model cards, the metadata available in existing model zoos remains notably limited. Moreover, existing formats have limited expressiveness, thus constraining the potential use of model repositories, extending their purpose beyond mere storage for pre-trained models. This paper proposes a unified metadata representation format for model zoos. We illustrate that comprehensive metadata enables a diverse range of applications, encompassing model search, reuse, comparison, and composition of ML models. We also detail the design and highlight the implementation of an advanced model zoo system built on top of our proposed metadata representation.","Machine learning; metadata representations; model search; model zoo","en","journal article","","","","","","","","","","Sustainable Design Engineering","Web Information Systems","","",""
"uuid:ad7e39cd-9cdc-4813-91d9-5335f5dc68a7","http://resolver.tudelft.nl/uuid:ad7e39cd-9cdc-4813-91d9-5335f5dc68a7","A treatise on InSAR geometry and 3D displacement estimation","Brouwer, W.S. (TU Delft Mathematical Geodesy and Positioning); Hanssen, R.F. (TU Delft Mathematical Geodesy and Positioning)","","2023","The estimation of displacement vectors for (objects on) the Earth's surface using satellite InSAR requires geometric transformations of the observables based on orbital viewing geometries. Usually, there are insufficient viewing geometries available for full 3-D reconstruction, leading to nonunique solutions. Currently, there is no standardized approach to deal with this problem, resulting in products that are based on haphazard and/or oversimplified assumptions with biased estimates and reduced interpretability. Here, we show that a clear definition of - and subsequent adherence to - enabling conditions guarantees the validity and quality of displacement vector estimates leading to standardized interferometric products with improved interpretability. We introduce the concept of the null line as a key metric for InSAR geometry and bias estimation, assess its impact and orientation for all positions on Earth, and propose a novel reference system that is inherently unbiased. We evaluate current operational practice, leading to a taxonomy of frequently encountered misconceptions and to recommendations for InSAR product generation and interpretation. We also propose new subscript notation to uniquely distinguish different projection and decomposition products. Our propositions contribute to further standardization of InSAR product definition, improved map annotation, and robust interpretability.","Azimuth; decomposition; Earth; Estimation; Geometry; InSAR; line-of-sight; null line; projection; Satellite constellations; Satellites; solution space; surface displacements; Three-dimensional displays","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:9c7b359d-e80d-4892-a159-e4aed115726e","http://resolver.tudelft.nl/uuid:9c7b359d-e80d-4892-a159-e4aed115726e","What is the market potential for on-demand services as a train station access mode?","Geržinič, N. (TU Delft Transport and Planning); Cats, O. (TU Delft Transport and Planning); van Oort, N. (TU Delft Transport and Planning); Hoogendoorn-Lanser, S. (TU Delft Corporate Innovations); Hoogendoorn, S.P. (TU Delft Transport and Planning)","","2023","On-demand mobility services (FLEX) are often proposed as a solution for the first/last mile problem. We study the potential of using FLEX to improve train station access by means of a three-step sequential stated preference survey. We compare FLEX with the bicycle, car and public transport for accessing two alternative train stations. We estimate a joint access mode and train station choice model. Estimating a latent class choice model with different nesting structures, we uncover four distinct segments in the population. Two segments (∼50%) with a lower Willingness-to-Pay seem to be more likely to take-up FLEX. Ex-urban car drivers seem to be the most likely segment to adopt FLEX, showing great, since members of this segment are currently frequent users of the private car. Our case study also shows that while FLEX competes primarily with public transport when accessing local stations, it competes primarily with car for reaching distant stations.","Access modes; latent class; on-demand mobility; stated choice; train station choice","en","journal article","","","","","","","","","","Transport and Planning","Transport and Planning","","",""
"uuid:6c22d05c-a100-4ff7-8a11-e32d70eda1e3","http://resolver.tudelft.nl/uuid:6c22d05c-a100-4ff7-8a11-e32d70eda1e3","A system dynamics model for analyzing modal shift policies towards decarbonization in freight transportation","Nassar, Raphael Ferrari (Student TU Delft); Ghisolfi, Verônica (Universidade Federal do Rio de Janeiro); Annema, J.A. (TU Delft Transport and Logistics); van Binsbergen, A.J. (TU Delft Transport and Planning); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics)","","2023","The decarbonization of freight transport is currently a big challenge to tackle. One way of decarbonizing the sector is the modal shift towards the least polluting transport modes. This research aims to shed light on modal shift time dynamics in developing economies and considers whether a System Dynamics approach can assist with the policy-making decision about a modal shift towards freight decarbonization. This research explores policies that promote the modal shift of freight transportation for a Brazilian case study, using a System Dynamics model. Policies include fiscal and regulatory measures and infrastructure investments. The findings show that the process of modal shift is slow. However, implementing a combination of stricter policy measures early on, and changes in infrastructure investment strategies, accelerate the shift and this seems to be a robust measure package, capable of promoting a modal shift and decarbonizing the system. The model used highlighted how the system tends to adjust to modal shift measures, which tend to lose efficiency over time, slowing down the pace of decarbonization. Findings also display how modal shift policies alone might not be sufficient to achieve a reduction in CO2 emission. Addressing the problem with a System Dynamics approach may help decision-makers in economically developing countries to develop more effective policy strategies.","Decarbonization; Freight transportation; Modal shift; Policy analysis; System dynamics","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:6119d26b-4412-49e0-be04-8c5df86ef87a","http://resolver.tudelft.nl/uuid:6119d26b-4412-49e0-be04-8c5df86ef87a","Capability Approach and Inclusion: Developing a Context Sensitive Design for Biobased Value Chains","Asveld, L. (TU Delft BT/Biotechnology and Society); Robaey, Zoë Houda (Wageningen University & Research); Francke, Sara (DSM); Osseweijer, P. (TU Delft BT/Biotechnology and Society)","","2023","Biomass such as crops and agricultural waste is increasingly used as the primary resource for products like bioplastics and biofuels. Incorporating the needs, knowledge, skills and values of biomass producers in the design of global value chains – the steps involved in creating any finished product from design to delivery – can contribute to sustainability, reliability and fairness. However, how to involve biomass producers, especially if they are resource poor, remains a challenge. To make sure that inclusion in global biobased value chains is both fair and effective, the capabilities of relevant actors need to be taken into account, especially of those producing biomass. Access to resources determines to what extent a specific actor can participate in a global value chain. Therefore, differences in capabilities should be a central consideration when new (biobased) value chains are designed. Using the capability approach as an ethical framework to realize inclusion, we discern three complementary strategies for setting up inclusive value chains. Firstly, designing for local conversion factors second, providing adaptive design for new capabilities, and third, investing in local conversion factors. Applying these strategies can lead to context-sensitive design of biorefineries that allow for true inclusion of local stakeholders. We support these claims with reference to case-studies of sugarcane production in Jamaica, modified tobacco in South Africa and the non-edible parts of corn (stover) in the US.","Biobased value chains; Capability approach; Context sensitive design; Inclusion","en","journal article","","","","","","","","","","","BT/Biotechnology and Society","","",""
"uuid:6d30d9c3-f41d-4dd8-bb37-a6707f4e84c5","http://resolver.tudelft.nl/uuid:6d30d9c3-f41d-4dd8-bb37-a6707f4e84c5","Assessment of existing structures in fib modelcode 2020: Solutions and examples","Walraven, J.C. (TU Delft Concrete Structures); Dieteren, Gerrie (TNO)","","2023","For the assessment of concrete structures in the new fib Model Code 2020 (fib MC 2020), three categories are distinguished: (1) the residual capacity of existing structures without damage, (2) the residual capacity of structures suffering deterioration, and (3) the residual capacity of structures with noncompliant details. In the accompanying paper by Walraven and Dieteren in this volume, the backgrounds for this subdivision have been explained, and indications for the assessment of existing concrete structures in those categories have been given. In the actual paper, examples are given how to perform an assessment of concrete structures for the categories mentioned above. These examples have contributed to the formulations of the recommendations proposed for fib MC 2020.","deterioration; existing concrete structures; fib MC 2020; structural safety","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-04","","","Concrete Structures","","",""
"uuid:70278c10-d8c5-4ee4-9a0b-ac3d098710d9","http://resolver.tudelft.nl/uuid:70278c10-d8c5-4ee4-9a0b-ac3d098710d9","Microbial biomanufacturing for space-exploration-what to take and when to make","Averesch, Nils J.H. (Center for Utilization of Biological Engineering in Space; Stanford University); Berliner, Aaron J. (Center for Utilization of Biological Engineering in Space; University of California); Nangle, Shannon N. (Harvard University; Circe Bioscience Inc., Somerville); Zezulka, Spencer (Center for Utilization of Biological Engineering in Space; University of California); Vengerova, Gretchen L. (Center for Utilization of Biological Engineering in Space; University of California); Ho, Davian (Center for Utilization of Biological Engineering in Space; University of California); Casale, Cameran A. (Center for Utilization of Biological Engineering in Space; University of California); Lehner, B. (TU Delft BN/Stan Brouns Lab); Snyder, Jessica E. (Blue Marble Space Institute of Science, Seattle,)","","2023","As renewed interest in human space-exploration intensifies, a coherent and modernized strategy for mission design and planning has become increasingly crucial. Biotechnology has emerged as a promising approach to increase resilience, flexibility, and efficiency of missions, by virtue of its ability to effectively utilize in situ resources and reclaim resources from waste streams. Here we outline four primary mission-classes on Moon and Mars that drive a staged and accretive biomanufacturing strategy. Each class requires a unique approach to integrate biomanufacturing into the existing mission-architecture and so faces unique challenges in technology development. These challenges stem directly from the resources available in a given mission-class-the degree to which feedstocks are derived from cargo and in situ resources-and the degree to which loop-closure is necessary. As mission duration and distance from Earth increase, the benefits of specialized, sustainable biomanufacturing processes also increase. Consequentially, we define specific design-scenarios and quantify the usefulness of in-space biomanufacturing, to guide techno-economics of space-missions. Especially materials emerged as a potentially pivotal target for biomanufacturing with large impact on up-mass cost. Subsequently, we outline the processes needed for development, testing, and deployment of requisite technologies. As space-related technology development often does, these advancements are likely to have profound implications for the creation of a resilient circular bioeconomy on Earth.","","en","journal article","","","","","","","","","","","BN/Stan Brouns Lab","","",""
"uuid:9ba4387d-5ab6-4b89-9df5-96299e323655","http://resolver.tudelft.nl/uuid:9ba4387d-5ab6-4b89-9df5-96299e323655","Fostering responsible anticipation in engineering ethics education: how a multi-disciplinary enrichment of the responsible innovation framework can help","van Grunsven, J.B. (TU Delft Values Technology and Innovation; TU Delft Ethics & Philosophy of Technology); Stone, T.W. (TU Delft Ethics & Philosophy of Technology; Universität Bonn); Marin, L. (TU Delft Values Technology and Innovation; TU Delft Ethics & Philosophy of Technology)","","2023","It is crucial for engineers to anticipate the socio-ethical impacts of emerging technologies. Such acts of anticipation are thoroughly normative and should be cultivated in engineering ethics education. In this paper we ask: ‘how do we anticipate the socio-ethical implications of emerging technologies responsibly?’ And ‘how can such responsible anticipation be taught?’ We offer a conceptual answer, building upon the framework of Responsible Innovation and its four core practices: anticipation, reflexivity, inclusion, and responsiveness. We forge a more explicit link between the practices of anticipation, reflexivity, and inclusion, while also enriching them with insights from disability studies, STS, design theory, and philosophy. On this basis we present responsible anticipation as an activity of reflective problem framing grounded in epistemic humility. Via the RI-practice of responsiveness we present responsible anticipation as a creative approach to engineering ethics, offering engineering students a critical yet productive perspective on how ethics may inform innovation.","anticipation; disability studies; engineering ethics education; epistemic humility; problem framing; Responsible innovation","en","journal article","","","","","","","","","","Values Technology and Innovation","Ethics & Philosophy of Technology","","",""
"uuid:7a3e28cb-031d-4438-aef7-474368b03f2d","http://resolver.tudelft.nl/uuid:7a3e28cb-031d-4438-aef7-474368b03f2d","Finding the bulk viscosity of air from Rayleigh-Brillouin light scattering spectra","Bruno, Domenico (Consiglio Nazionale Delle Ricerche); Frezzotti, Aldo (Politecnico di Milano); Jamali, S.H. (TU Delft Engineering Thermodynamics); van de Water, W. (TU Delft Fluid Mechanics)","","2023","Spectral line shape models can successfully reproduce experimental Rayleigh-Brillouin spectra, but they need knowledge about the bulk viscosity ηb. Light scattering involves GHz frequencies, but since ηb is only documented at low frequencies, ηb is usually left as a free parameter, which is determined by a fit of the model to an experimental spectrum. The question is whether models work so well because of this freedom. Moreover, for light scattering in air, spectral models view ""air""as an effective molecule. We critically evaluate the use of ηb as a fit parameter by comparing ηb obtained from fits of the Tenti S6 model to the result of Direct Simulation Monte Carlo (DSMC) for a mixture of Nitrogen and Oxygen. These simulations are used to compute light scattering spectra, which are then compared to experiments. The DSMC simulation parameters are cross-checked with a molecular dynamics simulation based on intermolecular potentials. At large values of the uniformity parameter y, y ≈ 4, where the Brillouin contribution to spectra is large, fitted ηb are 20% larger than the ones from DSMC, while the quality of the simulated spectra is comparable to that of the Tenti S6 line shape model. At smaller y, the difference between fitted and simulated ηb can be as large as 100%. We hypothesize the breakdown of the bulk viscosity concept to be the cause of this fallacy.","","en","journal article","","","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:4e1072b9-9bd0-4348-a653-f2c177a61e4f","http://resolver.tudelft.nl/uuid:4e1072b9-9bd0-4348-a653-f2c177a61e4f","Design of a Direct numerical Simulation of flow and heat transfer in a T-junction","Ajay Kumar, Aniketh (NRG (Nuclear Research and Consultancy Group) Petten); Mathur, Akshat (NRG (Nuclear Research and Consultancy Group) Petten); Gerritsma, M.I. (TU Delft Aerodynamics); Komen, Ed (NRG (Nuclear Research and Consultancy Group) Petten)","","2023","Several investigations have been undertaken to study the velocity and temperature fields associated with the thermal mixing between fluids, and resulting thermal striping in a T-junction. However, the available experimental databases are not sufficient to describe the involved physics in adequate detail, and, due to experimental limitations, accurate data on velocity and temperature fluctuations in regions close to the wall are not available. Computational Fluid Dynamics (CFD) can play an important role in predicting such complex flow features. However, predicting complex thermal fatigue phenomena is a challenge for the available momentum and heat flux turbulence models. Furthermore, such models need to be extensively validated. The aim of the present work is to design a reference numerical experiment for Direct Numerical Simulation (DNS) of a thermal fatigue scenario using Reynolds-Averaged Navier-Stokes (RANS) simulations. First, the feasibility of scaling down the Reynolds number from experimental cases to a computationally-feasible range is investigated. The junction corner shape is also modified to a slightly rounded corner, ensuring that the underlying fundamental physical phenomena of turbulence and thermal mixing flow features are preserved. Finally, the pipe lengths of the model were calibrated to ensure there would be no interference of the upstream developing region and the outlet boundary conditions on the thermal mixing at the junction. A sample under-resolved DNS case, with unity and low-Prandtl number passive temperature scalars, with iso-temperature, iso-flux and mixed (Robin) wall boundary conditions, are presented. This proof-of-concept simulation contributes to the finalization of the set-up for fully-resolved DNS with respect to the computational grid size selection and transient characteristics.","DNS; Mixed boundary condition; Nek5000; T-junction; Thermal mixing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-04","","","Aerodynamics","","",""
"uuid:cbd499e0-0f53-47a9-88ab-ad230bf3571d","http://resolver.tudelft.nl/uuid:cbd499e0-0f53-47a9-88ab-ad230bf3571d","Causal scientific explanations from machine learning","Buijsman, S.N.R. (TU Delft Ethics & Philosophy of Technology)","","2023","Machine learning is used more and more in scientific contexts, from the recent breakthroughs with AlphaFold2 in protein fold prediction to the use of ML in parametrization for large climate/astronomy models. Yet it is unclear whether we can obtain scientific explanations from such models. I argue that when machine learning is used to conduct causal inference we can give a new positive answer to this question. However, these ML models are purpose-built models and there are technical results showing that standard machine learning models cannot be used for the same type of causal inference. Instead, there is a pathway to causal explanations from predictive ML models through new explainability techniques; specifically, new methods to extract structural equation models from such ML models. The extracted models are likely to suffer from issues though: they will often fail to account for confounders and colliders, as well as deliver simply incorrect causal graphs due to ML models tendency to violate physical laws such as the conservation of energy. In this case, extracted graphs are a starting point for new explanations, but predictive accuracy is no guarantee for good explanations.","Artificial intelligence; Causal inference; Machine learning; Scientific explanation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-11","","","Ethics & Philosophy of Technology","","",""
"uuid:7f56ab2f-efda-4784-b649-67cf31f3df9d","http://resolver.tudelft.nl/uuid:7f56ab2f-efda-4784-b649-67cf31f3df9d","Did it increase energy consumption? A difference-in-differences evaluation of a rural electrification policy in Gujarat, India using night-time lights data","Chindarkar, Namrata (Indian Institute of Management Ahmedabad); Goyal, N. (TU Delft Organisation & Governance)","","2023","Electricity access is an important issue and building capacity for it requires drawing relevant lessons from past policies. In this study, we evaluate the effect of the Jyotigram Yojana, or the lighted village scheme, a supply-side policy intervention during 2003–08 to increase rural electricity access in Gujarat, India. We hypothesize that policy implementation is associated with increased electricity consumption. To test this hypothesis, we exploit variation in the timing of policy implementation at the village level, and use a generalized difference-in-differences strategy for identification. Further, we use night-time luminosity measured through remote sensing as a proxy for electricity consumption, and control for weather, village fixed effect, year fixed effect, and village or administrative block specific time trend. We find that while the overall effect of the policy on night-time luminosity was not statistically significant, the effects were likely heterogeneous, with the night-time luminosity increasing in some districts after policy implementation and decreasing in others. We conclude that the policy might have had a re-distributive effect on electricity access or consumption and recommend adopting a more holistic approach – incorporating both supply-side and demand-side measures – to increase electricity access.","Electricity access; Electricity consumption; Energy access; Geographic Information System (GIS); India; Night-time lights (NTL); Policy evaluation; Rural electrification; Sustainable development goal on energy (SDG7)","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:ab1160ea-493b-403e-aa42-0c4fd0736b60","http://resolver.tudelft.nl/uuid:ab1160ea-493b-403e-aa42-0c4fd0736b60","Dynamical Polarization of the Fermion Parity in a Nanowire Josephson Junction","Wesdorp, J.J. (TU Delft QRD/Kouwenhoven Lab; QuTech; Kavli institute of nanoscience Delft); Grünhaupt, L. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft; QuTech); Vaartjes, A. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft; QuTech); Pita-Vidal, Marta (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft); Bargerbos, A. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft; QuTech); Splitthoff, L.J. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft; QuTech); Krogstrup, P. (University of Copenhagen); van Heck, B. (TU Delft QN/Wimmer Group; TU Delft BUS/Quantum Delft; Universiteit Leiden; Sapienza University of Rome); de Lange, G. (TU Delft BUS/Quantum Delft)","","2023","Josephson junctions in InAs nanowires proximitized with an Al shell can host gate-tunable Andreev bound states. Depending on the bound state occupation, the fermion parity of the junction can be even or odd. Coherent control of Andreev bound states has recently been achieved within each parity sector, but it is impeded by incoherent parity switches due to excess quasiparticles in the superconducting environment. Here, we show that we can polarize the fermion parity dynamically using microwave pulses by embedding the junction in a superconducting LC resonator. We demonstrate polarization up to 94%±1% (89%±1%) for the even (odd) parity as verified by single shot parity readout. Finally, we apply this scheme to probe the flux-dependent transition spectrum of the even or odd parity sector selectively, without any postprocessing or heralding.","","en","journal article","","","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:e95574c9-35e0-4c24-b006-fc8ade3fa0a0","http://resolver.tudelft.nl/uuid:e95574c9-35e0-4c24-b006-fc8ade3fa0a0","Controllable Single Cooper Pair Splitting in Hybrid Quantum Dot Systems","de Jong, D. (TU Delft BUS/Quantum Delft; Kavli institute of nanoscience Delft; QuTech); Prosko, C.G. (TU Delft QRD/Kouwenhoven Lab; Kavli institute of nanoscience Delft; QuTech); Han, L. (TU Delft QRD/Wimmer Group; Kavli institute of nanoscience Delft; QuTech); Malinowski, F.K. (TU Delft BUS/TNO STAFF; Kavli institute of nanoscience Delft; QuTech); Liu, Yu (University of Copenhagen); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; Kavli institute of nanoscience Delft; QuTech); Pfaff, Wolfgang (University of Illinois at Urbana-Champaign)","","2023","Cooper pair splitters hold utility as a platform for investigating the entanglement of electrons in Cooper pairs, but probing splitters with voltage-biased Ohmic contacts prevents the retention of electrons from split pairs since they can escape to the drain reservoirs. We report the ability to controllably split and retain single Cooper pairs in a multi-quantum-dot device isolated from lead reservoirs, and separately demonstrate a technique for detecting the electrons emerging from a split pair. First, we identify a coherent Cooper pair splitting charge transition using dispersive gate sensing at GHz frequencies. Second, we utilize a double quantum dot as an electron parity sensor to detect parity changes resulting from electrons emerging from a superconducting island.","","en","journal article","","","","","","","","","","","BUS/Quantum Delft","","",""
"uuid:e2754402-e47f-43cd-b618-364f6a6f875b","http://resolver.tudelft.nl/uuid:e2754402-e47f-43cd-b618-364f6a6f875b","Internet of Things for building façade traceability: A theoretical framework to enable circular economy through life-cycle information flows","Giovanardi, M. (TU Delft Building Product Innovation; Politecnico di Torino); Konstantinou, T. (TU Delft Building Product Innovation); Pollo, Riccardo (Politecnico di Torino); Klein, T. (TU Delft Building Product Innovation)","","2023","Traceability is considered a crucial requirement to enable Circular Economy (CE). Product and process life-cycle data can facilitate circular asset management preserving the asset's value over time and reducing resource consumption. Many scholars point out how the loss of traceability data, lacking information reliability, and unstructured data are still barriers to the widespread application of CE. In the building façade sector, an increased interest on traceability is dictated by a growing demand for environmental product certifications. However, these aspects are often limited to collect data at supply chain stage, thus neglecting a huge amount of information produced during the asset service life. To foster an accessible and life-cycle oriented asset traceability, this research investigates the Internet of Things (IoT) as a potentially disruptive technology for supporting information management. The objective of this work is twofold: (i) to identify what façade life-cycle information is needed to promote CE and (ii) to clarify the enabling role of IoT in tracking, storing, and sharing such information. Through a scoping review combined with interviews to professionals, a theoretical framework structured on four key elements (stakeholders, information list, information management tools, and IoT) is proposed to fill the literature gap and support façade industry in the circular transition. Further research will have to be conducted to face the digital-physical integration issues and develop business models able to fully exploit traceability information value.","Circular economy; Façade; Information flow; IoT; RFId; Traceability","en","journal article","","","","","","","","","","","Building Product Innovation","","",""
"uuid:71b8f873-4ab5-4dca-94a0-b5240af2bf4a","http://resolver.tudelft.nl/uuid:71b8f873-4ab5-4dca-94a0-b5240af2bf4a","Machine Learning Approach for the Prediction of Eutectic Temperatures for Metal-Free Deep Eutectic Solvents","Lavrinenko, A.K. (ITMO University); Chernyshov, I. (TU Delft ChemE/Inorganic Systems Engineering); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering)","","2023","Deep eutectic solvents (DESs) represent an environmentally friendly alternative to conventional organic solvents. Their liquid range determines the areas of application, and therefore, the prediction of solid-liquid equilibrium (SLE) diagrams is essential for developing new DESs. Such predictions are not yet possible by using the current state-of-the-art computational models. Herein, we present an alternative model based on support vector regression integrating experimental data, a conductor-like screening model for real solvents simulations, and cheminformatic descriptors for predicting melting temperatures of binary metal-free DESs or ionic liquids, allowing the researcher to estimate the eutectic formation and SLE for specific combinations of components. The model was developed based on the manually collected database of 1648 mixture melting temperatures for 237 experimentally described DESs, and its accuracy was demonstrated by 5-fold cross-validation (R2 ∼ 0.8). The presented machine learning methodology empowers researchers to predefine the liquid range of the mixture and holds promise for efficient molecular combination screening, facilitating the discovery of tailored DESs for desired applications from catalysis and extraction to energy storage. By enabling a deeper understanding of DES behavior and the targeted design of these solvents, the proposed approach contributes to advancing green chemistry practices and to promoting sustainable solvent usage.","COSMO-RS; deep eutectic solvents; machine learning; melting point prediction; solid-liquid equilibrium","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:6918c811-fbf7-4bee-a861-8d203f851462","http://resolver.tudelft.nl/uuid:6918c811-fbf7-4bee-a861-8d203f851462","Investigation of the effect of prescribed coupled motions on the power production of a floating offshore wind turbine","Ramponi, R.R. (TU Delft Wind Energy; Politecnico di Milano); Amaral, R. (Student TU Delft); Viré, A.C. (TU Delft Wind Energy)","","2023","Floating offshore wind turbines are subjected to platform motions that modify the local velocity experienced by the rotor. This work analyzes how variations in the platform motions affect the aerodynamic power of a floating wind turbine. Idealized wind conditions and rigid wind turbine are considered. The platform motions are prescribed by the user and the coupled motions considered are pitch-surge, pitch-yaw and surge-yaw. The main novelties of the work consist in the fact that multiple motions are prescribed simultaneously, including yaw, and that the prescribed motions present a difference in phase. In absence of wind turbine controller, the pitch-surge coupling shows significant increase in average power production with respect to fixed conditions when either the amplitude or frequency are increased. This gain is maximum when surge and pitch are in phase, and is almost zero in phase opposition. The presence of the controller reverses the behavior and introduces a loss in average power along with increasing amplitudes. Phase shift analysis is particularly interesting in the surge and pitch cases: the controller introduces an upper limit in power, and phase opposition is now desirable. The yaw degree of freedom is shown to be of secondary importance in every condition.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:668c33d5-b5ea-4f14-b205-396acf57389a","http://resolver.tudelft.nl/uuid:668c33d5-b5ea-4f14-b205-396acf57389a","RILEM TC 243-SGM report: grouting for historic architectural surfaces","Papayianni, Ioanna (Aristotle University of Thessaloniki); Bicer-Simsir, Beril (Getty Conservation Institute); Jornet, Albert (University of Applied Sciences of Southern Switzerland); Groot, C.J.W.P. (TU Delft Applied Mechanics); Valek, Jan (Czech Academy of Sciences); Bokan-Bosiljkov, Violeta (University of Ljubljana); van Hees, R.P.J. (TU Delft Heritage & Technology); Padovnik, Andreja (University of Ljubljana); Pachta, Vasiliki (Aristotle University of Thessaloniki)","","2023","Historic Structures are commonly coated with mortar layers (plasters, renders, flooring) for protection and decoration. These well finished architectural stratigraphic surfaces often suffer from deterioration, such as lack of adhesion or detachment between support and mortar layers and even between mortar layers. Grouting and filling voids between delaminated layers can be an effective intervention if the layers are compact. This paper deals with aspects pertinent to the selection, design and implementation of a grout for the in situ stabilization and preservation of historic architectural surfaces. It presents the methodological approach, in accordance with the conservation principles, including sections on diagnosis, study and assessment of the deterioration phenomena, definition of grout requirements, selection of proper ingredients for custom-made or commercial grouts, as well as making and testing trial mixes, field testing and Assessment of the effectiveness of grouting. The aim of this paper, that is elaborated in the frame of RILEM TC 243 SGM, is to serve as a guide for users of lime-based grouts for the reattachment and reinstatement of historical architectural surfaces.","Historic architectural surfaces; Lime-based grouts; Methodological approach; Multi-layers; Reattachments","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Mechanics","","",""
"uuid:b62d473b-618b-48c1-93d0-14c022c8967f","http://resolver.tudelft.nl/uuid:b62d473b-618b-48c1-93d0-14c022c8967f","Deep Learning for Size-Agnostic Inverse Design of Random-Network 3D Printed Mechanical Metamaterials","Pahlavani, H. (TU Delft Biomaterials & Tissue Biomechanics); Tsifoutis-Kazolis, Kostas (Student TU Delft); Cruz Saldivar, M. (TU Delft Biomaterials & Tissue Biomechanics); Mody, Prerak (Leiden University Medical Center); Zhou, J. (TU Delft Biomaterials & Tissue Biomechanics); Mirzaali, Mohammad J. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics)","","2023","Practical applications of mechanical metamaterials often involve solving inverse problems aimed at finding microarchitectures that give rise to certain properties. The limited resolution of additive manufacturing techniques often requires solving such inverse problems for specific specimen sizes. Moreover, the candidate microarchitectures should be resistant to fatigue and fracture. Such a multi-objective inverse design problem is formidably difficult to solve but its solution is the key to real-world applications of mechanical metamaterials. Here, a modular approach titled “Deep-DRAM” that combines four decoupled models is proposed, including two deep learning (DL) models, a deep generative model based on conditional variational autoencoders, and direct finite element (FE) simulations. Deep-DRAM integrates these models into a framework capable of finding many solutions to the posed multi-objective inverse design problem based on random-network unit cells. Using an extensive set of simulations as well as experiments performed on 3D printed specimens, it is demonstrate that: 1) the predictions of the DL models are in agreement with FE simulations and experimental observations, 2) an enlarged envelope of achievable elastic properties (e.g., rare combinations of double auxeticity and high stiffness) is realized using the proposed approach, and 3) Deep-DRAM can provide many solutions to the considered multi-objective inverse design problem.","additive manufacturing; deep learning; numerical simulations; random-network mechanical metamaterials; size-agnostic; variational autoencoder","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:91150c80-42f1-473d-8ace-368af4f71712","http://resolver.tudelft.nl/uuid:91150c80-42f1-473d-8ace-368af4f71712","Categorization of formulas for calculation of crack width and spacing in reinforced concrete elements","van der Esch, Anton (Eindhoven University of Technology); Wolfs, Rob (Eindhoven University of Technology); Fennis, Sonja (Ministry of Infrastructure and the Environment); Roosen, M.A. (TU Delft Concrete Structures; Ministry of Infrastructure and the Environment); Wijte, Simon (Eindhoven University of Technology)","","2023","Over the last century, over one hundred crack width formulas have been developed to calculate the width and spacing of cracks in reinforced and prestressed concrete elements. It is unclear which formulas are the most accurate. An extensive comparison study is required to determine which formulas accurately describe the crack patterns, consisting of the crack width and spacing. To make such a study possible, this paper proposes categorizing formulas. The categorization of the formulas is based on their applicability, crack pattern representation, and background. The categorization presents an overview of the different assumptions and application areas for describing crack patterns.","categorization; crack spacing; crack width; prestressed concrete; reinforced concrete","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:262aafbb-4265-4adc-bda0-baf5430dd029","http://resolver.tudelft.nl/uuid:262aafbb-4265-4adc-bda0-baf5430dd029","Multimode Nonlinear Dynamics of Graphene Resonators","Keşkekler, A. (TU Delft Dynamics of Micro and Nano Systems); Bos, V. (TU Delft Mechanical, Maritime and Materials Engineering); Aragon, A.M. (TU Delft Computational Design and Mechanics); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft); Alijani, F. (TU Delft Dynamics of Micro and Nano Systems)","","2023","Mechanical nonlinearities dominate the motion of nanoresonators already at relatively small oscillation amplitudes. Although single and coupled two-degree-of-freedom models have been used to account for experimentally observed nonlinear effects, it is shown that these models quickly deviate from experimental findings when multiple modes influence the nonlinear response. Here, we present a nonlinear reduced-order modeling methodology based on finite-element method simulations for capturing the global nonlinear dynamics of nanomechanical resonators. Our physics-based approach obtains the quadratic and cubic nonlinearities of resonators over a wide frequency range that spans 70 MHz. To qualitatively validate our approach, we perform experiments on a graphene nanodrum driven optothermally and show that the model can replicate diverse ranges of nonlinear phenomena, including multistability, parametric resonance, and different internal resonances without considering any empirical nonlinear fitting parameters. By providing a direct link between microscopic geometry, material parameters, and nonlinear dynamic response, we clarify the physical significance of nonlinear parameters that are obtained from fitting the dynamics of nanomechanical systems, and provide a route for designing devices with desired nonlinear behavior.","","en","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","","Dynamics of Micro and Nano Systems","","",""
"uuid:240594e5-2a2d-48e6-b174-c6c97cfe9f63","http://resolver.tudelft.nl/uuid:240594e5-2a2d-48e6-b174-c6c97cfe9f63","Plasma-sprayed Al2O3-TiB2-SiC ternary composite coatings and its wear behaviour based on SiC content","Mirhosseini, Seyed Hossein (Yazd University); Mosallaee, Masoud (Yazd University); Razavi, Mansour (Materials and Energy Research Center); Fotouhi, M. (TU Delft Materials and Environment)","","2023","This paper aims to study the effect of adding different SiC content on the wear performance of Al2O3-TiB2-SiC ternary composite coatings produced by the air plasma spraying process. The study used SHS powders as primary materials, consisting of H3BO3, Al, and TiO2, and 5, 10, and 15 Vol.% SiC. The microstructure and wear specifications of the coatings were characterised using FESEM, microhardness, and pin-on-disk methods. The results showed that the addition of SiC led to higher hardness and lower wear track width and rate compared to Al2O3-TiB2 composite coatings. The best wear behaviour was observed in Al2O3-TiB2-10%SiC and 15 wt% SiC composite coatings. The main wear mechanisms were found to be brittle fracture, delamination and adhesive for all samples.","Al2O3; ceramic coatings; Ceramic coatings; friction; Friction; plasma spray; rietveld refinement; Rietveld refinement; SiC; TiB2; wear mechanism","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-16","","","Materials and Environment","","",""
"uuid:3dcf67a7-d207-4af9-89d8-d4e3d695380e","http://resolver.tudelft.nl/uuid:3dcf67a7-d207-4af9-89d8-d4e3d695380e","On the performance of the helix wind farm control approach in the conventionally neutral atmospheric boundary layer","Taschner, E. (TU Delft Team Jan-Willem van Wingerden); van Vondelen, A.A.W. (TU Delft Team Jan-Willem van Wingerden); Verzijlbergh, R.A. (TU Delft Energie and Industrie; Whiffle Weather Finecasting); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2023","The performance of wind farms can substantially increase when their individual turbines deviate from their own greedy control strategy and instead also take into account downstream turbines operating in the wake. The helix approach is a recently introduced dynamic wind farm control strategy that tackles this issue by leveraging individual pitch control to accelerate wake recovery. Its effective implementation requires detailed knowledge about the scaling between control input and the resulting power gain and turbine loading across the farm. In the present work this scaling is explored by means of large-eddy simulation of a two-turbine farm in the conventionally neutral atmospheric boundary layer. A parameter sweep for the amplitude of the helix is performed showing monotonous increase of the farm's power output with increasing pitch amplitude within the considered range of zero to six degrees. The scaling of the power gain suggests that a threshold amplitude should be exceeded for effective speed-up of the wake recovery, whereas the damage equivalent loads computed for the turbines indicate an upper limit for the amplitude despite increasing power gains.","","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:dbca5207-6668-42f9-9842-4d29e44681c3","http://resolver.tudelft.nl/uuid:dbca5207-6668-42f9-9842-4d29e44681c3","Laser-Induced Cavitation for Controlling Crystallization from Solution","Nagalingam, Nagaraj (TU Delft Complex Fluid Processing); Raghunathan, Aswin (Student TU Delft); Korede, V.B. (TU Delft Complex Fluid Processing); Poelma, C. (TU Delft Process and Energy); Smith, C.S. (TU Delft BN/Nynke Dekker Lab; TU Delft ImPhys/Rieger group; TU Delft Team Carlas Smith; TU Delft ImPhys/Computational Imaging); Hartkamp, Remco (TU Delft Complex Fluid Processing); Padding, J.T. (TU Delft Complex Fluid Processing); Eral, H.B. (TU Delft Complex Fluid Processing)","","2023","We demonstrate that a cavitation bubble initiated by a Nd:YAG laser pulse below breakdown threshold induces crystallization from supersaturated aqueous solutions with supersaturation and laser-energy-dependent nucleation kinetics. Combining high-speed video microscopy and simulations, we argue that a competition between the dissipation of absorbed laser energy as latent and sensible heat dictates the solvent evaporation rate and creates a momentary supersaturation peak at the vapor-liquid interface. The number and morphology of crystals correlate to the characteristics of the simulated supersaturation peak.","","en","journal article","","","","","","","","","","Process and Energy","Complex Fluid Processing","","",""
"uuid:61730df4-66c0-4344-92b6-86bb8543f7d1","http://resolver.tudelft.nl/uuid:61730df4-66c0-4344-92b6-86bb8543f7d1","A low-fidelity model for the dynamic analysis of full-lattice wind support structures","Vergassola, M. (TU Delft Hydraulic Engineering); Cabboi, A. (TU Delft Mechanics and Physics of Structures); van der Male, P. (TU Delft Offshore Engineering); Colomés, Oriol (TU Delft Offshore Engineering)","","2023","This work aims to develop a low-fidelity model for a lattice support structure for offshore wind applications. The proposed low-fidelity model consists of a sequence of regular Timoshenko beams, each of them characterized by homogenized mechanical and mass properties representative of the single bays of the reference space-frame structure. The homogenized elastic coefficients of the sequence of beams are then computed by means of two alternative procedures: case (a), via analytical expressions available in the literature and accounting for a partially isotropic behaviour; case (b) by means of an optimization procedure, with ad hoc calibration factors. The suggested methods to derive the homogenized elastic coefficients are then tested for both straight and tapered lattice structures. The prediction performance is evaluated in terms of estimation of the first five natural frequencies and mode shapes, response to dynamic loads, and ability to predict rotor-structure interaction phenomena. A parametric study is then performed to evaluate the potential and limitations of the proposed models. To bypass the optimization procedure (b), a data-driven approach is also proposed for the case of straight lattice structures. Overall, the developed low-fidelity model leads to a computational speed-up factor of at least 60. The prediction reliability of the low-fidelity model is discussed for a tapered and regular straight lattice structure. However, for the latter one, a more detailed comparative study between the various modelling assumptions is performed and discussed. With reference to the straight lattice tower, whenever an optimization procedure is used (case (b)), and with reference to a typical subset of the investigated geometrical parameter space, the mean prediction error of the first five natural frequencies is lower than 1%. On the other hand, for case (a) and for the same investigated subset, the mean prediction errors for the first two bending modes and the torsional mode are, 5.2%, 13.3% and 18.8%, respectively. These results are improved in case a data-driven regression model is used to predict the calibration factors, leading to mean prediction errors below 5% for the entire investigated parameter space.","Lattice structures; Model reduction; Structural dynamics; Wind energy","en","journal article","","","","","","","","","","Hydraulic Engineering","Mechanics and Physics of Structures","","",""
"uuid:5dfba23a-2286-4469-a0d5-354838482b19","http://resolver.tudelft.nl/uuid:5dfba23a-2286-4469-a0d5-354838482b19","Analytical Overvoltage and Power-Sharing Control Method for Photovoltaic-Based Low-Voltage Islanded Microgrid","Bakhshi-Jafarabadi, Reza (TU Delft Intelligent Electrical Power Grids); Lekić, A. (TU Delft Intelligent Electrical Power Grids); Dehghan Marvasti, F. (TU Delft Intelligent Electrical Power Grids); de Jesus Chavez, Jose (TU Delft Intelligent Electrical Power Grids; Tecnologico de Monterrey); Popov, M. (TU Delft Intelligent Electrical Power Grids)","","2023","Overvoltage instability is a growing concern in a standalone low-voltage (LV) microgrid (MG) with non-dispatchable intermittent renewable energies such as residential and commercial photovoltaic generators (PVGs). Several overvoltage controllers used in PV arrays have adopted the concept of standard deviation from the maximum power point (MPP) to curtail the generated power. However, these solutions lack presenting analytical expression for the MPP deviation size, settings tuning independent of the MG's/PV's characteristics, scalability, and accurate power-sharing in the same control structure. To overcome these limitations, this paper proposes a new analytical MPP tracking (MPPT)-based overvoltage and power-sharing control method using the series equivalent resistance of the PV module model. By applying this analytical expression, the size of the PV array voltage shift to the right-hand side of the MPP is obtained in terms of overvoltage level, while all PVGs proportionally curtail the active power output. The effectiveness of the proposed methodology is shown in various low-demand and high-PV generation cases through a real time digital simulator (RTDS) platform. In addition to the fast and accurate performance, the presented method benefits from the straightforward and communication-free structure as it solely exploits the point of common coupling (PCC) voltage. Also, the method's threshold does not require re- tuning after MG restructure, ensuring scalability. Without relying on other microgrid facilities, the proposed methodology is accordingly an effective solution for practical PV-based LV MGs.","Analytical overvoltage control; islanded microgrid (MG); maximum power point tracking (MPPT); photovoltaic generator (PVG)","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:a9f202b9-d61d-44f3-adad-c384fcc1d9ab","http://resolver.tudelft.nl/uuid:a9f202b9-d61d-44f3-adad-c384fcc1d9ab","Establishing industrial community energy systems: Simulating the role of institutional designs and societal attributes","Eslamizadeh, S. (TU Delft Energie and Industrie); Ghorbani, Amineh (TU Delft System Engineering); Weijnen, M.P.C. (TU Delft Energie and Industrie)","","2023","The importance of decreasing industrial CO2 footprints has become evident, as also highlighted in COP26. As such, the transition to renewable energy in the industrial sector is essential to meet the targets. To this aim, establishing industrial community energy systems (InCES) where industries collectively invest in a shared energy system is an economically and environmentally attractive option. Yet, the emergence and continuity of such collective initiatives among industrial companies has neither received considerable attention in the scientific literature nor in practice. This research, as the first of its kind, aims to investigate institutional design options that allow for such collaboration to take place for the establishment and continuity of an InCES. Given the bottom-up and collaborative nature of such initiatives, we take an agent-based modeling and simulation approach, for the first time in this area, that incorporates the institutional and societal attributes that influence the formation and continuation of an InCES. We take data from an industrial cluster in Arak, one of the most prominent industrial cities in Iran. The results of this study confirm the economic feasibility of an InCES as compared to individual renewable energy investment in the cluster. The results also highlight the importance of flexible membership in increasing the number of investors (i.e., industrial companies) in such initiatives. Other important recommendations are: considering the installation of at least 15% extra capacity for the powerplant, restricting electricity consumption and enforcing on-time payment of monthly premium fees.","Agent-based modeling; Collective action; Industrial collaboration; Industrial community energy system; Industrial energy transition; Institutional design","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:e796eb57-8499-4443-b06f-1fcc3aa7e739","http://resolver.tudelft.nl/uuid:e796eb57-8499-4443-b06f-1fcc3aa7e739","Stabilizing the Inverted Phase of a WSe2/BLG/WSe2 Heterostructure via Hydrostatic Pressure","Kedves, Máté (Budapest University of Technology and Economics; MTA-BME); Szentpéteri, Bálint (Budapest University of Technology and Economics; MTA-BME); Márffy, Albin (Budapest University of Technology and Economics; MTA-BME); Tóvári, Endre (Budapest University of Technology and Economics; MTA-BME); Papadopoulos, N. (TU Delft BUS/TNO STAFF; Kavli institute of nanoscience Delft; QuTech); Rout, P.K. (TU Delft QRD/Goswami Lab; Kavli institute of nanoscience Delft; QuTech); Watanabe, Kenji (National Institute for Materials Science); Taniguchi, Takashi (National Institute for Materials Science); Goswami, S. (TU Delft QRD/Goswami Lab; Kavli institute of nanoscience Delft; QuTech); Makk, Peter (Budapest University of Technology and Economics; MTA-BME)","","2023","Bilayer graphene (BLG) was recently shown to host a band-inverted phase with unconventional topology emerging from the Ising-type spin-orbit interaction (SOI) induced by the proximity of transition metal dichalcogenides with large intrinsic SOI. Here, we report the stabilization of this band-inverted phase in BLG symmetrically encapsulated in tungsten diselenide (WSe2) via hydrostatic pressure. Our observations from low temperature transport measurements are consistent with a single particle model with induced Ising SOI of opposite sign on the two graphene layers. To confirm the strengthening of the inverted phase, we present thermal activation measurements and show that the SOI-induced band gap increases by more than 100% due to the applied pressure. Finally, the investigation of Landau level spectra reveals the dependence of the level-crossings on the applied magnetic field, which further confirms the enhancement of SOI with pressure.","band inversion; bilayer graphene; pressure; spin−orbit interaction; transport measurements; WSe2","en","journal article","","","","","","","","","","","BUS/TNO STAFF","","",""
"uuid:3612ef4e-d9a2-4db0-873b-98f5b72c5e15","http://resolver.tudelft.nl/uuid:3612ef4e-d9a2-4db0-873b-98f5b72c5e15","Eco-efficiency improvements in the propylene-to-epichlorohydrin process","Madej, Łukasz (Student TU Delft); Kiss, A.A. (TU Delft ChemE/Product and Process Engineering)","","2023","BACKGROUND: Epichlorohydrin (ECH) production is an important industrial process, owing to its importance in windmill blade manufacture, but it suffers from several drawbacks such as high energy use, large wastewater production and low atom efficiency. This original study investigates a novel chlorohydrin-free technology with an enhanced separation system for ECH production. Rigorous process simulations were performed in Aspen Plus for the classic and novel processes, and a fair techno-economic and sustainability comparison was made between the new catalytic oxidation route and the classic chlorohydrin process. RESULTS: For the hydrogen peroxide (HP) process route, a novel separation system was developed using methanol as solvent, which enables high purity of ECH. Moreover, allyl chloride (ACH) purification was optimized using thermally coupled distillation to improve the energy efficiency of ACH production. The novel HP process provides 88% higher atom efficiency, about 10% higher yield and a smaller amount of by-products, as well as a 13% increase in production capacity and major savings of 98% in wastewater production, while also achieving lower energy use (<40 MJ kg−1 ECH) and reduced carbon dioxide emission (1.13 kg kg−1 ECH). CONCLUSION: The developed HP process route is feasible and economically viable. Also, it can be partly retrofitted to existing ECH plants based on the chlorohydrin route. As both processes use the same intermediate product, only the ECH part of a classic process would be replaced by the novel route, while keeping the common ACH part. This approach is the most profitable, as only 55% of capital expenditure is required for this modification, while the plant would benefit from all the improvements provided by the novel process.","dividing-wall column; energy efficiency; fluid separation; process intensification","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:c41dd8a1-7878-4105-8f0a-8fa956ea8ff4","http://resolver.tudelft.nl/uuid:c41dd8a1-7878-4105-8f0a-8fa956ea8ff4","Specular Electron Focusing between Gate-Defined Quantum Point Contacts in Bilayer Graphene","Ingla Aynés, J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Rigotti Manesco, A.L. (TU Delft QN/Akhmerov Group; Kavli institute of nanoscience Delft); Ghiasi, T.S. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Volosheniuk, S. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Watanabe, Kenji (National Institute for Materials Science); Taniguchi, Takashi (National Institute for Materials Science); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft)","","2023","We report multiterminal measurements in a ballistic bilayer graphene (BLG) channel, where multiple spin- and valley-degenerate quantum point contacts (QPCs) are defined by electrostatic gating. By patterning QPCs of different shapes along different crystallographic directions, we study the effect of size quantization and trigonal warping on transverse electron focusing (TEF). Our TEF spectra show eight clear peaks with comparable amplitudes and weak signatures of quantum interference at the lowest temperature, indicating that reflections at the gate-defined edges are specular, and transport is phase coherent. The temperature dependence of the focusing signal shows that, despite the small gate-induced bandgaps in our sample (≲45 meV), several peaks are visible up to 100 K. The achievement of specular reflection, which is expected to preserve the pseudospin information of the electron jets, is promising for the realization of ballistic interconnects for new valleytronic devices.","ballistic transport; bilayer graphene; quantum point contact; trigonal warping","en","journal article","","","","","","","","","","","QN/van der Zant Lab","","",""
"uuid:28098c47-d067-4112-839a-6aa21ad33368","http://resolver.tudelft.nl/uuid:28098c47-d067-4112-839a-6aa21ad33368","Constructing design activity in words: Exploring linguistic methods to analyse the design process","Chandrasegaran, R.S.K. (TU Delft Methodologie en Organisatie van Design); Salah, Almila Akdag (Universiteit Utrecht); Lloyd, P.A. (TU Delft Methodologie en Organisatie van Design)","","2023","Analysing transcripts of design activity typically involve either close reading or manual coding of data, which limits the amount of data that can be analysed. In contrast, we explore a machine-learning based linguistic analysis tool called Empath to identify patterns of reasoning in design talk. The data we use derives from the Design Thinking Research Symposium (DTRS) shared-data workshops which we analyse to look at two contrasting aspects of design talk: the expression of tentativeness, characterising designers' generative thinking; and the articulation of explanations, characterising their deductive or analytical thinking. We show, at the level of speech turns, how tentativeness and explanation relate to, and overlap, each other. Finally, we discuss the limitations of this ‘linguistic analysis at scale’ approach.","collaborative design; design activity; design thinking; research methods; text analysis","en","journal article","","","","","","","","","","","Methodologie en Organisatie van Design","","",""
"uuid:3c39d5ad-3625-4b3a-ae0a-6d07b33447bf","http://resolver.tudelft.nl/uuid:3c39d5ad-3625-4b3a-ae0a-6d07b33447bf","Increasing the synchronization stability in complex networks","Wu, Xian (Shandong University); Xi, Kaihua (Shandong University); Cheng, Aijie (Shandong University); Lin, H.X. (TU Delft Mathematical Physics); van Schuppen, J.H. (TU Delft Mathematical Physics)","","2023","We aim to increase the ability of coupled phase oscillators to maintain synchronization when the system is affected by stochastic disturbances. We model the disturbances by Gaussian noise and use the mean first hitting time when the state hits the boundary of a secure domain, that is a subset of the basin of attraction, to measure synchronization stability. Based on the invariant probability distribution of a system of phase oscillators subject to Gaussian disturbances, we propose an optimization method to increase the mean first hitting time and, thus, increase synchronization stability. In this method, a new metric for synchronization stability is defined as the probability of the state being absent from the secure domain, which reflects the impact of all the system parameters and the strength of disturbances. Furthermore, by this new metric, one may identify those edges that may lead to desynchronization with a high risk. A case study shows that the mean first hitting time is dramatically increased after solving corresponding optimization problems, and vulnerable edges are effectively identified. It is also found that optimizing synchronization by maximizing the order parameter or the phase cohesiveness may dramatically increase the value of the metric and decrease the mean first hitting time, thus decrease synchronization stability.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-16","","","Mathematical Physics","","",""
"uuid:b67be914-2d4a-4d57-8e5c-b4c719d27e3e","http://resolver.tudelft.nl/uuid:b67be914-2d4a-4d57-8e5c-b4c719d27e3e","城市场景时序 InSAR 形变解译: 问题分析与研究进展","Yang, Mengshi (Yunnan University); Liao, Mingsheng (Wuhan University); Chang, Ling (University of Twente); Hanssen, R.F. (TU Delft Mathematical Geodesy and Positioning)","","2023","Multi-epoch interferometric synthetic aperture radar (InSAR) is a highly effective technique for monitoring deformation in urban areas. However, interpreting InSAR deformation can be challenging due to various factors, including inherent geometric imaging distortion, the intricate structure and deformation properties of targets in urban scenes, and the multiple scattering of microwave signals between objects in urban scenes. This paper discusses the challenges involved in interpreting time-series InSAR deformation: (1) Precisely identifying the location of deformation signals and linking them to their corresponding objects, i.e., determining where the deformation signal occurs, (2) understanding the mechanisms and factors that cause the detected deformation signals, i.e., determining what the deformation signal represents, (3) establishing the connection among the detected deformation signals, the deformation events, and the scattering mechanisms. We suggest a parametric framework to improve the accurate interpretation of InSAR deformation. This framework includes several factors, including kinematic characteristics (deformation rate, cumulative deformation, deformation gradient, and deformation model), geometric parameters (position, size, structure, orientation, and roughness), semantic information (land cover type, terrain morphology, texture, and auxiliary information on natural and anthropogenic disturbance) and physical properties (scattering mechanism, penetrability, extensibility, conductivity, and thermal conductivity). Our approach aims to enhance the representation of coherent points for a better understanding of InSAR deformation. This paper offers a comprehensive overview of the advancements achieved in extracting parameters of InSAR coherent points and interpreting deformation based on geometric parameters, semantic information, and physical properties. High-precision 3D positioning is crucial for InSAR fine monitoring in urban areas. It helps determine the source of deformation signals and facilitates the analysis of deformation mechanisms. Semantic information, such as 3D models, high-resolution optical images, laser point cloud data, and land use data, can aid in interpreting InSAR deformation. By combining InSAR deformation data with a deep learning approach, there is an opportunity to interpret deformations effectively. In urban environments, the scattering mechanism of ground objects is complex. Multiple scattering signals can provide effective observations of deformation and information about the target’s size. However, combining the scattering mechanism of synthetic aperture radar signals to carry out parameter inversion and deformation mechanism interpretation of urban target terrain remains a challenge. The framework, which considers the geometric parameters, semantic information, and physical attributes of InSAR coherent points, will be crucial for deformation interpretation and mechanism cognition. This framework will enable fine deformation monitoring, intelligent recognition, and application in future urban scenes.","InSAR coherent point; InSAR deformation interpretation; time series InSAR technique; urban deformation monitoring","zh","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-10","","","Mathematical Geodesy and Positioning","","",""
"uuid:0b282417-db94-454e-b27b-2a502a9f8b7c","http://resolver.tudelft.nl/uuid:0b282417-db94-454e-b27b-2a502a9f8b7c","Author Correction: Plastic waste discharge to the global ocean constrained by seawater observations","Zhang, Yanxu (Nanjing University); Wu, Peipei (Nanjing University); Xu, Ruochong (Nanjing University); Wang, Xuantong (Nanjing University); Lei, Lili (Nanjing University); Schartup, Amina T. (Scripps Institution of Oceanography); Peng, Yiming (Nanjing University); Pang, Qiaotong (Nanjing University); Luijendijk, Arjen (TU Delft Coastal Engineering; Deltares)","","2023","Correction to: Nature Communications, published online 13 March 2023 The original version of this Article contained an error in Fig. 2, in which c was incorrectly described as “middle scenario” where it should have been described as “low scenario”. The correct version of Fig. 2 is: (Figure presented.) which replaces the previous incorrect version: (Figure presented.) This has been corrected in both the PDF and HTML versions of the Article.","","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:379931e9-59cc-4ccc-8096-430e3f1520c7","http://resolver.tudelft.nl/uuid:379931e9-59cc-4ccc-8096-430e3f1520c7","Scoping cost and abatement metrics for biomass with carbon capture and storage — the example of bioCCS in cement","Blok, K. (TU Delft Energie and Industrie); Ramirez, Andrea (TU Delft Energie and Industrie); Tanzer, Samantha Eleanor (TU Delft Energie and Industrie)","","2023","Negative emission technologies such as biomass with carbon capture and storage (bioCCS) may become an important instrument to limit global warming. Currently, estimates of CO2 avoidance cost for bioCCS vary widely. Using a case study of a cement plant, this paper illustrates how this variance is partially attributable to the system boundary choices made by modellers. The estimated avoidance cost for the bioCCS-in-cement plant ranged from 48-321€2017/t CO2(eq) and the net CO2(eq) from -660 to 16 kg CO2(eq)/t cement, without any change in the technological model, equipment and input costs, or lifecycle emissions, but by changing the system boundaries used for cost and emission accounting, reflecting the different boundaries seen in bioCCS literature. To allow for more comparable bioCCS cost estimates, studies should always account for costs and emissions of both biomass production and the full chain of carbon capture, transport, and permanent storage, as both are fundamental to the role of bioCCS as a potential “negative emission technology”. We also advocate for clear decomposition of metrics, separation of “avoided emissions” from physical flows of greenhouse gases; and explicit consideration of the temporality of the bioCCS system. With these guidelines, the range of avoidance cost of the bioCCS-in-cement plant shrinks to 157-193€2017/t CO2(eq) for near-term estimates and to 89-107€2017/t CO2(eq) for longer-term estimates.","BECCS; bioenergy with carbon capture and storage; cement; CO avoidance cost; negative emission technologies; system boundaries","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:9404a6e4-6684-4dd4-97c4-7d205f1bea23","http://resolver.tudelft.nl/uuid:9404a6e4-6684-4dd4-97c4-7d205f1bea23","Study of phase behavior of epoxy asphalt binders using differential scanning calorimetry","Apostolidis, P. (TU Delft Pavement Engineering); Elwardany, Michael (Florida State University); Andriescu, Adrian (Turner-Fairbank Highway Research Center); Mensching, David J. (Turner-Fairbank Highway Research Center); Youtcheff, Jack (Turner-Fairbank Highway Research Center)","","2023","Glass transition parameters can be used to study the miscibility, or lack of it, in polymer-modified asphalt binders. In this study, the contribution of thermodynamics of mixing to glass transition was quantitatively assessed in a differential scanning calorimeter for four asphalt binders partially and fully replaced by an epoxy system. The values of heat capacity (Cp) and, subsequently the glass transition temperature (Tg) of all binders were determined to quantify the miscibility based on the entropic changes. Emphasis was also given to examining the enthalpy of mixing as a function of epoxy system composition during curing to ensure that these binders were completely crosslinked for further analyses. In all cases, the positive deviations of the measured Tg of epoxy-modified asphalt binders (Tg,mix) obtained from the ideal mixing rule led to negative values of the entropy of mixing (ΔSmixc), dictating the presence of internal repulsive forces between the asphalt and epoxy components. Softer binders were associated with binders of low deviations of Tg,mix values from the ideal mixing rule. Lastly, the partial replacement of asphalt binders by the epoxy system increased the Tg and decreased the amount of ΔSmixc, and such performance imposes the formation of immiscible products.","Differential scanning calorimetry; Glass transition; Miscibility; Phase behavior","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:2da7167f-d61e-483b-9de3-8b25900727b6","http://resolver.tudelft.nl/uuid:2da7167f-d61e-483b-9de3-8b25900727b6","A Bayesian model for quantifying errors in citizen science data: application to rainfall observations from Nepal","Eisma, J.A. (University of Texas at Arlington); Schoups, G.H.W. (TU Delft Water Resources); Davids, Jeffrey C. (California State University, Chico; Davids Engineering); van de Giesen, N.C. (TU Delft Water Resources)","","2023","High-quality citizen science data can be instrumental in advancing science toward new discoveries and a deeper understanding of under-observed phenomena. However, the error structure of citizen scientist (CS) data must be well-defined. Within a citizen science program, the errors in submitted observations vary, and their occurrence may depend on CS-specific characteristics. This study develops a graphical Bayesian inference model of error types in CS data. The model assumes that (1) each CS observation is subject to a specific error type, each with its own bias and noise, and (2) an observation's error type depends on the static error community of the CS, which in turn relates to characteristics of the CS submitting the observation. Given a set of CS observations and corresponding ground-truth values, the model can be calibrated for a specific application, yielding (i) number of error types and error communities, (ii) bias and noise for each error type, (iii) error distribution of each error community, and (iv) the single error community to which each CS belongs. The model, applied to Nepal CS rainfall observations, identifies five error types and sorts CSs into four static, model-inferred communities. In the case study, 73 % of CSs submitted data with errors in fewer than 5 % of their observations. The remaining CSs submitted data with unit, meniscus, unknown, and outlier errors. A CS's assigned community, coupled with model-inferred error probabilities, can identify observations that require verification and provides an opportunity for targeted re-training of CSs based on mistake tendencies.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:3a322a4a-a2bf-4dd8-b2af-e15c8a195844","http://resolver.tudelft.nl/uuid:3a322a4a-a2bf-4dd8-b2af-e15c8a195844","A data driven approach to update public transport service elasticities","Wong, Howard (Transport for London; University College London (UCL)); Yap, M.D. (TU Delft Transport and Planning; Transport for London)","","2023","Understanding the passenger demand impacts of public transport service changes is a fundamental aspect of transport planning. The main objective of this study is to derive an updated Generalised Journey Time (GJT) elasticity for urban and metropolitan public transport networks, by applying a revealed preference approach using individual passenger journey data. Based on more than 25 million empirical journeys subject to 9 different service interventions within the Greater London area, we find an average GJT elasticity of −0.61. The value implies that for every 1% increase in generalised journey time, on average public transport demand is expected to reduce by 0.61%, and vice versa. We also find that the demand response to service changes is most elastic during the midday period between the peak hours and most inelastic during the AM peak and early morning, possibly caused by a higher share of mandatory journeys. Our study results confirm the existence of a build-up rate from the initial short-run elasticity to a somewhat stronger longer-run elasticity. Besides, we find that at least within the short- and medium-term demand is more elastic to service degradations compared to service improvements. Our findings imply that it requires more time for demand to increase in response to a service quality improvement, compared to demand to decrease after a service quality reduction.","Elasticity; Generalised journey time; Public transport; Revealed preference; Smartcard data","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:54bbcefc-2ba5-410f-95f5-5300f20f59c0","http://resolver.tudelft.nl/uuid:54bbcefc-2ba5-410f-95f5-5300f20f59c0","基于不同颗粒形态模拟方法的道砟三轴试样变形特性对比分析","Xue, Li Hua (Tongji University); Xiao, Jun Hua (Tongji University); Zhang, De (Shanghai Road and Bridge (Group) Co. Ltd); Guo, Y. (TU Delft Railway Engineering); Chen, Bing Wen (Tongji University)","","2023","Particle shape plays an essential role in deformation characteristics of railway ballast bed. The numerical reconstruction of ballast morphological features, including overall shape and angular distribution, remains a hot issue in research on ballast mechanical behavior simulation. A novel shape reconstruction method was adopted to generate ballast particles that met the desired probability density distribution of morphological indices. On this basis, the numerical model of ballast triaxial tests were established under different confining pressures. The results were compared with those obtained from indoor tests and simulations whose particles were generated from 3D scanning or non-statistical random generation. The results show that the particle shape has a growing effect on the mechanical response of ballast, with an increase in confining pressure. The relation between deviatoric stress and axial strain in the specimen which meets the probability density distribution is more consistent with the experimental results than that of the non-statistical randomly generated specimen. The lateral deformation of ballast is correlated with the adjustment of the packing structure. For non-statistical randomly generated specimen, both the lateral deformation and the particle adjustment are larger than those generated by 3D scanning. The ballast contact force evolution is less influenced by its morphological features. Nevertheless, the difference in the maximum contact force of specimens with various particle shapes is nearly 50%.","ballast; deformation characteristic; discrete element-finite element coupling model; morphological statistical feature; triaxial test","zh","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-10-01","","","Railway Engineering","","",""
"uuid:72baedb9-6a4d-48c8-95b7-10225db441ca","http://resolver.tudelft.nl/uuid:72baedb9-6a4d-48c8-95b7-10225db441ca","Using ChatGPT for human–computer interaction research: a primer","Tabone, W. (TU Delft Human-Robot Interaction); de Winter, J.C.F. (TU Delft Human-Robot Interaction)","","2023","ChatGPT could serve as a tool for text analysis within the field of Human–Computer Interaction, though its validity requires investigation. This study applied ChatGPT to: (1) textbox questionnaire responses on nine augmented-reality interfaces, (2) interview data from participants who experienced these interfaces in a virtual simulator, and (3) transcribed think-aloud data of participants who viewed a real painting and its replica. Using a hierarchical approach, ChatGPT produced scores or summaries of text batches, which were then aggregated. Results showed that (1) ChatGPT generated sentiment scores of the interfaces that correlated extremely strongly (r > 0.99) with human rating scale outcomes and with a rule-based sentiment analysis method (criterion validity). Additionally, (2) by inputting automatically transcribed interviews to ChatGPT, it provided meaningful meta-summaries of the qualities of the interfaces (face validity). One meta-summary analysed in depth was found to have substantial but imperfect overlap with a content analysis conducted by an independent researcher (criterion validity). Finally, (3) ChatGPT's summary of the think-aloud data highlighted subtle differences between the real painting and the replica (face validity), a distinction corresponding with a keyword analysis (criterion validity). In conclusion, our research indicates that, with appropriate precautions, ChatGPT can be used as a valid tool for analysing text data.","application programming interface (API); reproducibility; prompt engineering; human-subject research","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:b8ce037d-72e7-468a-927e-06bef2f3f081","http://resolver.tudelft.nl/uuid:b8ce037d-72e7-468a-927e-06bef2f3f081","Two-stage automated diagnosis framework for urogenital schistosomiasis in microscopy images from low-resource settings","Oyibo, P.O. (TU Delft Team Michel Verhaegen; University of Lagos); Meulah, Brice (Leiden University Medical Center; Centre de Recherches Medicales des Lambaréné, Lambarene); Bengtson, Michel (Leiden University Medical Center); Lieshout, Lisette van (Leiden University Medical Center); Oyibo, Wellington (University of Lagos); Diehl, J.C. (TU Delft Design for Sustainability); Vdovin, Gleb (TU Delft Team Michel Verhaegen); Agbana, T.E. (TU Delft Team Michel Verhaegen)","","2023","Purpose: Automated diagnosis of urogenital schistosomiasis using digital microscopy images of urine slides is an essential step toward the elimination of schistosomiasis as a disease of public health concern in Sub-Saharan African countries. We create a robust image dataset of urine samples obtained from field settings and develop a two-stage diagnosis framework for urogenital schistosomiasis.
Approach: Urine samples obtained from field settings were captured using the Schistoscope device, and S. haematobium eggs present in the images were manually annotated by experts to create the SH dataset. Next, we develop a two-stage diagnosis framework, which consists of semantic segmentation of S. haematobium eggs using the DeepLabv3-MobileNetV3 deep convolutional neural network and a refined segmentation step using ellipse fitting approach to approximate the eggs with an automatically determined number of ellipses. We defined two linear inequality constraints as a function of the overlap coefficient and area of a fitted ellipses. False positive diagnosis resulting from over-segmentation was further minimized using these constraints. We evaluated the performance of our framework on 7605 images from 65 independent urine samples collected from field settings in Nigeria, by deploying our algorithm on an Edge AI system consisting of Raspberry Pi + Coral USB accelerator.
Result: The SH dataset contains 12,051 images from 103 independent urine samples and the developed urogenital schistosomiasis diagnosis framework achieved clinical sensitivity, specificity, and precision of 93.8%, 93.9%, and 93.8%, respectively, using results from an experienced microscopist as reference.
Conclusion: Our detection framework is a promising tool for the diagnosis of urogenital schistosomiasis as our results meet the World Health Organization target product profile requirements for monitoring and evaluation of schistosomiasis control programs.
The observations and the work one makes in light of this are not enactments or realisations of theory. Rather, aspects of that theory consciously and unconsciously become part of one’s world-view, and find themselves embedded in what one says, writes, teaches, proposes, and makes. They accumulate. And as one finds one’s practice, through necessity, needing to use various means and media, needing to appear and engage in different actual and discursive contexts, one’s points of reference or guidance in other practices, discourses, and texts are correspondingly, inevitably, varying, diversified, eclectic.
This paper proposes a chronology of exchanges between theory and acts within my own multi-disciplinary practice, beginning in 1964, before I was aware of the very idea of either theory or practice, but conscious of a world of relations.","","en","journal article","","","","","","#3 Interfere - ISBN 978-88-6242-417-2","","2024-04-01","","","Situated Architecture","","",""
"uuid:b0ec964f-e7b9-4765-bb4a-e5abee194751","http://resolver.tudelft.nl/uuid:b0ec964f-e7b9-4765-bb4a-e5abee194751","CRI-SPA: a high-throughput method for systematic genetic editing of yeast libraries","Cachera, Paul (Technical University of Denmark); Olsson, Helén (Technical University of Denmark); Coumou, Hilde (Technical University of Denmark); Jensen, Mads L. (Technical University of Denmark); Sánchez, Benjamín J. (Technical University of Denmark); Strucko, Tomas (Technical University of Denmark); van den Broek, M.A. (TU Delft BT/Industriele Microbiologie); Daran, J.G. (TU Delft BT/Industriele Microbiologie); Jensen, Michael K. (Technical University of Denmark); Sonnenschein, Nikolaus (Technical University of Denmark); Lisby, Michael (University of Copenhagen); Mortensen, Uffe H. (Technical University of Denmark)","","2023","Biological functions are orchestrated by intricate networks of interacting genetic elements. Predicting the interaction landscape remains a challenge for systems biology and new research tools allowing simple and rapid mapping of sequence to function are desirable. Here, we describe CRI-SPA, a method allowing the transfer of chromosomal genetic features from a CRI-SPA Donor strain to arrayed strains in large libraries of Saccharomyces cerevisiae. CRI-SPA is based on mating, CRISPR-Cas9-induced gene conversion, and Selective Ploidy Ablation. CRI-SPA can be massively parallelized with automation and can be executed within a week. We demonstrate the power of CRI-SPA by transferring four genes that enable betaxanthin production into each strain of the yeast knockout collection (≈4800 strains). Using this setup, we show that CRI-SPA is highly efficient and reproducible, and even allows marker-free transfer of genetic features. Moreover, we validate a set of CRI-SPA hits by showing that their phenotypes correlate strongly with the phenotypes of the corresponding mutant strains recreated by reverse genetic engineering. Hence, our results provide a genome-wide overview of the genetic requirements for betaxanthin production. We envision that the simplicity, speed, and reliability offered by CRI-SPA will make it a versatile tool to forward systems-level understanding of biological processes.","","en","journal article","","","","","","","","","","","BT/Industriele Microbiologie","","",""
"uuid:587e0b9c-c654-4309-b23a-b8e95e0db9fc","http://resolver.tudelft.nl/uuid:587e0b9c-c654-4309-b23a-b8e95e0db9fc","Analysing the elevation-distributed hydro-climatic regime of the snow covered and glacierised Hunza Basin in the upper Indus","Nazeer, A. (TU Delft Water Resources; Bahauddin Zakariya University; IHE Delft Institute for Water Education); Maskey, Shreedhar (IHE Delft Institute for Water Education); Skaugen, Thomas (Norwegian Water Resources and Energy Directorate); McClain, M.E. (TU Delft Water Resources; IHE Delft Institute for Water Education)","","2023","In the high altitude Hindukush Karakoram Himalaya (HKH) mountains, the complex weather system, inaccessible terrain and sparse measurements make the elevation-distributed precipitation and temperature among the most significant unknowns. The elevation-distributed snow and glacier dynamics in the HKH region are also little known, leading to serious concerns about the current and future water availability and management. The Hunza Basin in the HKH region is a scarcely monitored, and snow- and glacier-dominated part of the Upper Indus Basin (UIB). The current study investigates the elevation-distributed hydrological regime in the Hunza Basin. The Distance Distribution Dynamics (DDD) model, with a degree day and an energy balance approach for simulating glacial melt, is forced with precipitation derived from two global datasets (ERA5-Land and JRA-55). The mean annual precipitation for 1997–2010 is estimated as 947 and 1,322 mm by ERA5-Land and JRA-55, respectively. The elevation-distributed precipitation estimates showed that the basin receives more precipitation at lower elevations. The daily river flow is well simulated, with KGE ranging between 0.84 and 0.88 and NSE between 0.80 and 0.82. The flow regime in the basin is dominated by glacier melt (45%–48%), followed by snowmelt (30%–34%) and rainfall (21%–23%). The simulated snow cover area (SCA) is in good agreement with the MODIS satellite-derived SCA. The elevation-distributed glacier melt simulation suggested that the glacial melt is highest at the lower elevations, with a maximum in the elevation 3,218–3,755 masl (14%–21% of total melt). The findings improve the understanding of the local hydrology by providing helpful information about the elevation-distributed meltwater contributions, water balance and hydro-climatic regimes. The simulation showed that the DDD model reproduces the hydrological processes satisfactorily for such a data-scarce basin.","distance distribution dynamics (DDD); energy balance; ERA5-land; Upper Indus Basin (UIB); elevation-distributed","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:66235731-9716-43e9-9f4e-89973c275687","http://resolver.tudelft.nl/uuid:66235731-9716-43e9-9f4e-89973c275687","Unravelling governmentality in project ecologies","Clegg, Stewart (University of Sydney); Ninan, Dr Johan (TU Delft Integral Design & Management)","","2023","Under the rubric of project governance, governmentality has been defined as a general mode of governing people in projects, whether these projects are organized in an authoritarian, liberal, or neo-liberal mode in their approach to authority relations. We argue that governmentality is a specifically neo-liberal form of social integration, one that stresses the freedom of its subjects, and discuss how it extends governance beyond enforcing contracts and includes all stakeholders. Examples of governmentality in the modern era of projects are discussed as a proactive strategy conceptualized in five contexts in which the concept of governmentality, as governing through freedoms, has been applied in project ecologies. These include governance by contract, governance by alliancing, governance by influence, governance by co-optation, and governance by incorporation. The degree of governmentality in play increases through the sequence.","Governmentality; Project governance; Foucault; Neo-liberal; Rio Tinto; East Kimberley Clean Energy project","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:0678b6f9-3e1d-47f7-b6f0-5c34ff3fc5d9","http://resolver.tudelft.nl/uuid:0678b6f9-3e1d-47f7-b6f0-5c34ff3fc5d9","Megaproject and the city: Theorizing social media discourses across the lifecycle of an infrastructure project","Ninan, Dr Johan (TU Delft Integral Design & Management); Yadav, Rupesh (Samsung Research and Development, Bangalore)","","2023","Managing the perception of project communities is critical to the success of infrastructure megaprojects. This study focuses on the Nagpur metro rail project in India to understand people's experiences and discourses in the pre-construction, construction, and operation phases. We use qualitative content analysis and open coding of the tweets from five years covering the lifecycle of the project to understand the discourses. The study identifies focus areas such as improving customer experience, sustainability, value for money, and embracing the local community. It also highlights the importance of effective communication and raising awareness to address community concerns throughout the lifecycle. The study provides a framework for using social media for community engagement over the megaproject's lifespan. This research can help megaproject management teams plan efficiently and create a positive perception of their projects.","Lifecycle; Megaprojects; City; Project community; Social media; Perception","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:31477839-8c11-493c-91e5-82e516b5cc21","http://resolver.tudelft.nl/uuid:31477839-8c11-493c-91e5-82e516b5cc21","Fault Location Algorithm for Multi-Terminal Radial Medium Voltage DC Microgrid","Nougain, V. (TU Delft Intelligent Electrical Power Grids); Mishra, Sukumar (Indian Institute of Technology Delhi); Nag, Soumya Shubhra (Indian Institute of Technology Delhi); Lekić, A. (TU Delft Intelligent Electrical Power Grids)","","2023","Accurately locating the fault helps in the rapid restoration of the isolated line back into the system. This article proposes a novel communication-based multi-terminal method to locate the fault in a radial medium voltage DC (MVDC) microgrid. A time-domain based algorithm is proposed which applies to an MVDC system with different possible combinations of lines and cables. Terminal measurements of voltages and currents, voltages across current limiting reactor (CLR), and node currents are used to propose a flexible online fault location method. Based on the availability of communication and sensors, different terminals can be used to increase the reliability of the proposed fault location method. This method is robust to variations of key implementation parameters like type of faults, fault resistance, fault location, sampling frequency, white Gaussian noise (WGN) in measurement, and different line/cable combinations. Further, the fault location calculation is analyzed with parameter variation. PSCAD/EMTDC based electromagnetic transient simulations are used to validate the performance of the algorithm.","Power system protection; microgrids; power distribution faults; fault location","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-22","","","Intelligent Electrical Power Grids","","",""
"uuid:9837ed79-df1a-48bc-a487-674d2977ff33","http://resolver.tudelft.nl/uuid:9837ed79-df1a-48bc-a487-674d2977ff33","Simulating for sustainability: Alternative operating strategies for energy efficiency","de Boer, Gerben (Van Oord); van Halem, J.P. (Van Oord); van Koningsveld, M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Baart, F. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); de Niet, Arie (Witteveen+Bos); Moth, Luke (Witteveen+Bos); Klein Schaarsberg, Frank (Witteveen+Bos); Sepehri, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering)","","2023","In the 2015 Paris agreement, countries committed to implementing measures to reduce greenhouse gas emissions to limit global warming. For the maritime industry specifically, the International Maritime Organization (IMO) has proposed measures for energy efficiency of vessels and candidate measures regarding fuel choice and speed optimisation. This article aims to contribute to the latter by showing how logistical simulations can be used to optimise fleet operations. We will illustrate this in the form of a conceptual case using one cutter and a range of barge fleets. Running simulations with all possible fleets, we will demonstrate the value of extra energy-based alternatives to challenge the fastest, cheapest and most flexible alternatives.","","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:c5a241a1-3361-4ca9-8567-95ff8e5b58d8","http://resolver.tudelft.nl/uuid:c5a241a1-3361-4ca9-8567-95ff8e5b58d8","In-depth magnetometry and EPR analysis of the spin structure of human-liver ferritin: from DC to 9 GHz","Bossoni, Lucia (Leiden University Medical Center); Labra Muñoz, J. (TU Delft QN/van der Zant Lab; Universiteit Leiden; Kavli institute of nanoscience Delft); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Čaluković, Vera (Universiteit Leiden); Lefering, A.J.E. (TU Delft RST/Fundamental Aspects of Materials and Energy); Egli, Ramon (GeoSphere Austria); Huber, Martina (Universiteit Leiden)","","2023","Ferritin, the major iron storage protein in organisms, stores iron in the form of iron oxyhydroxide most likely involving phosphorous as a constituent, the mineral form of which is not well understood. Therefore, the question of how the ca. 2000 iron atoms in the ferritin core are magnetically coupled is still largely open. The ferritin core, with a diameter of 5–8 nm, is encapsulated in a protein shell that also catalyzes the uptake of iron and protects the core from outside interactions. Neurodegenerative disease is associated with iron imbalance, generating specific interest in the magnetic properties of ferritin. Here we present 9 GHz continuous wave EPR and a comprehensive set of magnetometry techniques including isothermal remanent magnetization (IRM) and AC susceptibility to elucidate the magnetic properties of the core of human liver ferritin. For the analysis of the magnetometry data, a new microscopic model of the ferritin-core spin structure is derived, showing that magnetic moment is generated by surface-spin canting, rather than defects. The analysis explicitly includes the distribution of magnetic parameters, such as the distribution of the magnetic moment. This microscopic model explains some of the inconsistencies resulting from previous analysis approaches. The main findings are a mean magnetic moment of 337μB with a standard deviation of 0.947μB. In contrast to previous reports, only a relatively small contribution of paramagnetic and ferrimagnetic phases is found, in the order of maximally 3%. For EPR, the over 30 mT wide signal of the ferritin core is analyzed using the model of the giant spin system [Fittipaldi et al., Phys. Chem. Chem. Phys., 2016, 18, 3591–3597]. Two components are needed minimally, and the broadening of these components suggests a broad distribution of the magnetic resonance parameters, the zero-field splitting, D, and the spin quantum number, S. We compare parameters from EPR and magnetometry and find that EPR is particularly sensitive to the surface spins of the core, revealing the potential to use EPR as a diagnostic for surface-spin disorder.","","en","journal article","","","","","","","","","","","QN/van der Zant Lab","","",""
"uuid:2bc020a9-c5a4-49e4-aa74-615dba20d234","http://resolver.tudelft.nl/uuid:2bc020a9-c5a4-49e4-aa74-615dba20d234","Dynamic ParB–DNA interactions initiate and maintain a partition condensate for bacterial chromosome segregation","Tišma, M. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Janissen, R. (TU Delft BN/Bionanoscience; Kavli institute of nanoscience Delft); Antar, Hammam (University of Lausanne); Martin Gonzalez, A. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Barth, R. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Beekman, T.G.T. (Student TU Delft); van der Torre, J. (TU Delft BN/Cees Dekker Lab); Michieletto, Davide (University of Edinburgh); Gruber, Stephan (University of Lausanne); Dekker, C. (TU Delft BN/Cees Dekker Lab)","","2023","In most bacteria, chromosome segregation is driven by the ParABS system where the CTPase protein ParB loads at the parS site to trigger the formation of a large partition complex. Here, we present in vitro studies of the partition complex for Bacillus subtilis ParB, using single-molecule fluorescence microscopy and AFM imaging to show that transient ParB–ParB bridges are essential for forming DNA condensates. Molecular Dynamics simulations confirm that condensation occurs abruptly at a critical concentration of ParB and show that multimerization is a prerequisite for forming the partition complex. Magnetic tweezer force spectroscopy on mutant ParB proteins demonstrates that CTP hydrolysis at the N-terminal domain is essential for DNA condensation. Finally, we show that transcribing RNA polymerases can steadily traverse the ParB–DNA partition complex. These findings uncover how ParB forms a stable yet dynamic partition complex for chromosome segregation that induces DNA condensation and segregation while enabling replication and transcription.","OA-Fund TU Delft","en","journal article","","","","","","","","","","BN/Bionanoscience","BN/Cees Dekker Lab","","",""
"uuid:23d5bdf2-08ba-4b01-a754-d4367052c55e","http://resolver.tudelft.nl/uuid:23d5bdf2-08ba-4b01-a754-d4367052c55e","Broadband EPR Spectroscopy of the Triplet State: Multi-Frequency Analysis of Copper Acetate Monohydrate","Hagen, W.R. (TU Delft BT/Biocatalysis)","","2023","Electron paramagnetic resonance spectroscopy is a long-standing method for the exploration of electronic structures of transition ion complexes. The difficulty of its analysis varies considerably, not only with the nature of the spin system, but more so with the relative magnitudes of the magnetic interactions to which the spin is subject, where particularly challenging cases ensue when two interactions are of comparable magnitude. A case in point is the triplet system S = 1 of coordination complexes with two unpaired electrons when the electronic Zeeman interaction and the electronic zero-field interaction are similar in strength. This situation occurs in the X-band spectra of the thermally excited triplet state of dinuclear copper(II) complexes, exemplified by copper acetate monohydrate. In this study, applicability of the recently developed low-frequency broadband EPR spectrometer to S = 1 systems is investigated on the analysis of multi-frequency, 0.5–16 GHz, data from [Cu(CH3COO)2H2O]2. Global fitting affords the spin Hamiltonian parameters gz = 2.365 ± 0.008; gy = 2.055 ± 0.010; gx = 2.077 ± 0.005; Az = 64 gauss; D = 0.335 ± 0.002 cm−1; E = 0.0105 ± 0.0003 cm−1. The latter two define zero-field absorptions at ca. 630, 7730, and 10,360 MHz, which show up in the spectra as one half of a sharpened symmetrical line. Overall, the EPR line shape is Lorentzian, reflecting spin-lattice relaxation, which is a combination of an unusual, essentially temperature-independent, inverted Orbach process via the S = 0 ground state, and a Raman process proportional to T2. Other broadening mechanisms are limited to at best minor contributions from a distribution in E values, and from dipolar interaction with neighboring copper pairs. Monitoring of a first-order double-quantum transition between 8 and 35 GHz shows a previously unnoticed very complex line shape behavior, which should be the subject of future research.","broadband EPR; triplet state; copper acetate monohydrate; dinuclear copper complex; inverted Orbach relaxation; Raman relaxation; zero-field parameter distribution; dipolar interaction; zero-field transition; double-quantum transition","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:680c1f04-d875-4809-9e50-8140d63527de","http://resolver.tudelft.nl/uuid:680c1f04-d875-4809-9e50-8140d63527de","Electrowetting limits electrochemical CO2 reduction in carbon-free gas diffusion electrodes","Baumgartner, L.M. (TU Delft ChemE/Transport Phenomena); Goryachev, A. (TU Delft ChemE/Transport Phenomena); Koopman, C.I. (TU Delft ChemE/Transport Phenomena); Franzen, David (Technische Universität Clausthal); Ellendorff, Barbara (Technische Universität Clausthal); Turek, Thomas (Technische Universität Clausthal); Vermaas, D.A. (TU Delft ChemE/Transport Phenomena)","","2023","CO2 electrolysis might be a key process to utilize intermittent renewable electricity for the sustainable production of hydrocarbon chemicals without relying on fossil fuels. Commonly used carbon-based gas diffusion electrodes (GDEs) enable high Faradaic efficiencies for the desired carbon products at high current densities, but have limited stability. In this study, we explore the adaption of a carbon-free GDE from a Chlor-alkali electrolysis process as a cathode for gas-fed CO2 electrolysis. We determine the impact of electrowetting on the electrochemical performance by analyzing the Faradaic efficiency for CO at industrially relevant current density. The characterization of used GDEs with X-ray photoelectron spectroscopy (XPS) and X-Ray diffraction (XRD) reveals a potential-dependent degradation, which can be explained through chemical polytetrafluorethylene (PTFE) degradation and/or physical erosion of PTFE through the restructuring of the silver surface. Our results further suggest that electrowetting-induced flooding lets the Faradaic efficiency for CO drop below 40% after only 30 min of electrolysis. We conclude that the effect of electrowetting has to be managed more carefully before the investigated carbon-free GDEs can compete with carbon-based GDEs as cathodes for CO2 electrolysis. Further, not only the conductive phase (such as carbon), but also the binder (such as PTFE), should be carefully selected for stable CO2 reduction.","","en","journal article","","","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:e8cf2e5a-cea2-41e1-8148-3a4193e37e9a","http://resolver.tudelft.nl/uuid:e8cf2e5a-cea2-41e1-8148-3a4193e37e9a","Quantification of Effective Flow Resistivity for Parametric Assessment of Pervious Concrete by Using Ultrasonic Pulse Velocity Method","Singh, Avishreshth (TU Delft Pavement Engineering); Biligiri, Krishna Prapoorna (Indian Institute of Technology Tirupati); Sampath, Prasanna Venkatesh (Indian Institute of Technology Tirupati)","","2023","The use of nondestructive ultrasonic pulse velocity (UPV) testing to assess the hardened properties of pervious concrete (PC) mixtures is an emerging research area. Further, UPV has been successfully used to determine the effective flow resistivity (EFR) of asphalt concrete and cement concrete pavements. However, no research studies have focused on understanding PC characteristics using EFR. Thus, the major objectives of this study were to assess the suitability of UPV testing for characterizing PC mixtures and to quantify their EFR, which is a measure of the material’s characteristic impedance and is dependent on the mix variables along with porosity. Thirty-six control and sand-modified PC mixtures were prepared with four aggregate gradations, and three levels each of water-to-cement (w/c) and aggregate-to-cement (a/c) ratios. Test results indicated that EFR was significantly dependent on the mix variables, with aggregate gradation being the most influential factor (six and eight times higher than w/c and a/c ratios, respectively). Lower EFR or higher sound absorption capacity was reported for PC with higher porosities. The sand-modified PC mixtures had higher EFR (by 4%–12%) than the control PC, and consequently lower sound absorption capacity, attributed to the presence of mortar that densified the mixes. Further, good-to-excellent correlations were obtained for various PC properties with UPV and EFR, which underscored the potential of UPV in characterizing PC. The major contribution of this research was the development of a simple, fast, and cost-effective approach, which can be suitably adopted as a quality-control test to determine PC mixture properties.","dynamic modulus of elasticity; effective flow resistivity; material properties; nondestructive tests; Pervious concrete; ultrasonic pulse velocity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-04","","","Pavement Engineering","","",""
"uuid:16bee2cf-2e80-4398-bb9f-353cb9e5abc6","http://resolver.tudelft.nl/uuid:16bee2cf-2e80-4398-bb9f-353cb9e5abc6","Erratum: Breaking free: endocytosis and endosomal escape of extracellular vesicles (Extracell Vesicles Circ Nucleic Acids 2023;4:530-1)","Ribovski, Laís (University Medical Center Groningen); Joshi, B. (TU Delft BN/Chirlmin Joo Lab; Kavli institute of nanoscience Delft); Gao, Jie (University Medical Center Groningen); Zuhorn, Inge S. (University Medical Center Groningen)","","2023","The authors want to make the following corrections to this paper[1]. In the section “Intracellular trafficking of EVs”, the citations after the sentence “Using another cell-free assay, Morandi et al. provided interesting insights into the process of endosomal fusion in EV cargo release”and “Murphy et al. tackled this question by comparing an FDA-approved cutting-edge lipid nanoparticle (LNP) formulation with EVs in terms of uptake and cargo (specifically gRNA) delivery” were missed. Correctly modify as follows: Using another cell-free assay, Morandi et al. provided interesting insights into the process of endosomal fusion in EV cargo release[22,68,87]. Murphy et al. tackled this question by comparing an FDA-approved cutting-edge lipid nanoparticle (LNP) formulation with EVs in terms of uptake and cargo (specifically gRNA) delivery[135]. Corresponding subsequent citation numbers also need to be adjusted: reference 140 is changed to reference 135, reference 135 is changed to reference 136, reference 136 is changed to reference 137, reference 137 is changed to reference 138, reference 138 is changed to reference 139, and reference 139 is changed to reference 140. We apologize for any inconvenience caused and state that the scientific conclusions are unaffected. The original article has been updated.","","en","journal article","","","","","","","","","","","BN/Chirlmin Joo Lab","","",""
"uuid:3dfb4bfb-365a-4c5d-b4e5-0933314ff70f","http://resolver.tudelft.nl/uuid:3dfb4bfb-365a-4c5d-b4e5-0933314ff70f","Using design thinking to explore teaching problems in Chilean schools","Bravo, Úrsula (Universidad del Desarrollo); Cortés, Catalina (Universidad del Desarrollo); Lloyd, P.A. (TU Delft Methodologie en Organisatie van Design); Jones, Derek (Open University)","","2023","Educational systems face increasingly complex demands, confronting teachers with multidimensional people-centred problems rarely solved by linear or standardised solutions. Nevertheless, teachers must juggle multiple variables simultaneously in their daily work. This can lead to routine and unreflective decisions that do not consider unique situations. Considering that designers’ abductive reasoning could support problem-framing skills, this article discusses how a design thinking approach can contribute to developing reflective teaching practice. This case study explores how 20 Chilean teachers define, frame, and re-frame their pedagogical problems in a design-based teacher professional development programme. Findings revealed three problem-framing triggers that support teachers’ reflection: (a) collaborative discussions, (b) awareness of people and their context, and (c) visualising, making, and testing ideas. Combined, they articulate action and promote reflection, demonstrating the value of a design thinking approach in supporting teachers’ pedagogical decisions.","Chilean teachers; design thinking; problem framing and reframing; Reflective process; reflective teaching","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-10","","","Methodologie en Organisatie van Design","","",""
"uuid:210ad8ba-af22-4614-bb17-95fb2c23b971","http://resolver.tudelft.nl/uuid:210ad8ba-af22-4614-bb17-95fb2c23b971","Combined optical line-of-sight and crosslink radiometric navigation for distributed deep-space systems","Casini, S. (TU Delft Space Systems Egineering); Turan, E. (TU Delft Space Systems Egineering); Cervone, A. (TU Delft Astrodynamics & Space Missions); Monna, Bert (Phosphoenix); Visser, P.N.A.M. (TU Delft Space Engineering)","","2023","This manuscript aims to present and evaluate the applicability of combining optical line-of-sight (LoS) navigation with crosslink radiometric navigation for deep-space cruising distributed space systems. To do so, a set of four distributed space systems architectures is presented, and for each of those, the applicability of the combination is evaluated, comparing it to the baseline solutions, which are based on only optical navigation. The comparison is done by studying the performance in a circular heliocentric orbit in seven different time intervals (ranging from 2024 to 2032) and exploiting the observation of all the pairs of planets from Mercury to Saturn. The distance between spacecraft is kept around 200 km. Later, a NEA mission test case is generated in order to explore the applicability to a more realistic case. This analysis shows that the technique can also cope with a variable inter-satellite distance, and the best performance is obtained when the spacecraft get closer to each other.","","en","journal article","","","","","","","","","","Space Engineering","Space Systems Egineering","","",""
"uuid:71f44823-816d-46ec-90a6-e4f5d03ce542","http://resolver.tudelft.nl/uuid:71f44823-816d-46ec-90a6-e4f5d03ce542","A sliding mode observer approach to oscillatory fault detection in commercial aircraft","Keijzer, T. (TU Delft Team Riccardo Ferrari); Engelbrecht, J. A.A. (Stellenbosch University); Goupil, P. (Airbus Group Innovations); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari)","","2023","The Flight Control System (FCS) is one of the most important systems in all modern aircraft. For such systems it is required to have robust Fault Detection Isolation and Reconfiguration (FDIR) functionalities with high detection performance. In this work we specifically consider the Oscillatory Failure Cases (OFC), which, if not mitigated, can cause additional structural loads for which the aircraft is not designed. A Sliding Mode Observer (SMO) based detection method is proposed for fast and consistent detection of these OFC faults. A benchmark of a generic aircraft FCS equipped with OFC simulation capabilities, as well as the presented solution for detection, have previously been presented within a competition at the 2020 IFAC World Congress.","Experimental data; Fault detection; Oscillatory failure case; Sliding mode observer","en","journal article","","","","","","","","","","","Team Riccardo Ferrari","","",""
"uuid:f80a9333-0b33-4c7f-b36c-76c0900ab01d","http://resolver.tudelft.nl/uuid:f80a9333-0b33-4c7f-b36c-76c0900ab01d","Negative Photoconductivity in 2D α-MoO3/Ir Self-Powered Photodetector: Impact of Post-Annealing","Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems; Selçuk University); Zaki, Shrouk E. (Selçuk University); Rahmani, Khalid (Mohammed V University); En-nadir, Redouane (University Sidi Mohammed Ben Abdellah); Eker, Yasin Ramazan (Necmettin Erbakan University)","","2023","Surface plasmon technology is regarded as having significant potential for the enhancement of the performance of 2D oxide semiconductors, especially in terms of improving the light absorption of 2D MoO3 photodetectors. An ultrathin MoO3/Ir/SiO2/Si heterojunction Schottky self-powered photodetector is introduced here to showcase positive photoconductivity. In wafer-scale production, the initial un-annealed Mo/2 nm Ir/SiO2/Si sample displays a sheet carrier concentration of 5.76 × 1011/cm², which subsequently increases to 6.74 × 1012/cm² after annealing treatment, showing a negative photoconductivity behavior at a 0 V bias voltage. This suggests that annealing enhances the diffusion of Ir into the MoO3 layer, resulting in an increased phonon scattering probability and, consequently, an extension of the negative photoconductivity behavior. This underscores the significance of negative photoconductive devices in the realm of optoelectronic applications.","negative photoconductivity; thin film; photodetector; plasmonic; 2D oxide semiconductors","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:b933a620-7301-487c-a12e-0f87862cf962","http://resolver.tudelft.nl/uuid:b933a620-7301-487c-a12e-0f87862cf962","Serial RV wall stress measurements: association with right ventricular function in repaired Tetralogy of Fallot patients","Minderhoud, Savine C.S. (Erasmus MC); Hirsch, Alexander (Erasmus MC); Marin, Francesca (Politecnico di Milano); Kardys, Isabella (Erasmus MC); Rodriguez Matas, Jose Felix (Politecnico di Milano); Chiastra, Claudio (Politecnico di Torino); Roos-Hesselink, Jolien W. (Erasmus MC); Wentzel, Jolanda J. (Erasmus MC); Helbing, Willem A. (Erasmus MC; Radboud University Medical Center); Akyildiz, A.C. (TU Delft Medical Instruments & Bio-Inspired Technology; Erasmus MC)","","2023","Background: Optimal timing of pulmonary valve replacement (PVR) in Tetralogy of Fallot (TOF) patients remains challenging. Ventricular wall stress is considered to be an early marker of right ventricular (RV) dysfunction.
Objectives: To investigate the association of RV wall stresses and their change over time with functional parameters in TOF patients.
Methods: Ten TOF patients after surgical repair with moderate/severe pulmonary regurgitation were included. At two timepoints (median follow-up time 7.2 years), patient-specific computational biventricular models for wall stress assessment were created using CMR short-axis cine images and echocardiography-based RV pressures. RV ejection fraction (RVEF), NT-proBNP and cardiopulmonary exercise tests were used as outcome measures reflecting RV function. Associations between regional RV diastolic wall stress and RV function were investigated using linear mixed models.
Results: Increased wall stress correlated with lower RV mass (rrm = −0.70, p = 0.017) and lower RV mass-to-volume (rrm = −0.80, p = 0.003) using repeated measures. Wall stress decreased significantly over time, especially in patients with a stable RVEF (p < 0.001). Higher wall stress was independently associated with lower RVEF, adjusted for left ventricular ejection fraction, RV end-diastolic volume and time since initial surgery (decrease of 1.27% RVEF per kPa increase in wall stress, p = 0.029) using repeated measurements. No association was found between wall stress, NT-proBNP, and exercise capacity.
Conclusions: Using a computational method to calculate wall stress locally in geometrically complex ventricles, we demonstrated that lower wall stress might be important to maintain ventricular function. RV wall stress assessment can be used in serial follow-up, and is potentially an early marker of impending RV dysfunction.","Tetralogy of Fallot; right ventricle; cardiovascular magnetic resonance; computational modelling; wall stress","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:c138660f-6ecd-4730-b2d9-dd5f4eb515b5","http://resolver.tudelft.nl/uuid:c138660f-6ecd-4730-b2d9-dd5f4eb515b5","Pfaffian invariant identifies magnetic obstructed atomic insulators","Araya Day, I.M. (TU Delft QRD/Wimmer Group); Varentcova, A.S. (TU Delft QN/Akhmerov Group; Stockholm University); Varjas, D. (TU Delft QRD/Kouwenhoven Lab); Akhmerov, A.R. (TU Delft QN/Akhmerov Group)","","2023","We derive a Z4 topological invariant that extends beyond symmetry eigenvalues and Wilson loops and classifies two-dimensional insulators with a C4T symmetry. To formulate this invariant, we consider an irreducible Brillouin zone and constrain the spectrum of the open Wilson lines that compose its boundary. We fix the gauge ambiguity of the Wilson lines by using the Pfaffian at high symmetry momenta. As a result, we distinguish the four C4T-protected atomic insulators, each of which is adiabatically connected to a different atomic limit. We establish the correspondence between the invariant and the obstructed phases by constructing both the atomic limit Hamiltonians and a C4T-symmetric model that interpolates between them. The phase diagram shows that C4T insulators allow ±1 and 2 changes of the invariant, where the latter is overlooked by symmetry indicators.","","en","journal article","","","","","","","","","","","QRD/Wimmer Group","","",""
"uuid:b7e4221e-e417-4715-b4bb-f878da5f6874","http://resolver.tudelft.nl/uuid:b7e4221e-e417-4715-b4bb-f878da5f6874","The uncertainty associated with the use of copulas in multivariate analysis","Zhou, C. (TU Delft Water Resources); van Nooijen, R.R.P. (TU Delft Water Resources); Kolechkina, A.G. (TU Delft Team Bart De Schutter); Gargouri, E.F.G. (University of Tunis El Manar); Slama, Fairouz (University of Tunis El Manar); van de Giesen, N.C. (TU Delft Water Resources)","","2023","The dependency structure between hydrological variables is of critical importance to hydrological modelling and forecasting. When a copula capturing that dependence is fitted to a sample, information on the uncertainty of the fit is needed for subsequent hydrological calculations and reasoning. A new method is proposed to report inferential uncertainty in a copula parameter. The method is based on confidence curves constructed with the use of a pseudo maximum likelihood estimator for the copula parameter. The method was tested on synthetic data and then used as a tool in two hydrological examples. The first examines the probability of major floods in two locations on the Rhine River and its tributaries in the same calendar year. In the second example, rainfall–runoff from a karst region in Tunisia was analysed to determine a confidence interval for the delay between precipitation and runoff.","confidence curve; copulas; coverage probability; pseudo maximum likelihood estimator; uncertainty analysis","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:98f38505-15a3-4e56-9ae4-a71756d7fe57","http://resolver.tudelft.nl/uuid:98f38505-15a3-4e56-9ae4-a71756d7fe57","Assessment of existing concrete bridges by load testing: barriers to code implementation and proposed solutions","Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito)","","2023","As the existing bridge stock is aging, the task of assessing these bridges becomes increasingly important. One of the assessment methods for existing bridges is load testing. Improvements in the field of diagnostic load testing are related to the use of numerical models. Improvements in the field of proof load testing focus on the safety of the execution of the test as well as the required load in the test. What is still lacking is a reflection of these recent advances in the codes and guidelines used for load testing of bridges. Two approaches are proposed to address this lack. The first approach attempts to answer fundamental questions with regard to bridge load testing through research. The second approach is to coordinate efforts and facilitate collaboration and exchange of ideas internationally through the IABMAS Technical Committee on Bridge Load Testing. In conclusion, it is expected that these efforts will form the basis of improved recommendations for the assessment of concrete bridges by load testing to be included in codes and guidelines and to serve the community of engineers faced with the task of assessing ageing infrastructure.","Bridge assessment; concrete mechanics; diagnostic load testing; education; international collaboration; proof load testing; stop criteria; structural reliability; technical committees","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:d7aff262-0c9e-4cdb-a50c-c87a71fd4f25","http://resolver.tudelft.nl/uuid:d7aff262-0c9e-4cdb-a50c-c87a71fd4f25","Adopting a child perspective for exposome research on mental health and cognitive development - Conceptualisation and opportunities","Persson Waye, Kerstin (University of Gothenburg); Löve, Jesper (University of Gothenburg); Lercher, Peter (Graz University of Technology); Dzhambov, Angel M (Medical University of Plovdiv; Graz University of Technology); Klatte, Maria (University of Kaiserslautern); Schreckenberg, Dirk (Environmental and Social Research, Hagen); Psyllidis, A. (TU Delft Internet of Things); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence); Belke, Christin","","2023","Mental disorders among children and adolescents pose a significant global challenge. The exposome framework covering the totality of internal, social and physical exposures over a lifetime provides opportunities to better understand the causes of and processes related to mental health, and cognitive functioning. The paper presents a conceptual framework on exposome, mental health, and cognitive development in children and adolescents, with potential mediating pathways, providing a possibility for interventions along the life course. The paper underscores the significance of adopting a child perspective to the exposome, acknowledging children's specific vulnerability, including differential exposures, susceptibility of effects and capacity to respond; their susceptibility during development and growth, highlighting neurodevelopmental processes from conception to young adulthood that are highly sensitive to external exposures. Further, critical periods when exposures may have significant effects on a child's development and future health are addressed. The paper stresses that children's behaviour, physiology, activity pattern and place for activities make them differently vulnerable to environmental pollutants, and calls for child-specific assessment methods, currently lacking within today's health frameworks. The importance of understanding the interplay between structure and agency is emphasized, where agency is guided by social structures and practices and vice-versa. An intersectional approach that acknowledges the interplay of social and physical exposures as well as a global and rural perspective on exposome is further pointed out. To advance the exposome field, interdisciplinary efforts that involve multiple scientific disciplines are crucial. By adopting a child perspective and incorporating an exposome approach, we can gain a comprehensive understanding of how exposures impact children's mental health and cognitive development leading to better outcomes.","Conceptual framework; Child perspective; Mental health; Cognition; Exposome","en","journal article","","","","","","","","","","","Human-Centred Artificial Intelligence","","",""
"uuid:cead848a-d779-489f-a925-815800b92157","http://resolver.tudelft.nl/uuid:cead848a-d779-489f-a925-815800b92157","Unraveling the critical indicators for evaluating the high-temperature performance of rejuvenator-aged bitumen blends","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2023","This study aims to systematically investigate the influence of rejuvenator type/dosage and the aging degree of bitumen on the rutting resistance, flow behavior, and elastic/creep potential of rejuvenated bitumen at high temperatures. The rutting parameter (G*/sinδ), rutting failure temperature (RFT) from Linear viscoelastic test (LVE), zero-shear viscosity (ZSV) from flow test,
recovery percentage (R0.1, R3.2), creep compliance (Jnr0.1, Jnr3.2), and stress sensitivity parameters (Rdiff, Jnrslope) from multiple stress creep and recovery (MSCR) tests of rejuvenated bitumen are characterized. The results reveal that bio-oil rejuvenator weakens the high-temperature performance of aged bitumen maximally, followed by engine-oil and naphthenic-oil, while aromatic-oil
rejuvenated bitumen exhibits the best rutting, flow, and creep resistance. The RFT index can most effectively evaluate and differentiate the rejuvenation efficiency of various rejuvenators on the high-temperature performance, which correlates well with ZSV, R3.2, Jnr0.1, Jnr3.2, Rdiff, and Jnrslope indices. Therefore, the RFT index is recommended as the critical indicator for evaluating.
the high-temperature performance of rejuvenated binders. The flow and MSCR characteristics of rejuvenated bitumen can be predicted based on RFT values. The determination of critical indicators is beneficial to compare the rejuvenation effectiveness of variable rejuvenators on the high-temperature performance of aged bitumen.","High-temperature performance; Critical evaluation indicators; Rejuvenated bitumen; Rejuvenator type/dosage; Bitumen aging level","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:4a17860a-a7c1-4a4e-9e88-7730365cc021","http://resolver.tudelft.nl/uuid:4a17860a-a7c1-4a4e-9e88-7730365cc021","Influence of build angles on thin-wall geometry and surface texture in laser powder directed energy deposition","Gradl, P.R. (NASA Marshall Space Flight Center); Cervone, A. (TU Delft Astrodynamics & Space Missions); Colonna, Piero (TU Delft Flight Performance and Propulsion)","","2023","Metal additive manufacturing (AM) is being used for mission-critical applications in both developmental and production components, driven by economic and technical benefits. Laser powder directed energy deposition (LP-DED) allows manufacturing of thin wall geometric features for various components at diameters larger than 2 m. The characterization of geometric capabilities and limitations is critical for establishing guidelines for end users of the technology. Within this study, several samples of enclosed vertical tracks were fabricated and characterized using LP-DED, with 1 mm-thick walls and varying inclination angles up to 45° using the NASA HR-1 alloy (Fe-Ni-Cr). The wall thickness, melt pool, and surface texture, inclusive of waviness and roughness, were evaluated and results presented. The experimental results indicate that the wall thickness increases exponentially above 30°. The surface texture was shown to be dependent on 1) excess powder adherence, 2) melt pool irregularities causing material droop, and 3) excess material. The experiment revealed that the mean roughness reduces with increasing wall angle for the downskin surface. The upskin roughness reaches a maximum peak at 20° and slowly reduces as powder adheres within the valleys. Both the downskin and upskin surface textures are dominated by irregular waviness generated by the melt pool.","","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:08d28e81-5179-4b4b-84bf-2d497de11e0b","http://resolver.tudelft.nl/uuid:08d28e81-5179-4b4b-84bf-2d497de11e0b","Effect of mineral fillers on epoxy-modified open-graded porous asphalt durability","Jing, R. (TU Delft Pavement Engineering); Apostolidis, P. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering); Scarpas, Athanasios (TU Delft Pavement Engineering; Khalifa University of Science and Technology)","","2023","Epoxy-asphalt (EA) attracted the attention of road authorities in many countries as a solution for open-graded porous surface layers with enhanced durability and longevity. This research presents an experimental programme to assess the durability of epoxy-modified open-graded porous asphalt (EMOGPA) mixes, emphasising the effects of the reactivity of two mineral fillers on mixes containing various EA proportions. Results indicate that the EMOGPA mixes have shown a high sensitivity to the conditioning time before compaction (aka. preconditioning). The materials produced exclusively by EA are the most sensitive to preconditioning, reducing their water and ravelling resistance with increased preconditioning time lengths. The number of gyrations has also been proven as an efficient quantity of the compaction effort required to reach the target mix properties. Moreover, the proportional increase of EA in mixes led to substantially improved durability. Hydrated lime in epoxy-modified asphalt mixes also affected their mechanical response. The indirect tensile strength and toughness of EA mixes were higher than other mixes, while mixes with limestones were stronger and tougher than those with hydrated lime. This attribute reflects the positive contribution of apolar fillers to strengthen and toughen the EA mixes.","Open-graded porous asphalt; epoxy asphalt; filler; durability; longevity; sustainability","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:dad352b0-ca02-4711-b2e2-53b66b20fe2f","http://resolver.tudelft.nl/uuid:dad352b0-ca02-4711-b2e2-53b66b20fe2f","In Vivo Prevention of Implant-Associated Infections Caused by Antibiotic-Resistant Bacteria through Biofunctionalization of Additively Manufactured Porous Titanium","van Hengel, I.A.J. (TU Delft Biomaterials & Tissue Biomechanics); van Dijk, B. (University Medical Center Utrecht); Modaresifar, K. (TU Delft Biomaterials & Tissue Biomechanics); Hooning van Duyvenbode, J. Fred F. (University Medical Center Utrecht); Nurmohamed, F. Ruben H.A. (University Medical Center Utrecht); Leeflang, M.A. (TU Delft Biomaterials & Tissue Biomechanics); Fluit, A.C. (University Medical Center Utrecht); Fratila-Apachitei, E.L. (TU Delft Biomaterials & Tissue Biomechanics); Apachitei, I. (TU Delft Biomaterials & Tissue Biomechanics); Weinans, Harrie (TU Delft Biomaterials & Tissue Biomechanics; University Medical Center Utrecht); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics)","","2023","Additively manufactured (AM) porous titanium implants may have an increased risk of implant-associated infection (IAI) due to their huge internal surfaces. However, the same surface, when biofunctionalized, can be used to prevent IAI. Here, we used a rat implant infection model to evaluate the biocompatibility and infection prevention performance of AM porous titanium against bioluminescent methicillin-resistant Staphylococcus aureus (MRSA). The specimens were biofunctionalized with Ag nanoparticles (NPs) using plasma electrolytic oxidation (PEO). Infection was initiated using either intramedullary injection in vivo or with in vitro inoculation of the implant prior to implantation. Nontreated (NT) implants were compared with PEO-treated implants with Ag NPs (PT-Ag), without Ag NPs (PT) and infection without an implant. After 7 days, the bacterial load and bone morphological changes were evaluated. When infection was initiated through in vivo injection, the presence of the implant did not enhance the infection, indicating that this technique may not assess the prevention but rather the treatment of IAIs. Following in vitro inoculation, the bacterial load on the implant and in the peri-implant bony tissue was reduced by over 90% for the PT-Ag implants compared to the PT and NT implants. All infected groups had enhanced osteomyelitis scores compared to the noninfected controls.","additive manufacturing; titanium bone implants; surface biofunctionalization; MRSA; implant-associated infection; bone infection model","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:bd11cc1c-884b-40bb-9f52-2a0e84196c8b","http://resolver.tudelft.nl/uuid:bd11cc1c-884b-40bb-9f52-2a0e84196c8b","Governance impacts of blockchain-based decentralized autonomous organizations: An empirical analysis","Rikken, O.K. (TU Delft Information and Communication Technology); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Roosenboom-Kwee, Z. (TU Delft Economics of Technology and Innovation)","","2023","The rapid rise in blockchain-based Decentralized Autonomous Organizations (DAOs) offers policy-makers and decision-makers new opportunities to automatically execute decisions and processes that help enhance transparency, accountability, participation and trust. Yet, many DAOs have a limited lifespan. There is little empirical evidence of the effect of governance elements on the viability of DAOs. Using 220 on-chain governed DAOs, this paper analyses how governance elements (accountability, decision/voting, and incentives) influence the viability of DAOs in the long-term. The findings show that DAOs without weighted decision-making and without incentive structures are more viable than those with weighted decision power and incentive mechanisms. This suggests that financial and share-like DAO governance elements do not or may even negatively contribute to the long-term viability of DAOs. Also, voting power distribution is found to have a statistically significant influence on DAOs’ viability. We further propose a preliminary theory that relates governance elements to the long-term viability of DAOs. These insights will help policy-makers in designing more viable DAOs. Future research should investigate how DAO objectives, the chosen deployment infrastructure and the type of users can impact the long-term viability of DAOs.","","en","journal article","","","","","","","","","","Engineering, Systems and Services","Economics of Technology and Innovation","","",""
"uuid:4492455f-363b-4251-aaa2-6c2baca0cb8d","http://resolver.tudelft.nl/uuid:4492455f-363b-4251-aaa2-6c2baca0cb8d","ZVS-Optimized Constant and Variable Switching Frequency Modulation Schemes for Dual Active Bridge Converters","Lyu, D. (TU Delft DC systems, Energy conversion & Storage); Straathof, C.A. (TU Delft Electrical Engineering, Mathematics and Computer Science; est floattech); Soeiro, Thiago B. (TU Delft DC systems, Energy conversion & Storage; University of Twente); Qin, Z. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","This paper proposes two modulation schemes for Dual Active Bridge (DAB) converters, with the aim of maximizing Zero Voltage Switching (ZVS) operation over a wide operational range. The first is a ZVS-optimized constant frequency modulation scheme, constructed based on the boundary conditions of ZVS operation. This scheme maximizes the number of ZVS events across a broad operational range and is easy to implement. Additionally, a variable frequency modulation scheme is proposed, enabling continuous full ZVS operation for the DAB converter at full power and eliminating the loss of ZVS due to transitioning between modulation regions. This functionality extends the full ZVS range, yielding improved Electromagnetic Interference (EMI) performance and overall power efficiency. The synergy of the proposed modulation schemes is particularly well-suited for applications like off-board Electric Vehicle (EV) charging. Experimental validation, conducted on an 11-kW DAB converter prototype with an output voltage range of 250V to 950V, demonstrates the efficacy of the proposed schemes in achieving ZVS and boosting converter efficiency.","dual active bridge; Electric vehicle charging; EV charging; Modulation; Phase modulation; soft switching; Stress; Switches; Switching frequency; wide voltage range; Zero voltage switching; ZVS","en","journal article","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","DC systems, Energy conversion & Storage","","",""
"uuid:f0013350-b196-45a6-a327-b036113ac491","http://resolver.tudelft.nl/uuid:f0013350-b196-45a6-a327-b036113ac491","A gap between reasons for skilled use of BCI speech devices and reasons for utterances, with implications for speech ownership","Rainey, S. (TU Delft Ethics & Philosophy of Technology)","","2023","The skilled use of a speech BCI device will draw upon practical experience gained through the use of that very device. The reasons a user may have for using a device in a particular way, reflecting that skill gained via familiarity with the device, may differ significantly from the reasons that a speaker might have for their utterances. The potential divergence between reasons constituting skilled use and BCI-mediated speech output may serve to make clear an instrumental relationship between speaker and BCI speech device. This will affect the way in which the device and the speech it produces for the user can be thought of as being “reasons responsive”, hence the way in which the user can be said to be in control of their device. Ultimately, this divergence will come down to how ownership of produced speech can be considered. The upshot will be that skillful use of a synthetic speech device might include practices that diverge from standard speech in significant ways. This might further indicate that synthetic speech devices ought to be considered as different from, not continuous with, standard speech.","","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:aa3824ca-3432-4dde-a363-16b4c30c3309","http://resolver.tudelft.nl/uuid:aa3824ca-3432-4dde-a363-16b4c30c3309","Recovering Power Grids Using Strategies Based on Network Metrics and Greedy Algorithms","Wang, F. (TU Delft Network Architectures and Services); Cetinay, Hale (Stedin); He, Zhidong (DS Information Technology); Liu, L. (TU Delft Intelligent Electrical Power Grids); Van Mieghem, P.F.A. (TU Delft Network Architectures and Services); Kooij, Robert (TU Delft Quantum & Computer Engineering; TNO)","","2023","For this study, we investigated efficient strategies for the recovery of individual links in power grids governed by the direct current (DC) power flow model, under random link failures. Our primary objective was to explore the efficacy of recovering failed links based solely on topological network metrics. In total, we considered 13 recovery strategies, which encompassed 2 strategies based on link centrality values (link betweenness and link flow betweenness), 8 strategies based on the products of node centrality values at link endpoints (degree, eigenvector, weighted eigenvector, closeness, electrical closeness, weighted electrical closeness, zeta vector, and weighted zeta vector), and 2 heuristic strategies (greedy recovery and two-step greedy recovery), in addition to the random recovery strategy. To evaluate the performance of these proposed strategies, we conducted simulations on three distinct power systems: the IEEE 30, IEEE 39, and IEEE 118 systems. Our findings revealed several key insights: Firstly, there were notable variations in the performance of the recovery strategies based on topological network metrics across different power systems. Secondly, all such strategies exhibited inferior performance when compared to the heuristic recovery strategies. Thirdly, the two-step greedy recovery strategy consistently outperformed the others, with the greedy recovery strategy ranking second. Based on our results, we conclude that relying solely on a single metric for the development of a recovery strategy is insufficient when restoring power grids following link failures. By comparison, recovery strategies employing greedy algorithms prove to be more effective choices.","power grids; network resilience; network recoverability","en","journal article","","","","","","","","","","Quantum & Computer Engineering","Network Architectures and Services","","",""
"uuid:6bdded87-3c77-488a-b2c6-9e792fb0221e","http://resolver.tudelft.nl/uuid:6bdded87-3c77-488a-b2c6-9e792fb0221e","Locating Multiple Leaks in Water Distribution Networks Combining Physically Based and Data-Driven Models and High-Performance Computing","Corzo, Clara Maria (IHE Delft Institute for Water Education); Alfonso, Leonardo (IHE Delft Institute for Water Education); Corzo, Gerald (IHE Delft Institute for Water Education); Solomatine, D.P. (TU Delft Water Resources; IHE Delft Institute for Water Education)","","2023","Water utilities are urged to decrease their real water losses, not only to reduce costs but also to assure long-term sustainability. Hardware- and software-based techniques have been broadly used to locate leaks; within the latter, previous works that have used data-driven models mostly focused on single leaks. This paper presents a methodology to locate multiple leaks in water distribution networks employing pressure residuals. It consists of two phases: one is to produce training data for the data-driven model and cluster the nodes based on their leak-flow-rate-independent signatures using an adapted hierarchical agglomerative algorithm; the second is to locate the leaks using a top-down approach. To identify the leaking clusters and nodes, we employed a custom-built k-nearest neighbor (k-NN) algorithm that compares the test instances with the generated training data. This instance-to-instance comparison requires substantial computational resources for classification, which was overcome by the use of high-performance computing. The methodology was applied to a real network located in a European town, comprising 144 nodes and a total length of pipes of 24 km. Although its multiple inlets add redundancy to the network increasing the challenge of leak location, the method proved to obtain acceptable results to guide the field pinpointing activities. Nearly 70% of the areas determined by the clusters were identified with an accuracy of over 90% for leak flows above 3.0 L/s, and the leaking nodes were accurately detected over 50% of the time for leak flows above 4.0 L/s.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:a64bb8de-3e15-4b4d-871c-fb0f9cd0c03a","http://resolver.tudelft.nl/uuid:a64bb8de-3e15-4b4d-871c-fb0f9cd0c03a","Rural residence: Dreams for the future and foreseen obstacles by young adults from the Netherlands","Koreman, M.C.J. (TU Delft Urban Development Management)","","2023","This paper examines the dreams for the future of young adults in Midden-Noord-Brabant and Zeeland, the Netherlands. Through 274 qualitative surveys and focus groups with 12 participants, it explores their dreams for the future, the obstacles they foresee in realising these dreams, and the influence of these obstacles on their stated life plans. The findings reveal a preference for areas outside settlements in residential dreams. Limited housing and career opportunities are identified as foreseen obstacles. These obstacles shape participants' stated life plans, which become more urban-oriented. Removing these obstacles can facilitate the realisation of rural residential dreams and promote rural areas as attractive areas to settle for new generations.","young adults; dreams for the future; life plans; rural-urban migration; rural housing","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:0098f031-85a3-4aec-9bdf-9ee453e7b870","http://resolver.tudelft.nl/uuid:0098f031-85a3-4aec-9bdf-9ee453e7b870","Towards critical low-temperature relaxation indicators for effective rejuvenation efficiency evaluation of rejuvenator-aged bitumen blends","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2023","The relaxation behavior affected by aging and rejuvenation plays a crucial role in its low-temperature cracking potential of bitumen. However, there are limited studies on the relaxation performance of rejuvenated bitumen under different rejuvenation conditions. This paper aims to propose critical indicators to assess the rejuvenation efficiency of low-temperature relaxation performance of various rejuvenated binders. The effects of rejuvenator type/dosage and aging level on relaxation parameters are investigated. The τ50s, t25%, and A are recommended as critical indicators based on their high sensitivities to influence factors and rejuvenation percentage scope. Additionally, molecular dynamic simulation outputs on virgin/aged bitumen and rejuvenators explain the difference in rejuvenation effectiveness of different rejuvenators. The results show that bio-oil rejuvenator exhibits the highest efficiency on regenerating the relaxation performance. All relaxation parameters of aged bitumen can be regenerated by adding rejuvenators. MD simulations reveal that the molecular mobility and free volume ratio of rejuvenators mainly cause the difference in rejuvenation efficiency on the relaxation property between various rejuvenators. The diffusion capacity of rejuvenator shows a more dominant effect on rejuvenation efficiency than fractional free volume.","Critical relaxation indicator; Rejuvenator type/dosage; Aging degree; Free volume ratio; Molecular mobility; Molecular dynamics simulation","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:84b09c9f-8624-434b-854e-de1fe57989de","http://resolver.tudelft.nl/uuid:84b09c9f-8624-434b-854e-de1fe57989de","FPQNet: Fully Pipelined and Quantized CNN for Ultra-Low Latency Image Classification on FPGAs Using OpenCAPI","Ji, M. (TU Delft Computer Engineering; Jilin University); Al-Ars, Z. (TU Delft Computer Engineering); Hofstee, H.P. (TU Delft Computer Engineering); Chang, Yuchun (Dalian University of Technology); Zhang, Baolin (Jilin University)","","2023","Convolutional neural networks (CNNs) are to be effective in many application domains, especially in the computer vision area. In order to achieve lower latency CNN processing, and reduce power consumption, developers are experimenting with using FPGAs to accelerate CNN processing in several applications. Current FPGA CNN accelerators usually use the same acceleration approaches as GPUs, where operations from different network layers are mapped to the same hardware units working in a multiplexed manner. This will result in high flexibility in implementing different types of CNNs; however, this will degrade the latency that accelerators can achieve. Alternatively, we can reduce the latency of the accelerator by pipelining the processing of consecutive layers, at the expense of more FPGA resources. The continued increase in hardware resources available in FPGAs makes such implementations feasible for latency-critical application domains. In this paper, we present FPQNet, a fully pipelined and quantized CNN FPGA implementation that is channel-parallel, layer-pipelined, and network-parallel, to decrease latency and increase throughput, combined with quantization methods to optimize hardware utilization. In addition, we optimize this hardware architecture for the HDMI timing standard to avoid extra hardware utilization. This makes it possible for the accelerator to handle video datasets. We present prototypes of the FPQNet CNN network implementations on an Alpha Data 9H7 FPGA, connected with an OpenCAPI interface, to demonstrate architecture capabilities. Results show that with a 250 MHz clock frequency, an optimized LeNet-5 design is able to achieve latencies as low as 9.32 µs with an accuracy of 98.8% on the MNIST dataset, making it feasible for utilization in high frame rate video processing applications. With 10 hardware kernels working concurrently, the throughput is as high as 1108 GOPs. The methods in this paper are suitable for many other CNNs. Our analysis shows that the latency of AlexNet, ZFNet, OverFeat-Fast, and OverFeat-Accurate can be as low as 69.27, 66.95, 182.98, and 132.6 µs, using the architecture introduced in this paper, respectively.","CNNs; FPGA acceleration; HDMI; OpenCAPI; layer pipeline; channel parallelization","en","journal article","","","","","","","","","","","Computer Engineering","","",""
"uuid:4e67290a-dd15-4d21-8cb8-7cc3b549ff54","http://resolver.tudelft.nl/uuid:4e67290a-dd15-4d21-8cb8-7cc3b549ff54","Vacuum Deposited Perovskites with a Controllable Crystal Orientation","Yan, J. (TU Delft Photovoltaic Materials and Devices); Stickel, L.S. (TU Delft Photovoltaic Materials and Devices; Georg-August-University); van den Hengel, L. (TU Delft ChemE/Opto-electronic Materials); Wang, H. (TU Delft Photovoltaic Materials and Devices); Ravi Anusuyadevi, P. (TU Delft Team Arjan Mol); Kooijman, A.M. (TU Delft Team Arjan Mol); Liu, X. (TU Delft ChemE/O&O groep); Ibrahim, B. (TU Delft ChemE/O&O groep); Mol, J.M.C. (TU Delft Team Arjan Mol); Taheri, P. (TU Delft Team Peyman Taheri); Mazzarella, L. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Savenije, T.J. (TU Delft ChemE/Opto-electronic Materials)","","2023","The preferential orientation of the perovskite (PVK) is typically accomplished by manipulation of the mixed cation/halide composition of the solution used for wet processing. However, for PVKs grown by thermal evaporation, this has been rarely addressed. It is unclear how variation in crystal orientation affects the optoelectronic properties of thermally evaporated films, including the charge carrier mobility, lifetime, and trap densities. In this study, we use different intermediate annealing temperatures Tinter between two sequential evaporation cycles to control the Cs0.15FA0.85PbI2.85Br0.15 orientation of the final PVK layer. XRD and 2D-XRD measurements reveal that when using no intermediate annealing primarily the (110) orientation is obtained, while when using Tinter = 100 °C a nearly isotropic orientation is found. Most interestingly for Tinter > 130 °C a highly oriented PVK (100) is formed. We found that although bulk electronic properties like photoconductivity are independent of the preferential orientation, surface related properties differ substantially. The highly oriented PVK (100) exhibits improved photoluminescence in terms of yield and lifetime. In addition, high spatial resolution mappings of the contact potential difference (CPD) as measured by KPFM for the highly oriented PVK show a more homogeneous surface potential distribution than those of the nonoriented PVK. These observations suggest that a highly oriented growth of thermally evaporated PVK is preferred to improve the charge extraction at the device level.","","en","journal article","","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:24b25c17-1fcb-48ed-974d-7fcded8c5c94","http://resolver.tudelft.nl/uuid:24b25c17-1fcb-48ed-974d-7fcded8c5c94","Writing your first academic article","Dabrowski, M.M. (TU Delft Spatial Planning and Strategy)","","2023","As academics, we must debate our research with peers, build on the existing body of research to address knowledge gaps and contribute to developing knowledge and theories that help us make sense of the increasingly complex and uncertain processes that shape regional and urban futures. We are also increasingly expected to step out of the ‘ivory tower’, engage with traditional and social media, co-create knowledge with stakeholders in the ‘real world’, and participate in a dialogue with society at large. Consequently, we witness the emergence of new (often online) tools and platforms to debate and spread knowledge and engage in these interactions. Despite all this, peer-reviewed research papers published in authoritative scholarly journals remain the cornerstone of academic work and the main medium for scholars to spread insights and lessons from their work.
However, the bar for publishing in highly-ranked academic journals is very high. Moreover, academic writing can be frustrating and very daunting, not only for beginning researchers. There is good news, though: writing academic papers is a craft, and like any craft, it builds on a set of principles, skills, and formulas. All of these can be learned and developed. In this short article, I outline some of those, along with ideas and tips on how to begin and succeed in writing your first academic paper.","Academic writing; Publishing; Early Career Researchers","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:4703b75b-78c6-485d-8a65-ec3d310d5272","http://resolver.tudelft.nl/uuid:4703b75b-78c6-485d-8a65-ec3d310d5272","The MISLI-Drive, a modular sterilizable robotic driver for steerable laparoscopic instruments","Lenssen, T.A. (TU Delft Medical Instruments & Bio-Inspired Technology); Bîrjac, R. (Student TU Delft); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Horeman, T. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2023","Introduction: Based on the success of the former “Shaft-Actuated, Tip-Articulated” SATA-Drive, a prototype robotic instrument driver for modular, steerable, laparoscopic instruments, a new driver is designed and tested to improve previously lacking features concerning cleanability, instrument adaptation, practical application and control. The design of the driver engages these issues with a modular design aimed at re-use of both the instrument and the driver, for which a set of design requirements are established.
Methods: A new modular design has been developed to improve cleanability through separation of the electro-motors and the instrument mechanism which clutches the instrument. Contamination of the driver’s robotic side is prevented though a combination of a drape and a Sterile barrier interface, while the instrument side is made sterilizable. A novel instrument clutching mechanism enables quick-release features, while a motor-axis latching mechanism enables plug-and-play assembly. Embedded sensors allow precise and fast control. A user-experiment was conducted on instrument exchange and assembly time, while mechanical and electrical tests were conducted on the driver’s responsiveness.
Results: The driver has proven its ability to control the instrument, after which it can be disassembled for cleaning and inspection. The driver is designed for re-use through disassembled sterilization where all possibly contaminated surfaces are exposable for cleaning and inspection. The new standardized instrument clutches allow easy instrument (dis-)assembly. Instrument exchange is possible in two methods, the fastest of which is a median of 11 (6.3–14.6) seconds. The driver’s instrument mechanism is separated in a median of 3.7 (1.8–8.1) seconds. After assembly, the driver is operational in less than 2 s.
Discussion: Instrument exchange times are similar to the semi-reusable Da Vinci systems, yet the MISLI-Drive is designed for sterilization, inspection and continual re-use. The modular build of the driver also allows easier parts replacement during maintenance, and requires minimal adaptation to different future scenarios, which is expected to reduce the overall cost of use.","","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:c4bc3e36-596a-41c8-8b36-a5458e5afbe9","http://resolver.tudelft.nl/uuid:c4bc3e36-596a-41c8-8b36-a5458e5afbe9","Evaluating the Tm2+ 4f125d1 → 4f13 and 4f13 → 4f13 Luminescence and Quenching Dynamics in Orthorhombic BaCl2","Plokker, M.P. (TU Delft RST/Luminescence Materials); Vlaar, S.A. (Student TU Delft); Bakx, A.H.J. (Student TU Delft); van der Kolk, E. (TU Delft RST/Luminescence Materials); Dorenbos, P. (TU Delft RST/Luminescence Materials); Hintzen, H.T.J.M. (TU Delft RST/Luminescence Materials)","","2023","The luminescence properties of Tm2+-doped BaCl2 with an orthorhombic structure have been studied as a function of temperature and compared to other Tm2+-doped chlorides. In addition to the 2F5/2 → 2F7/2 (4f13 → 4f13) line emission, two 4f125d1 → 4f13 band emissions are observed at 20 K that can be ascribed to the spin-allowed (3H6,5d1)S=1/2 → 2F7/2 and spin-forbidden (3H6,5d1)S=3/2 → 2F7/2 transitions. So far, the Tm2+ spin-allowed (3H6,5d1)S=1/2 → 2F7/2 transition has only been identified in Tm2+-doped iodides and some bromides but never before in a Tm2+-doped chloride. Its presence in orthorhombic BaCl2:Tm2+ is explained by the absence of a (3H6,5d1)S=1/2 → (3H6,5d1)S=3/2 energy transfer process. As the temperature increases, both 4f125d1 → 4f13 emissions undergo rapid quenching and are no longer observed at 120 K, resulting in an intensity increase of the 4f13 → 4f13 emission. However, above 100 K, the intensity of the 4f13 → 4f13 emission also decreases, most likely due to quenching via (3H6,5d1)S=3/2 → 2F7/2 interband crossing, as enabled by the exceptionally large 4f125d1 Stokes shift.","","en","journal article","","","","","","","","","","","RST/Luminescence Materials","","",""
"uuid:bd3942da-3450-48d1-950d-da2f9b43e021","http://resolver.tudelft.nl/uuid:bd3942da-3450-48d1-950d-da2f9b43e021","The environmental comfort experience and activities of flight attendants in a turboprop airplane","Verkuyl, Annechien (vhp Human Performance); te Brake, Guido (vhp Human Performance); van Scheijndel, Peter (vhp Human Performance); Vledder, G. (TU Delft Emerging Materials); Vink, P. (TU Delft Emerging Materials)","","2023","The aviation industry needs to reduce CO2 emissions. Turboprop aircrafts consume 10-60% less fuel compared to regional jets. In addition, electric propeller aircrafts are now in development, which can be CO2 neutral. However, in turboprop aircrafts the noise level is high and the space is limited. For flight attendants that work long hours in these aircrafts, this could become demanding. In this paper, the environmental comfort and ergonomics are studied in an experiment in a turboprop aircraft as a base for improving the working conditions for cabin personnel in future propeller aircrafts.
In general, it can be concluded that the tasks of the FAs in a turboprop are challenging regarding both physical and mental aspects. Unfavourable postures, high forces required for manoeuvring the trolley, little recovery time and a noisy environment all contribute to increased physical workload levels, which cause discomfort. The work is mentally demanding as resting time is very limited on short flights. When developing aircraft interiors, attention should be paid to reduce cabin noise and to ergonomic designs that require lower physical forces and allow FAs to work with healthy postures.
Along with a planning system without effective development control tools to ensure spatial coherence responding to the dynamic nature of the housing sector, the residential fabric of Ankara comprises all the dominant housing typologies that emerged within different periods in Turkey. Accordingly, following a historical review of the housing supply forms in Turkey, the paper maps the emerging patterns of modern housing typologies through successive development zones of the city. It examines their internal typomorphological characteristics via a series of transects. Utilizing the GIS-based coherency analysis, the level of morphological continuity on each transect is calculated. Consequently, in light of the findings of the analysis, a critical perspective on housing production and development control creating different forms of spatial fragmentation through typological variation is suggested.","Modes of housing supply; Residential fabric; Typological diversity; Morphological continuity; Development control","en","journal article","","","","","","","","","","","Design Conceptualization and Communication","","",""
"uuid:0052a435-e56d-43dc-9392-367b450b7378","http://resolver.tudelft.nl/uuid:0052a435-e56d-43dc-9392-367b450b7378","Immobilization protects enzymes from plasma-mediated inactivation","Dirks, Tim (Ruhr-Universität Bochum); Yayci, Abdulkadir (Ruhr-Universität Bochum); Klopsch, Sabrina (Ruhr-Universität Bochum); Krewing, Marco (Ruhr-Universität Bochum); Zhang, Wuyuan (Chinese Academy of Sciences); Hollmann, F. (TU Delft BT/Biocatalysis); Bandow, Julia E. (Ruhr-Universität Bochum)","","2023","Non-thermal plasmas are used in various applications to inactivate biological agents or biomolecules. A complex cocktail of reactive species, (vacuum) UV radiation and in some cases exposure to an electric field together cause the detrimental effects. In contrast to this disruptive property of technical plasmas, we have shown previously that it is possible to use non-thermal plasma-generated species such as H2O2 as cosubstrates in biocatalytic reactions. One of the main limitations in plasma-driven biocatalysis is the relatively short enzyme lifetime under plasma-operating conditions. This challenge could be overcome by immobilizing the enzymes on inert carrier materials. Here, we tested whether immobilization is suited to protect proteins from inactivation by plasma. To this end, using a dielectric barrier discharge device (PlasmaDerm), plasma stability was tested for five enzymes immobilized on ten different carrier materials. A comparative analysis of the treatment times needed to reduce enzyme activity of immobilized and free enzyme by 30% showed a maximum increase by a factor of 44. Covalent immobilization on a partly hydrophobic carrier surface proved most effective. We conclude from the study, that immobilization universally protects enzymes under plasma-operating conditions, paving the way for new emerging applications.","atmospheric plasma; enzyme protection; immobilization; non-thermal plasma","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:3744c9cf-7713-4327-9443-32d0c7e4b27e","http://resolver.tudelft.nl/uuid:3744c9cf-7713-4327-9443-32d0c7e4b27e","Candidate biomarkers of antibiotic resistance for the monitoring of wastewater and the downstream environment","Teixeira, A. Margarida (Universidade Católica Portuguesa); Vaz-Moreira, Ivone (Universidade Católica Portuguesa); Calderon Franco, D. (TU Delft BT/Environmental Biotechnology); Weissbrodt, D.G. (TU Delft BT/Environmental Biotechnology; Norwegian University of Science and Technology (NTNU)); Purkrtova, Sabina (University of Chemistry and Technology Prague); Gajdos, Stanislav (University of Chemistry and Technology Prague); Dottorini, Giulia (Aalborg University); Nielsen, Per H. (Aalborg University); Khalifa, Leron (The Volcani Institute, Agricultural Research Organization); Cytryn, Eddie (The Volcani Institute, Agricultural Research Organization); Bartacek, Jan (University of Chemistry and Technology Prague); Manaia, Célia M. (Universidade Católica Portuguesa)","","2023","Urban wastewater treatment plants (UWTPs) are essential for reducing the pollutants load and protecting water bodies. However, wastewater catchment areas and UWTPs emit continuously antibiotic resistant bacteria (ARB) and antibiotic resistance genes (ARGs), with recognized impacts on the downstream environments. Recently, the European Commission recommended to monitor antibiotic resistance in UWTPs serving more than 100 000 population equivalents. Antibiotic resistance monitoring in environmental samples can be challenging. The expected complexity of these systems can jeopardize the interpretation capacity regarding, for instance, wastewater treatment efficiency, impacts of environmental contamination, or risks due to human exposure. Simplified monitoring frameworks will be essential for the successful implementation of analytical procedures, data analysis, and data sharing. This study aimed to test a set of biomarkers representative of ARG contamination, selected based on their frequent human association and, simultaneously, rare presence in pristine environments. In addition to the 16S rRNA gene, ten potential biomarkers (intI1, sul1, ermB, ermF, aph(3′’)-Ib, qacEΔ1, uidA, mefC, tetX, and crAssphage) were monitored in DNA extracts (n = 116) from raw wastewater, activated sludge, treated wastewater, and surface water (upstream and downstream of UWTPs) samples collected in the Czech Republic, Denmark, Israel, the Netherlands, and Portugal. Each biomarker was sensitive enough to measure decreases (on average by up to 2.5 log-units gene copy/mL) from raw wastewater to surface water, with variations in the same order of magnitude as for the 16S rRNA gene. The use of the 10 biomarkers allowed the typing of water samples whose origin or quality could be predicted in a blind test. The results show that, based on appropriate biomarkers, qPCR can be used for a cost-effective and technically accessible approach to monitoring wastewater and the downstream environment.","Quantitative PCR; Gene monitoring; Antibiotic resistance; Wastewater; Anthropogenic pollution","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:55cee8b1-237b-4e75-85e1-714b93c86ed3","http://resolver.tudelft.nl/uuid:55cee8b1-237b-4e75-85e1-714b93c86ed3","Root zone soil moisture in over 25 % of global land permanently beyond pre-industrial variability as early as 2050 without climate policy","Lai, En Ning (Student TU Delft); Wang-Erlandsson, Lan (Stockholm University); Virkki, Vili (Aalto University); Porkka, Miina (Aalto University); van der Ent, R.J. (TU Delft Water Resources)","","2023","Root zone soil moisture is a key variable representing water cycle dynamics that strongly interact with ecohydrological, atmospheric, and biogeochemical processes. Recently, it was proposed as the control variable for the green water planetary boundary, suggesting that widespread and considerable deviations from baseline variability now predispose Earth system functions critical to an agriculture-based civilization to destabilization. However, the global extent and severity of root zone soil moisture changes under future scenarios remain to be scrutinized. Here, we analysed root zone soil moisture departures from the pre-industrial climate variability for a multi-model ensemble of 14 Earth system models (ESMs) in the Coupled Model Intercomparison Project Phase 6 (CMIP6) in four climate scenarios as defined by the shared socioeconomic pathways (SSPs) SSP1–2.6, SSP2–4.5, SSP3–7.0, and SSP5–8.5 between 2021 and 2100. The analyses were done for 43 ice-free climate reference regions used by the Intergovernmental Panel on Climate Change (IPCC). We defined “permanent departures” when a region's soil moisture exits the regional variability envelope of the pre-industrial climate and does not fall back into the range covered by the baseline envelope until 2100. Permanent dry departures (i.e. lower soil moisture than pre-industrial variability) were found to be most pronounced in Central America, southern Africa, the Mediterranean region, and most of South America, whereas permanent wet departures are most pronounced in south-eastern South America, northern Africa, and southern Asia. In the Mediterranean region, dry permanent departure may have already happened according to some models. By 2100, there are dry permanent departures in the Mediterranean in 70 % of the ESMs in SSP1–2.6, the most mitigated situation, and more than 90 % in SSP3–7.0 and SSP5–8.5, the medium–high and worst-case scenarios. North-eastern Africa is projected to experience wet permanent departures in 64 % of the ESMs under SSP1–2.6 and 93 % under SSP5–8.5. The percentage of ice-free land area with departures increases in all SSP scenarios as time goes by. Wet departures are more widespread than dry departures throughout the studied time frame, except in SSP1–2.6. In most regions, the severity of the departures increases with the severity of global warming. In 2050, permanent departures (ensemble median) occur in about 10 % of global ice-free land areas in SSP1–2.6 and in 25 % in SSP3–7.0. By the end of the 21st century, the occurrence of permanent departures in SSP1–2.6 increases to 34 % and, in SSP3–7.0, to 45 %. Our findings underscore the importance of mitigation to avoid further degrading the Earth system functions upheld by soil moisture.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:072218f3-68ce-46b2-a8fe-a0aeda316496","http://resolver.tudelft.nl/uuid:072218f3-68ce-46b2-a8fe-a0aeda316496","The fate of sulfamethoxazole and trimethoprim in a micro-aerated anaerobic membrane bioreactor and the occurrence of antibiotic resistance in the permeate","Piaggio, A.L. (TU Delft Sanitary Engineering); Mittapalli, Srilekha (NX Filtration); Calderon Franco, D. (TU Delft BT/Environmental Biotechnology); Weissbrodt, D.G. (Norwegian University of Science and Technology (NTNU)); van Lier, J.B. (TU Delft Sanitary Engineering); de Kreuk, M.K. (TU Delft Water Management); Lindeboom, R.E.F. (TU Delft Laboratory Water Management)","","2023","This study investigates the effects, conversions, and resistance induction, following the addition of 150 μg·L−1 of two antibiotics, sulfamethoxazole (SMX) and trimethoprim (TMP), in a laboratory-scale micro-aerated anaerobic membrane bioreactor (MA-AnMBR). TMP and SMX were removed at 97 and 86%, indicating that micro-aeration did not hamper their removal. These antibiotics only affected the pH and biogas composition of the process, with a significant change in pH from 7.8 to 7.5, and a decrease in biogas methane content from 84 to 78%. TMP was rapidly adsorbed onto the sludge and subsequently degraded during the long solids retention time of 27 days. SMX adsorption was minimal, but the applied hydraulic retention time of 2.6 days was sufficiently long to biodegrade SMX. The levels of three antibiotic-resistant genes (ARGs) (sul1, sul2, and dfrA1) and one mobile genetic element biomarker (intI1) were analyzed by qPCR. Additions of the antibiotics increased the relative abundances of all ARGs and intI1 in the MA-AnMBR sludge, with the sul2 gene folding 15 times after 310 days of operation. The MA-AnMBR was able to reduce the concentration of antibiotic-resistant bacteria (ARB) in the permeate by 3 log.","antibiotic resistance; antibiotics; micro-aerated AnMBR; SMX; TMP","en","journal article","","","","","","","","","","Water Management","Sanitary Engineering","","",""
"uuid:285192c6-b38c-49a5-9658-bcddd4bb220b","http://resolver.tudelft.nl/uuid:285192c6-b38c-49a5-9658-bcddd4bb220b","Normative Assessment of Enabling Factors for Adaptive Water Governance; Evidence and Lessons from the Hirmand River Basin, Iran","Bagherzadeh, Saeed (Iran University of Science and Technology); Mianabadi, Hojjat (Tarbiat Modares University); Sadeghizadeh Bafandeh, Shahrzad (Ferdowsi University of Mashhad); Ghorbani, Amineh (TU Delft System Engineering); Deylami, Behavar (Tarbiat Modares University)","","2023","Based on analyzing the composing elements of the water governance regime in the Hirmand River Basin, Iran, this paper examines the factors that facilitate the emergence of Adaptive Governance in a Global South context. Although the literature provides valuable insights into the characteristics of a well-established Adaptive Governance regime in the context of the Global North, relatively little research has been conducted on Adaptive Governance’s fostering factors in the states in the Global South. To address this gap, this study utilizes an analytical framework upon which the features of water governance regimes are assessed. A combination of primary and secondary qualitative data (survey research and document analysis) is used to evaluate the assessment framework, which aims to analyze the characteristics that enhance resilience to the imposed changes and disturbances in complex environmental and water systems. The analysis suggests that addressing scalar and sectoral tensions, well-functioning reflecting mechanisms, adaptable policies, and flexible financial mechanisms are vital requisites for the transition towards more adaptive forms of water governance. The results also propose that the formal water governance system in the region has felt the urgency to adapt to new circumstances; however, unlike cases from the Global North, it lacks the required agility to escape from the rigidity trap it finds itself in.","Adaptation; Adaptive Management; Adaptive Water Governance; Complex Water Systems; Hirmand River Basin; Sistan Delta","en","journal article","","","","","","","","2024-04-30","","","System Engineering","","",""
"uuid:a8feb77e-7ef1-4278-92f8-78e114b56eb4","http://resolver.tudelft.nl/uuid:a8feb77e-7ef1-4278-92f8-78e114b56eb4","A generalized neural network approach for separation of molecular breaking traces","van Veen, F. (TU Delft QN/van der Zant Lab; Swiss Federal Laboratories for Materials Science and Technology (Empa)); Ornago, L. (TU Delft QN/van der Zant Lab); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab); El Abbassi, M. (TU Delft QN/van der Zant Lab)","","2023","Break-junction experiments are used to statistically study the electronic properties of individual molecules. The measurements consist of repeatedly breaking and merging a gold wire while measuring the conductance as a function of displacement. When a molecule is captured, a plateau is observed in the conductance traces otherwise exponentially decaying tunnel traces are measured. Clustering methods are widely used to separate these traces and identify potential sub-populations in the data corresponding to different molecular junction configurations. As these configurations are typically a priori unknown, unsupervised methods are most suitable for the classification. However, most of the unsupervised methods used for the classification perform poorly in the identification of these small sub-populations of molecular traces. Robust removal of tunnelling-only traces before clustering is thus of great interest. Neural networks have been proven to be powerful in the classification of data samples with predictable behaviour, but often show large sensitivity to the underlying training data. In this study we report on a neural network method for the separation of tunnelling-only traces in conductance vs. displacement measurements that achieves excellent classification performance for complete and unseen data sets. This method is particularly useful for data sets in which the yield of molecular traces is low or which comprise of a significant number of traces displaying a jump from tunneling features to a molecular plateau.","","en","journal article","","","","","","","","","","","QN/van der Zant Lab","","",""
"uuid:0720aa62-f245-4907-bb42-21e9fe55af6e","http://resolver.tudelft.nl/uuid:0720aa62-f245-4907-bb42-21e9fe55af6e","Mary Blair-Loy and Erin A. Cech: Misconceiving Merit: Paradoxes in Excellence and Devotion in Academic Science and Engineering : University of Chicago Press, 2022","Gammon, A.R. (TU Delft Ethics & Philosophy of Technology)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-11","","","Ethics & Philosophy of Technology","","",""
"uuid:66c781a2-58c9-4e6d-9e55-ff386024d45e","http://resolver.tudelft.nl/uuid:66c781a2-58c9-4e6d-9e55-ff386024d45e","Upper-Body versus Lower-Body Cooling in Individuals with Paraplegia during Arm-Crank Exercise in the Heat","Alkemade, Puck (Vrije Universiteit Amsterdam); Eijsvogels, Thijs M.H. (Radboud University Medical Center); Janssen, Thomas W.J. (Vrije Universiteit Amsterdam; Amsterdam Institute of Sport Science); Jansen, K.M.B. (TU Delft Emerging Materials); Kingma, Boris R.M. (TNO); Daanen, Hein A.M. (Vrije Universiteit Amsterdam; Amsterdam Institute of Sport Science)","","2023","PURPOSE: For wheelchair users with a spinal cord injury, the lower body may be a more convenient cooling site than the upper body. However, it remains unknown if leg cooling reduces thermal strain in these individuals. We compared the impact of upper-body versus lower-body cooling on physiological and perceptual outcomes during submaximal arm-crank exercise under heat stress in individuals with paraplegia. METHODS: Twelve male participants with paraplegia (T4-L2, 50% complete lesion) performed a maximal exercise test in temperate conditions, and three heat stress tests (32°C, 40% relative humidity) in which they received upper-body cooling (COOL-UB), lower-body cooling (COOL-LB), or no cooling (CON) in a randomized counterbalanced order. Each heat stress test consisted of four exercise blocks of 15 min at 50% of peak power output, with 3 min of rest in between. Cooling was applied using water-perfused pads, with 14.8-m tubing in both COOL-UB and COOL-LB. RESULTS: Gastrointestinal temperature was 0.2°C (95% confidence interval (CI), 0.1°C to 0.3°C) lower during exercise in COOL-UB versus CON (37.5°C ± 0.4°C vs 37.7°C ± 0.3°C, P = 0.009), with no difference between COOL-LB and CON ( P = 1.0). Heart rate was lower in both COOL-UB (-7 bpm; 95% CI, -11 to -3 bpm; P = 0.01) and COOL-LB (-5 bpm; 95% CI, -9 to -1 bpm; P = 0.049) compared with CON. The skin temperature reduction at the cooled skin sites was larger in COOL-LB (-10.8°C ± 1.1°C) than in COOL-UB (-6.7°C ± 1.4°C, P < 0.001), which limited the cooling capacity in COOL-LB. Thermal sensation of the cooled skin sites was improved and overall thermal discomfort was lower in COOL-UB ( P = 0.01 and P = 0.04) but not in COOL-LB ( P = 0.17 and P = 0.59) compared with CON. CONCLUSIONS: Upper-body cooling more effectively reduced thermal strain than lower-body cooling in individuals with paraplegia, as it induced greater thermophysiological and perceptual benefits.","","en","journal article","","","","","","Publisher Copyright: Copyright © 2023 The Author(s). Published by Wolters Kluwer Health, Inc. on behalf of the American College of Sports Medicine.","","","","","Emerging Materials","","",""
"uuid:c0e36b6b-267d-4517-834e-3e379cc8540d","http://resolver.tudelft.nl/uuid:c0e36b6b-267d-4517-834e-3e379cc8540d","Fast Single-Mode Fiber Nonlinearity Monitoring: An Experimental Comparison Between Split-Step and Nonlinear Fourier Transform-Based Methods","de Koster, P.B.J. (TU Delft Team Sander Wahls); Schulz, Olaf (Christian-Albrechts-Universität zu Kiel); Koch, Jonas (Christian-Albrechts-Universität zu Kiel; Rohde and Schwarz); Pachnicke, Stephan (Christian-Albrechts-Universität zu Kiel); Wahls, S. (TU Delft Team Michel Verhaegen; Karlsruhe Institut für Technologie)","","2023","We experimentally investigate the problem of monitoring the Kerr-nonlinearity coefficient $\gamma$ from transmitted and received data for a single-mode fiber link of 1600 km length. We compare the accuracy and speed of three different approaches. First, a standard split-step Fourier method is used to predict the output at various $\gamma$ values, which are then compared to the measured output. Second, a recently proposed nonlinear Fourier transform (NFT)-based method, which matches solitonic eigenvalues in the transmitted and received signals for various $\gamma$ values. Third, a novel fast version of the NFT-based method, which only matches the highest few eigenvalues. Although the NFT-based methods do not scale with link length, we demonstrate that the SSFM-based method is significantly faster than the basic NFT-based method for the considered link of 1600 km, and outperforms even the faster version. However, for a simulated link of 8000 km, the fast NFT-based method is shown to be faster than the SSMF-based method, although at the cost of a small loss in accuracy.","characterization; forward scattering transform; Kerr-nonlinearity; nonlinear Fourier transform; nonlinear Schrödinger equation; Single-mode fiber; solitons; split-step Fourier method","en","journal article","","","","","","","","","","","Team Sander Wahls","","",""
"uuid:660a5c1a-b5f0-47c7-9ced-d76df65a94ac","http://resolver.tudelft.nl/uuid:660a5c1a-b5f0-47c7-9ced-d76df65a94ac","High-Precision 3D Printing of Microporous Cochlear Implants for Personalized Local Drug Delivery","Isaakidou, A. (TU Delft Biomaterials & Tissue Biomechanics); Apachitei, I. (TU Delft Biomaterials & Tissue Biomechanics); Fratila-Apachitei, E.L. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics)","","2023","Hearing loss is a highly prevalent multifactorial disorder affecting 20% of the global population. Current treatments using the systemic administration of drugs are therapeutically ineffective due to the anatomy of the cochlea and the existing blood–labyrinth barrier. Local drug delivery systems can ensure therapeutic drug concentrations locally while preventing adverse effects caused by high dosages of systemically administered drugs. Here, we aimed to design, fabricate, and characterize a local drug delivery system for the human cochlea. The design was relevant to the size of the human ear, included two different shapes, and incorporated two different microporous structures acting as reservoirs for drug loading and release. The four cochlear implant designs were printed using the two-photon polymerization (2PP) technique and the IP-Q photoresist. The optimized 2PP process enabled the fabrication of the cochlear implants with great reproducibility and shape fidelity. Rectangular and cylindrical implants featuring cylindrical and tapered tips, respectively, were successfully printed. Their outer dimensions were 0.6 × 0.6 × 2.4 mm3 (L × W × H). They incorporated internal porous networks that were printed with high accuracy, yielding pore sizes of 17.88 ± 0.95 μm and 58.15 ± 1.62 μm for the designed values of 20 μm and 60 μm, respectively. The average surface roughness was 1.67 ± 0.24 μm, and the water contact angle was 72.3 ± 3.0°. A high degree of polymerization (~90%) of the IP-Q was identified after printing, and the printed material was cytocompatible with murine macrophages. The cochlear implants designed and 3D printed in this study, featuring relevant sizes for the human ear and tunable internal microporosity, represent a novel approach for personalized treatment of hearing loss through local drug delivery.","hearing loss; porous cochlear implant; two-photon polymerization; surface quality; cytocompatibility","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:c9f3dec3-1cc0-4a7d-a00c-f0dc8eebcae9","http://resolver.tudelft.nl/uuid:c9f3dec3-1cc0-4a7d-a00c-f0dc8eebcae9","No longer hype, not yet mainstream? Recalibrating city digital twins' expectations and reality: a case study perspective","Calzati, S. (TU Delft Urban Data Science)","","2023","While the concept of digital twin has already consolidated in industry, its spinoff in the urban environment—in the form of a City Digital Twin (CDT)—is more recent. A CDT is a dynamic digital model of the physical city whereby the physical and the digital are integrated in both directions, thus mutually affecting each other in real time. Replicating the path of smart cities, literature remarks that agendas and discourses around CDTs remain (1) tech-centered, that is, focused on overcoming technical limitations and lacking a proper sociotechnical contextualization of digital twin technologies; (2) practice-first, entailing hands-on applications without a long-term strategic governance for the management of these same technologies. Building on that, the goal of this article is to move beyond high-level conceptualizations of CDT to (a) get a cognizant understanding of what a CDT can do, how, and for whom; (b) map the current state of development and implementation of CDTs in Europe. This will be done by looking at three case studies—Dublin, Helsinki, and Rotterdam—often considered as successful examples of CDTs in Europe. Through exiting literature and official documents, as well as by relying on primary interviews with tech experts and local officials, the article explores the maturity of these CDTs, along the Gartner's hype-mainstream curve of technological innovations. Findings show that, while all three municipalities have long-term plans to deliver an integrated, cyber-physical real-time modeling of the city, currently their CDTs are still at an early stage of development. The focus remains on technical barriers—e.g., integration of different data sources—overlooking the societal dimension, such as the systematic involvement of citizens. As for the governance, all cases embrace a multistakeholder approach; yet CDTs are still not used for policymaking and it remains to see how the power across stakeholders will be distributed in terms of access to, control of, and decisions about CDTs.","city digital twin; sociotechnical approach; data governance; urban development; European Union","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:b137d845-be6a-40d4-85be-8381ac1ece21","http://resolver.tudelft.nl/uuid:b137d845-be6a-40d4-85be-8381ac1ece21","Bicycle balance assist system reduces roll and steering motion for young and older bicyclists during real-life safety challenges","Alizadehsaravi, L. (TU Delft Biomechatronics & Human-Machine Control); Moore, J.K. (TU Delft Biomechatronics & Human-Machine Control)","","2023","Bicycles are more difficult to control at low speeds due to the vehicle’s unstable low-speed dynamics. This issue might be exacerbated by factors such as aging, disturbances, and multi-tasking. To address this issue, we developed a prototype ‘balance assist system’ with Royal Dutch Gazelle and Bosch eBike Systems at Delft University of Technology, which includes an electric motor capable of providing additional steering torque. We implemented a speed-adaptive feedback controller to generate the additional steering torque to that of the rider. We conducted a study with 18 older and 14 younger cyclists to first examine the effect of aging, disturbances, and multi-tasking on cycling at lower forward speeds, and evaluate the effectiveness of the system in improving the stability of the rider-bicycle system while facing these challenges. The study consisted of two scenarios: a single-task scenario where participants rode the bicycle on a marked narrow straight-line track, and a multi-task scenario where participants performed a shoulder check task and followed visual cues while tracking the straight-line. We introduced handlebar disturbances using the steer motor in half of the trials in both scenarios. All trials were repeated with and without the balance assist system. We calculated the bicycle mean magnitude of roll and steering rate—as indicators of bicycle balance control and required steering actions, respectively—and the rider’s mean magnitude of lean rate with respect to the ground to investigate the effect of the balance assist system on rider’s lateral motion. Our results showed that aging, disturbances, and multi-tasking increased the roll rate, and the balance assist system was able to significantly reduce it. The effect size of the balance assist system in reducing the roll rate across all conditions was found to be larger in older cyclists, indicating a more substantial impact compared to younger cyclists. Disturbances and multi-tasking increased the steering rate, which was successfully reduced by the balance assist system. Aging did not significantly affect the steering rate. The rider’s lean rate was not significantly affected by age, disturbances, or the balance assist, indicating that the upper body plays a minor role when riders have good steering control authority. Overall, our findings suggest that lateral motion and required steering action can be affected by age, multi-tasking, and handlebar disturbances which can endanger cyclists’ safety, and the balance assist system has the potential to improve cycling safety and reduce the incidence of single-actor crashes. Further investigation on riders’ contribution to control actions is required.","Balance assist; Aging; Bicycle; Safety; Balance control; Assistive technology; Multi-task; Disturbance","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:1c48108c-7577-429e-9f0e-87440f051e8f","http://resolver.tudelft.nl/uuid:1c48108c-7577-429e-9f0e-87440f051e8f","Co-simulation-based optimal reactive power control in smart distribution network","Wagle, Raju (University of Tromsø); Pham, Le Nam Hai (University of South-Eastern Norway); Tricarico, Gioacchino (University of Bari); Sharma, Pawan (University of Tromsø); Rueda, José L. (TU Delft Intelligent Electrical Power Grids); Gonzalez-Longatt, Francisco (University of South-Eastern Norway)","","2023","The increasing integration of distributed energy resources such as photovoltaic (PV) systems into distribution networks introduces intermittent and variable power, leading to high voltage fluctuations. High PV integration can also result in increased terminal voltage of the network during periods of high PV generation and low load consumption. These problems can be solved by optimal utilization of the reactive power capability of a smart inverter. However, solving the optimization problem using a detailed mathematical model of the distribution network may be time-consuming. Due to this, the optimization process may not be fast enough to incorporate this rapid fluctuation when implemented in real-time optimization. To address these issues, this paper proposes a co-simulation-based optimization approach for optimal reactive power control in smart inverters. By utilizing co-simulation, the need for detailed mathematical modeling of the power flow equation of the distribution network in the optimization model is eliminated, thereby enabling faster optimization. This paper compares three optimization algorithms (improved harmony search, simplicial homology global optimization, and differential evolution) using models developed in OpenDSS and DigSilent PowerFactory. The results demonstrate the suitability of the proposed co-simulation-based optimization for obtaining optimal setpoints for reactive power control, minimizing total power loss in distribution networks with high PV integration. This research paper contributes to efficient and practical solutions for modeling optimal control problems in future distribution networks.","Co-simulation; Optimal Reactive power control; Smart distribution networks; Smart inverters","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:84be89e5-7059-474f-993a-31c5a4238337","http://resolver.tudelft.nl/uuid:84be89e5-7059-474f-993a-31c5a4238337","In vitro microglia models: the era of engineered cell microenvironments","Sharaf, A.M.S.E. (TU Delft Micro and Nano Engineering); Timmerman, Raissa (Biomedical Primate Research Centre); Bajramovic, Jeffrey (Biomedical Primate Research Centre; Universiteit Utrecht); Accardo, A. (TU Delft Micro and Nano Engineering)","","2023","The most widely employed approach by cell biologists to performing in vitro cell culture assays is the one using 2D plastic culture ware systems, which allows reproducibility and ease of use. Moreover, this method is cost-effective. However, in most cases, these flat surfaces lead to the formation of unrealistic 2D cell monolayers, which do not reproduce the complex configuration characteristics of native tissues in terms of dimensionality, rigidity, and topography. For this reason, a new generation of interdisciplinary scientists, working across microengineering and cell biology has started to develop engineered cell microenvironments (Huang et al., 2017) by employing advanced materials and fabrication approaches (Fan et al., 2019) over the last two decades. Depending on the level of resolution of the adopted manufacturing technique, the geometrical features of these structures can reach micrometric or even sub-micrometric dimensions comparable to the ones of cellular somas or cellular filopodia, therefore fostering cell-biomaterial interactions. The developed structures are pivotal for a better investigation of fundamental mechanobiology (Lemma et al., 2019), the optimization of in vitro disease modeling, drug/treatment screening (Gao et al., 2021), and tissue engineering (Mani et al., 2022).","","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:c97649b8-6784-449b-96bb-9d0ac4d525c9","http://resolver.tudelft.nl/uuid:c97649b8-6784-449b-96bb-9d0ac4d525c9","Adaptive reuse of heritage buildings; a systematic literature review of success factors","Vafaie, F. (TU Delft Real Estate Management); Remøy, H.T. (TU Delft Real Estate Management); Gruis, V.H. (TU Delft Real Estate Management)","","2023","Whilst adaptive reuse is often applied with good outcomes, we are also faced with projects that have not achieved the desired results. There is little insight into why some projects succeed and others fail, or even what constitutes “success” at all – due in part to the intangibility of the word “success” and unsettled definitions and synonyms of “adaptive reuse. Accordingly, this paper seeks to answer the question: What are the factors that can be used to assess the success of heritage adaptive reuse projects? This study is framed as a systematic literature review of relevant articles published or in press. The methodology is based on using a PRISMA diagram to address a number of papers that are screened in each step of the diagram: identification, screening, eligibility and included. The literature review process started with 731 in the first step and ends with the final results of 72 papers. The results are classified into ten categories of success factors: architectural, structural, socio-cultural, economic, environmental, energy, authenticity, legal, management and functional factors. Together, these provide a comprehensive understanding of factors that affect the success of adaptive reuse as a strategy to regenerate heritage buildings. This insight facilitates adaptive reuse strategies for designers, architects and real estate developers.","Adaptive reuse; Decision making; Heritage building; Success factors","en","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:b2428f86-4c4b-4b44-96ba-186ab3669ece","http://resolver.tudelft.nl/uuid:b2428f86-4c4b-4b44-96ba-186ab3669ece","SHIP: identifying antimicrobial resistance gene transfer between plasmids","Carvalho Teixeira, M.T. (TU Delft Pattern Recognition and Bioinformatics; University of Porto; INESC-Tec); Pillay, S. (TU Delft Pattern Recognition and Bioinformatics); Urhan, A. (TU Delft Pattern Recognition and Bioinformatics; Broad Institute of MIT and Harvard); Abeel, T.E.P.M.F. (TU Delft Pattern Recognition and Bioinformatics; Broad Institute of MIT and Harvard)","","2023","Motivation: Plasmids are carriers for antimicrobial resistance (AMR) genes and can exchange genetic material with other structures, contributing to the spread of AMR. There is no reliable approach to identify the transfer of AMR genes across plasmids. This is mainly due to the absence of a method to assess the phylogenetic distance of plasmids, as they show large DNA sequence variability. Identifying and quantifying such transfer can provide novel insight into the role of small mobile elements and resistant plasmid regions in the spread of AMR. Results: We developed SHIP, a novel method to quantify plasmid similarity based on the dynamics of plasmid evolution. This allowed us to find conserved fragments containing AMR genes in structurally different and phylogenetically distant plasmids, which is evidence for lateral transfer. Our results show that regions carrying AMR genes are highly mobilizable between plasmids through transposons, integrons, and recombination events, and contribute to the spread of AMR. Identified transferred fragments include a multi-resistant complex class 1 integron in Escherichia coli and Klebsiella pneumoniae, and a region encoding tetracycline resistance transferred through recombination in Enterococcus faecalis.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:da075973-a1e6-4abf-83da-01c4f4b1a0c1","http://resolver.tudelft.nl/uuid:da075973-a1e6-4abf-83da-01c4f4b1a0c1","Observation and control of hybrid spin-wave-Meissner-current transport modes","Borst, M. (TU Delft QN/vanderSarlab; Kavli institute of nanoscience Delft); Vree, P.H. (TU Delft QN/vanderSarlab; Kavli institute of nanoscience Delft); Lowther, A. (Student TU Delft; Kavli institute of nanoscience Delft); Teepe, A. (TU Delft QN/vanderSarlab; Kavli institute of nanoscience Delft); Kurdi, S. (TU Delft QN/vanderSarlab; Kavli institute of nanoscience Delft); Bertelli, I. (TU Delft QN/vanderSarlab; Kavli institute of nanoscience Delft); Simon, B.G. (TU Delft QN/Kavli Nanolab Delft; TU Delft QN/vanderSarlab; Kavli institute of nanoscience Delft); Blanter, Y.M. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft); van der Sar, T. (TU Delft QN/vanderSarlab; Kavli institute of nanoscience Delft)","","2023","Superconductors are materials with zero electrical resistivity and the ability to expel magnetic fields, which is known as the Meissner effect. Their dissipationless diamagnetic response is central to magnetic levitation and circuits such as quantum interference devices. In this work, we used superconducting diamagnetism to shape the magnetic environment governing the transport of spin waves-collective spin excitations in magnets that are promising on-chip signal carriers-in a thin-film magnet. Using diamond-based magnetic imaging, we observed hybridized spin-wave-Meissner-current transport modes with strongly altered, temperature-tunable wavelengths and then demonstrated local control of spin-wave refraction using a focused laser. Our results demonstrate the versatility of superconductor-manipulated spin-wave transport and have potential applications in spin-wave gratings, filters, crystals, and cavities.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-27","","","QN/vanderSarlab","","",""
"uuid:a076fb18-6089-4f1d-985a-97daabddef0e","http://resolver.tudelft.nl/uuid:a076fb18-6089-4f1d-985a-97daabddef0e","Public perception of terrorism attacks: A conjoint experiment","Kantorowicz, Jaroslaw (Universiteit Leiden); Kantorowicz-Reznichenko, Elena (Erasmus Universiteit Rotterdam); de Vries, G. (TU Delft Organisation & Governance)","","2023","In democratic societies, governments cannot act in isolation from public opinion. This is especially true regarding terrorism, where public perception is the instrument targeted by terrorists to achieve their political goals. Nevertheless, governments must also be able to resist public pressure and preserve individual rights. All this suggests that researching public perception of terrorist attacks is crucial. We make an important contribution in this direction by measuring the importance the public assigns to various attributes of terrorist attacks. Using novel methodology (conjoint experiment) and survey data from the UK and The Netherlands (N = 6,315), we find that people are concerned with attacks by immigrants (in the Netherlands), and by individuals acting as part of a terror cell, and with jihadist motivation. Furthermore, past experience with specific terrorist tactics drive preference to address such attacks more than others. In both countries people strongly focus on the severity of attacks, and under-weigh probabilities. The terror attack in the Netherlands in 2019 provided an opportunity to examine perception right after an actual attack. Also there we have found that people’s concerns are driven by experience with specific attacks. A better understanding of terrorism perception can inform policymakers about the gap between optimal strategies to combat terrorism and the expectations of the public.","conjoint experiment; counterterrorism; public perception; terrorism","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:5622b05d-9fb0-495b-82ee-78b5c6f229d2","http://resolver.tudelft.nl/uuid:5622b05d-9fb0-495b-82ee-78b5c6f229d2","Reply to Heinschke, S.; Schneider, J.J. Comment on “Pashchanka, M. Conceptual Progress for Explaining and Predicting Self-Organization on Anodized Aluminum Surfaces. Nanomaterials 2021, 11, 2271”","Pashchanka, M.A. (TU Delft Team Peyman Taheri)","","2023","","","en","journal article","","","","","","","","","","","Team Peyman Taheri","","",""
"uuid:5ce499e4-86e5-4c67-883d-0cbb6443feb8","http://resolver.tudelft.nl/uuid:5ce499e4-86e5-4c67-883d-0cbb6443feb8","Anomaly Detection in WAAM Deposition of Nickel Alloys: Single-Material and Cross-Material Analysis","Rajesh, A. (Student TU Delft); Ya, Wei (Rotterdam Fieldlab Additive Manufacturing (RAMLAB)); Hermans, M.J.M. (TU Delft Team Marcel Hermans)","","2023","The current research work investigates the possibility of using machine learning models to deduce the relationship between WAAM (wire arc additive manufacturing) sensor responses and defect presence in the printed part. The work specifically focuses on three materials from the nickel alloy family (Inconel 718, Invar 36 and Inconel 625) and uses three sensor responses for data analysis, which are welding voltage, welding current and welding audio. Two different machine learning models are used—artificial neural networks (ANNs) and random forests (RF). The results for each of the materials, separately, indicate that the accuracies range from 60% to 90% and the correlation coefficient is less than 0.5 (indicating weak positive correlation), depending on the model and material. In addition to separate material analysis, a cross-material data analysis was formed to test the models’ general prediction capabilities. This led to predictions that are significantly worse, with accuracies ranging from 20% to 27% and very weak correlation coefficients (less than 0.1), indicating that the choice of material is still important as a boundary condition. Analysis of the results indicates that the relative importance of audio sensor response depends on the nature of defect formation. Random forests are found to perform the best for single material analysis, with the comparatively inferior performance of ANNs possibly being due to lack of sufficient datapoints.","WAAM; anomaly detection; machine learning; cross-material prediction; nickel alloys","en","journal article","","","","","","","","","","","Team Marcel Hermans","","",""
"uuid:dd339358-a051-440b-8bdb-89f7d32a3a36","http://resolver.tudelft.nl/uuid:dd339358-a051-440b-8bdb-89f7d32a3a36","Expanding the genome editing toolbox of Saccharomyces cerevisiae with the endonuclease ErCas12a","Bennis, N.X. (TU Delft BT/Industriele Microbiologie); Anderson, Jonah P. (Student TU Delft); Kok, Siebe M.C.; Daran, J.G. (TU Delft BT/Industriele Microbiologie)","","2023","ErCas12a is a class 2 type V CRISPR-Cas nuclease isolated from Eubacterium rectale with attractive fundamental characteristics, such as RNA self-processing capability, and lacks reach-through royalties typical for Cas nucleases. This study aims to develop a ErCas12a-mediated genome editing tool applicable in the model yeast Saccharomyces cerevisiae. The optimal design parameters for ErCas12a editing in S. cerevisiae were defined as a 21-nt spacer flanked by 19 nt direct repeats expressed from either RNApolII or III promoters, achieving near 100% editing efficiencies in commonly targeted genomic locations. To be able to transfer the ErCas12a genome editing tool to different strain lineages, a transportable platform plasmid was constructed and evaluated for its genome editing efficiency. Using an identical crRNA expression design, the transportable ErCas12a genome editing tool showed lower efficiency when targeting the ADE2 gene. In contrast to genomic Ercas12a expression, episomal expression of Ercas12a decreases maximum specific growth rate on glucose, indicating ErCas12a toxicity at high expression levels. Moreover, ErCas12a processed a multispacer crRNA array using the RNA self-processing capability, which allowed for simultaneous editing of multiple chromosomal locations. ErCas12a is established as a valuable addition to the genetic toolbox for S. cerevisiae.","ErCas12a (MAD7); Saccharomyces cerevisiae; CRISPR–Cas; genome engineering; multiplexing","en","journal article","","","","","","","","","","","BT/Industriele Microbiologie","","",""
"uuid:21e4b745-8843-4c0b-b4dc-3181002fa33f","http://resolver.tudelft.nl/uuid:21e4b745-8843-4c0b-b4dc-3181002fa33f","Clavien-Dindo, comprehensive complication index and classification of intraoperative adverse events: a uniform and holistic approach in adverse event registration for (deep) endometriosis surgery","Metzemaekers, Jeroen (Leiden University Medical Center); Bouwman, Lotte (Leiden University Medical Center); De Vos, Marit (Leiden University Medical Center); Van Nieuwenhuizen, Kim (Leiden University Medical Center); Twijnstra, A.R.H. (Leiden University Medical Center); Smeets, Maddy (Leiden University Medical Center); Jansen, F.W. (TU Delft Medical Instruments & Bio-Inspired Technology; Leiden University Medical Center); Blikkendaal, Mathijs (Leiden University Medical Center)","","2023","STUDY QUESTION: What is the additional value of the comprehensive complication index (CCI) and ClassIntra system (classification for intraoperative adverse events (ioAEs)) in adverse event (AE) reporting in (deep) endometriosis (DE) surgery compared to only using the Clavien-Dindo (CD) system? SUMMARY ANSWER: The CCI and ClassIntra are useful additional tools alongside the CD system for a complete and uniform overview of the total AE burden in patients with extensive surgery (such as DE), and with this uniform data registration, it is possible to provide greater insight into the quality of care. WHAT IS KNOWN ALREADY: Uniform comparison of AEs reported in the literature is hampered by scattered registration. In endometriosis surgery, the usage of the CD complication system and the CCI is internationally recommended; however, the CCI is not routinely adapted in endometriosis care and research. Furthermore, a recommendation for ioAEs registration in endometriosis surgery is lacking, although this is vital information in surgical quality assessments. STUDY DESIGN, SIZE, DURATION: A prospective mono-center study was conducted with 870 surgical DE cases from a nonuniversity DE expertise center between February 2019 and December 2021. PARTICIPANTS/MATERIALS, SETTING, METHODS: Endometriosis cases were collected with the EQUSUM system, a publicly available web-based application for registration of surgical procedures for endometriosis. Postoperative adverse events (poAEs) were classified with the CD complication system and CCI. Differences in reporting and classifying AEs between the CCI and the CD were assessed. ioAEs were assessed with the ClassIntra. The primary outcome measure was to assess the additional value toward the CD classification with the introduction of the CCI and ClassIntra. In addition, we report a benchmark for the CCI in DE surgery. MAIN RESULTS AND THE ROLE OF CHANCE: A total of 870 DE procedures were registered, of which 145 procedures with one or more poAEs, resulting in a poAE rate of 16.7% (145/870), of which in 36 cases (4.1%), the poAE was classified as severe (Grade 3b). The median CCI (interquartile range) of patients with poAEs was 20.9 (20.9-31.7) and 33.7 (33.7-39.7) in the group of patients with severe poAEs. In 20 patients (13.8%), the CCI was higher than the CD because of multiple poAEs. There were 11 ioAEs reported (11/870, 1.3%) in all procedures, mostly minor and directly repaired serosa injuries. LIMITATIONS, REASONS FOR CAUTION: This study was conducted at a single center; thus, trends in AE rates and type of AEs could differ from other centers. Furthermore, no conclusion could be drawn on ioAEs in relation to the postoperative course because the power of this database is not robust enough for that purpose. WIDER IMPLICATIONS OF THE FINDINGS: From our data, we would advise to use the Clavien-Dindo classification system together with the CCI and ClassIntra for a complete overview of AE registration. The CCI appeared to provide a more complete overview of the total burden of poAEs compared to only reporting the most severe poAEs (as with CD). If the use of the CD, CCI, and ClassIntra is widely adapted, uniform data comparison will be possible at (inter)national level, providing better insight into the quality of care. Our data could be used as a first benchmark for other DE centers to optimize information provision in the shared decision-making process.","adverse events; Clavien-Dindo; complications; deep endometriosis; endometriosis","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:175d094d-71d8-4c46-a48c-8b676adb50f2","http://resolver.tudelft.nl/uuid:175d094d-71d8-4c46-a48c-8b676adb50f2","Compliant joints utilizing the principle of closed form pressure balancing","Sonneveld, D.D. (TU Delft Mechatronic Systems Design); Nijssen, J.P.A. (TU Delft Mechatronic Systems Design); van Ostayen, R.A.J. (TU Delft Mechatronic Systems Design)","","2023","Compliant joints have significant advantages compared to rigid-body hinges due to a monolithic design and the absence of friction, which prevents effects like wear, backlash, and stick-slip behavior. However, the loading capability is often limited and the support stiffness generally decreases during rotation. A new design principle called closed form pressure balancing has been proposed as a solution to improve these limitations. By using an incompressible fluid as the main compliant element, the support stiffness becomes independent of rotation and buckling no longer limits the loading capability. This work analyzes the fundamental working principle behind closed form pressure balancing and introduces a 2D design model to determine stiffness properties. The design model is validated with a finite element model and used to construct an optimization strategy for optimum joint performance. Additionally, a conversion model and some practical considerations are presented for the transition to a 3D design model.","compliant joint; universal joint; closed form pressure balancing; large rotation","en","journal article","","","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:cf5cbff8-5b0e-4463-bfac-cd3f497dc8d9","http://resolver.tudelft.nl/uuid:cf5cbff8-5b0e-4463-bfac-cd3f497dc8d9","3D printed patient-specific fixation plates for the treatment of slipped capital femoral epiphysis: Topology optimization vs. conventional design","Moosabeiki, Vahid (TU Delft Biomaterials & Tissue Biomechanics); de Winter, N. (Onze Lieve Vrouwe Gasthuis; Student TU Delft); Cruz Saldivar, M. (TU Delft Biomaterials & Tissue Biomechanics); Leeflang, M.A. (TU Delft Biomaterials & Tissue Biomechanics); Witbreuk, M. M.E.H. (Onze Lieve Vrouwe Gasthuis); Lagerburg, V. (Onze Lieve Vrouwe Gasthuis); Mirzaali, Mohammad J. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics; Leiden University Medical Center)","","2023","Orthopedic plates are commonly used after osteotomies for temporary fixation of bones. Patient-specific plates have recently emerged as a promising fixation device. However, it is unclear how various strategies used for the design of such plates perform in comparison with each other. Here, we compare the biomechanical performance of 3D printed patient-specific bone plates designed using conventional computer-aided design (CAD) techniques with those designed with the help of topology optimization (TO) algorithms, focusing on cases involving slipped capital femoral epiphysis (SCFE). We established a biomechanical testing protocol to experimentally assess the performance of the designed plates while measuring the full-field strain using digital image correlation. We also created an experimentally validated finite element model to analyze the performance of the plates under physiologically relevant loading conditions. The results indicated that the TO construct exhibited higher ultimate load and biomechanical performance as compared to the CAD construct, suggesting that TO is a viable approach for the design of such patient-specific bone plates. The TO plate also distributed stress more evenly over the screws, likely resulting in more durable constructs and improved anatomical conformity while reducing the risk of screw and plate failure during cyclic loading. Although differences existed between finite element analysis and experimental testing, this study demonstrated that finite element modelling can be used as a reliable method for evaluating and optimizing plates for SCFE patients. In addition to enhancing the mechanical performance of patient-specific fixation plates, the utilization of TO in plate design may also improve the surgical outcome and decrease the recovery time by reducing the plate and incision sizes.","Biomechanical validation; Finite element analysis; Orthopedic surgery; Patient-specific medical devices; Topology optimization","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:21f8ab49-9e84-49b4-b1a2-63602495d9ab","http://resolver.tudelft.nl/uuid:21f8ab49-9e84-49b4-b1a2-63602495d9ab","Minimizing the effective graph resistance by adding links is NP-hard","Kooij, Robert (TU Delft Quantum & Computer Engineering; TNO); Achterberg, M.A. (TU Delft Network Architectures and Services)","","2023","The effective graph resistance, also known as the Kirchhoff index, is metric that is used to quantify the robustness of a network. We show that the optimisation problem of minimizing the effective graph resistance of a graph by adding a fixed number of links, is NP-hard.","Effective graph resistance; Graph augmentation; NP-hard","en","journal article","","","","","","","","","","Quantum & Computer Engineering","Network Architectures and Services","","",""
"uuid:56f54d96-9bca-4bdc-bac5-ce2583557926","http://resolver.tudelft.nl/uuid:56f54d96-9bca-4bdc-bac5-ce2583557926","Exploring the BiFeO3-PbTiO3-SrTiO3 Ternary System to Obtain Good Piezoelectrical Properties at Low and High Temperatures","Tuluk, A. (TU Delft Novel Aerospace Materials); van der Zwaag, S. (TU Delft Novel Aerospace Materials)","","2023","In this work, we investigated the piezoelectric properties of BiFeO3-rich (1 − (y + x)) BiFeO3–y PbTiO3–x SrTiO3 (0.1 ≤ x ≤ 0.35; 0.1 ≤ y ≤ 0.3) bulk piezoceramics, as this system could potentially lead to the development of bulk piezoelectric ceramics that are suitable for high-temperature applications (>200 °C). Samples with various levels of PbTiO3 and SrTiO3 were prepared via a conventional solid-state route. X-ray diffraction confirmed a pure perovskite phase for the compositions, which was explored without secondary phases. It was found that the addition of comparable levels of PbTiO3 and SrTiO3 to the BiFeO3 ceramic resulted in higher piezoelectric properties compared to those of the pure BiFeO3 and binary systems. The Curie temperature was significantly reduced by dual doping, with SrTiO3 and PbTiO3 additions resulting in comparable Curie temperature depressions. The locations of the phase boundaries between the cubic, pseudocubic, and rhombohedral crystal structures were determined. The highest piezoelectric properties, including a d33 value of 250 pC/N at room temperature, were obtained for the samples with the composition x = 0.3, y = 0.25, which was close to the cubic–pseudocubic phase boundary in the phase diagram. The temperature dependence of the piezoelectric properties varied depending on the previous thermal history, yet an appropriate heat treatment resulted in an almost temperature-stable d33 value. The ceramic with the lowest temperature sensitivity and a high Curie temperature of 350 °C was found for x = 0.1, y = 0.2 with a d33 value of 60 pC/N at RT and 71 pC/N at 300 °C (after poling at 60 kV/cm and a stabilizing heat treatment). However, the materials developed were still unsuitable for applications at high temperatures due to a rapidly increasing electrical conductivity with increasing temperature.
2, NaCl-CrCl3, and FeCl2-CrCl2 Pseudo-Binary Systems for Describing the Corrosion Chemistry Between Molten Salt Fuel and Steel","Tiwari, V. (CEA Cadarache; CEA-Saclay); Abbink, T. F. (Student TU Delft); Ocadiz flores, J.A. (TU Delft RST/Reactor Physics and Nuclear Materials); Flèche, J. L. (CEA-Saclay); Gueneau, C. (CEA-Saclay); Chatain, S. (CEA-Saclay); Smith, A.L. (TU Delft RST/Reactor Physics and Nuclear Materials); Martinet, J. (CEA Cadarache); Venard, C. (CEA Cadarache)","","2023","A thorough understanding of the corrosion chemistry between molten salt fuel and structural materials (e.g., steel) is key for the advancement of Molten Salt Reactor technology. In this work, we consider more specifically the case of a chloride fuel salt mixture and the thermochemistry of a salt mixture such as (NaCl-MgCl2-PuCl3) in interaction with (Fe, Cr, Ni). The present work aims at the development of a thermodynamic model of the key subsystems NaCl-CrCl2, NaCl-CrCl3, and FeCl2-CrCl2 to predict corrosion products that may form between molten salt and structural materials. The Modified Quasichemical Model in the quadruplet approximation is used to describe the Gibbs energy of the liquid phase. A critical review of the existing phase diagram and thermodynamic data on theses systems is first presented. To alleviate the lack of data, ab initio calculations coupled with a quasi-harmonic approach are performed to estimate the thermodynamic properties for the intermediate solid compounds Na2CrCl4 and Na3CrCl6, which exist in the NaCl-CrCl2 and NaCl-CrCl3 systems, respectively. These atomistic simulation data together with selected experimental data are then used as input for the thermodynamic assessment of the three subsystems.","CALPHAD approach; FeCl-CrCl; Molten salt reactors; NaCl-CrCl","en","journal article","","","","","","","","","","","RST/Reactor Physics and Nuclear Materials","","",""
"uuid:8273643b-4c5c-4a22-a501-d4e97e7587e7","http://resolver.tudelft.nl/uuid:8273643b-4c5c-4a22-a501-d4e97e7587e7","Mangrove ecosystem properties regulate high water levels in a river delta","Pelckmans, Ignace (Universiteit Antwerpen); Belliard, Jean-Philippe (Universiteit Antwerpen; Royal Belgian Institute of Natural Sciences); Dominguez-Granda, Luis E. (Escuela Superior Politecnica del Litoral, Guayaquil); Slobbe, D.C. (TU Delft Physical and Space Geodesy); Temmerman, Stijn (Universiteit Antwerpen); Gourgue, Olivier (Universiteit Antwerpen; Boston University; Royal Belgian Institute of Natural Sciences)","","2023","Intertidal wetlands, such as mangroves in the tropics, are increasingly recognized for their role in nature-based mitigation of coastal flood risks. Yet it is still poorly understood how effective they are at attenuating the propagation of extreme sea levels through large (order of 100 km2) estuarine or deltaic systems, with complex geometry formed by networks of branching channels intertwined with mangrove and intertidal flat areas. Here, we present a delta-scale hydrodynamic modelling study, aiming to explicitly account for these complex landforms, for the case of the Guayas delta (Ecuador), the largest estuarine system on the Pacific coast of Latin America. Despite coping with data scarcity, our model accurately reproduces the observed propagation of high water levels during a spring tide. Further, based on a model sensitivity analysis, we show that high water levels are most sensitive to the mangrove platform elevation and degree of channelization but to a much lesser extent to vegetation-induced friction. Mangroves with a lower surface elevation, lower vegetation density, and higher degree of channelization all favour a more efficient flooding of the mangroves and therefore more effectively attenuate the high water levels in the deltaic channels. Our findings indicate that vast areas of channelized mangrove forests, rather than densely vegetated forests, are most effective for nature-based flood risk mitigation in a river delta.","","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:c679dcd6-0014-4d8c-8bca-645c8fcd5271","http://resolver.tudelft.nl/uuid:c679dcd6-0014-4d8c-8bca-645c8fcd5271","Erratum - Influence of edge enhancement applied in endoscopic systems on sharpness and noise (Erratum)","Geleijnse, Geert (Erasmus MC); Rieger, B. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Rieger group)","","2023","[This corrects the article DOI: 10.1117/1.JBO.27.10.106001.].","","en","journal article","","","","","","","","","","","ImPhys/Computational Imaging","","",""
"uuid:16eb0243-3612-404f-90e8-adcff75dc419","http://resolver.tudelft.nl/uuid:16eb0243-3612-404f-90e8-adcff75dc419","Cloud Botany: Shallow Cumulus Clouds in an Ensemble of Idealized Large-Domain Large-Eddy Simulations of the Trades","Jansson, F.R. (TU Delft Atmospheric Remote Sensing); Janssens, M. (TU Delft Atmospheric Remote Sensing; Wageningen University & Research); Grönqvist, Johanna H. (Åbo Akademi University; Universiteit van Amsterdam); Siebesma, A.P. (TU Delft Atmospheric Remote Sensing; Rijksinstituut voor Volksgezondheid en Milieu (RIVM)); Glassmeier, F. (TU Delft Atmospheric Remote Sensing); Attema, Jisk (Netherlands eScience Center); Azizi, Victor (Netherlands eScience Center); Satoh, Masaki (University of Tokyo); Sato, Yousuke (Hokkaido University; RIKEN Center for Computational Science)","","2023","Small shallow cumulus clouds (less-than 1 km) over the tropical oceans appear to possess the ability to self-organize into mesoscale (10–100 km) patterns. To better understand the processes leading to such self-organized convection, we present Cloud Botany, an ensemble of 103 large-eddy simulations on domains of 150 km, produced by the Dutch Atmospheric Large Eddy Simulation model on supercomputer Fugaku. Each simulation is run in an idealized, fixed, larger-scale environment, controlled by six free parameters. We vary these over characteristic ranges for the winter trades, including parameter combinations observed during the EUREC4A (Elucidating the role of clouds–circulation coupling in climate) field campaign. In contrast to simulation setups striving for maximum realism, Cloud Botany provides a platform for studying idealized, and therefore more clearly interpretable causal relationships between conditions in the larger-scale environment and patterns in mesoscale, self-organized shallow convection. We find that any simulation that supports cumulus clouds eventually develops mesoscale patterns in their cloud fields. We also find a rich variety in these patterns as our control parameters change, including cold pools lined by cloudy arcs, bands of cross-wind clouds and aggregated patches, sometimes topped by thin anvils. Many of these features are similar to cloud patterns found in nature. The published data set consists of raw simulation output on full 3D grids and 2D cross-sections, as well as post-processed quantities aggregated over the vertical (2D), horizontal (1D) and all spatial dimensions (time-series). The data set is directly accessible from Python through the use of the EUREC4A intake catalog.","Cumulus clouds; Large eddy simulation; organization","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:8933ad1e-2d90-4222-98e4-0447b280556a","http://resolver.tudelft.nl/uuid:8933ad1e-2d90-4222-98e4-0447b280556a","Learning from past in the aircraft maintenance industry: An empirical evaluation in the safety management framework","Tyagi, Alok (UPES University); Tripathi, Rajesh (UPES University); Bouarfa, S. (TU Delft Air Transport & Operations)","","2023","The growth of commercial air transport arguably translates into more aging passenger aircraft queuing up for major maintenance, modifications, and/or freighter conversion with the aircraft maintenance industry. In the competitive business environment, this increased maintenance demand possesses the potential to stress the industry and make safety vulnerable. In the aircraft maintenance industry, several aircraft accidents and incidents have resulted from organizational failure to learn from the past. To address this chronic problem, this study aims to (a) establish a learning process model for the aircraft maintenance industry, (b) identify the factors that influence learning, and (c) determine the effect of identified factors on learning from the past. A review of scholarly articles and regulatory publications enabled the development of learning from the past process model and a data collection tool, followed by structural equation modeling to quantify the relationship among influencing factors. The study was conducted in the Indian aircraft maintenance environment and is based on the perspective of the front-line maintenance staff. The study found that safety communication is the decisive stage for learning from the past. Contextualization of the safety information and evaluating the lessons learned during safety communication strongly impact learning from the past, for which existing regulatory provisions are vulnerable. The findings of this study are meant to assist State regulators and management of the aircraft maintenance industry; nevertheless, safety managers and practitioners in other ultra-safe, high-risk sectors may also apply the results in compliance with the respective regulatory guidelines.","Hazards; Regulatory framework; Safety communication; Safety investigations; Safety management system; Voluntary reporting","en","journal article","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:9f852b8d-27a1-4799-be2b-071bfeb4713d","http://resolver.tudelft.nl/uuid:9f852b8d-27a1-4799-be2b-071bfeb4713d","Does Crowdshipping of Parcels Generate New Passenger Trips? Evidence from the Netherlands","Cebeci, M.S. (TU Delft Transport and Planning); Tapia, Rodrigo Javier (TU Delft Transport and Planning); Nadi Najafabadi, A. (TU Delft Transport and Planning); de Bok, M.A. (TU Delft Transport and Planning); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics)","","2023","Crowdsourced shipping or crowdshipping is a promising solution to sustainable parcel delivery, owing to the potential to consolidate freight trips with preexisting passenger trips. Previous literature focuses on these consolidation benefits but does not address the possibility of new activity generation in crowdshipping. In this study, we investigate the willingness of private persons to accept shipments based on a newly generated home-based trip. We compare this to the choices of occasional carriers who build on the daily home–work commute to deliver parcels. Two stated preference experiments are conducted and a multinomial logit choice model and a latent class choice model are employed. These allow us to provide values of time of the occasional carriers, as an original contribution to the literature. The results show that commute-based carrier values of time are higher than those of home-based carriers. Concerning the trip generating power of crowdshipping, we find that low-income groups have a relatively high propensity to generate a home-based pickup and delivery trip. Finally, parcel lockers as delivery points positively influence acceptance of crowdshipping requests, as they allow for more flexibility in delivery times. Together, these results support the notion that crowdshipping can act as a potential trip generator in households.","urban freight transport; last-mile; crowdshipping; trip generation; discrete choice modeling","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:e7376cbb-e05f-43a4-a5d0-22f535aeeb88","http://resolver.tudelft.nl/uuid:e7376cbb-e05f-43a4-a5d0-22f535aeeb88","Resource and Waste Engineering at water utility Waternet","van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet)","","2023","","","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:5961cf4c-07ba-404f-97d4-ab4712d4d62b","http://resolver.tudelft.nl/uuid:5961cf4c-07ba-404f-97d4-ab4712d4d62b","A 120.9-dB DR Digital-Input Capacitively Coupled Chopper Class-D Audio Amplifier","Zhang, H. (TU Delft Electronic Components, Technology and Materials; TU Delft Electronic Instrumentation); Berkhout, M. (TU Delft Electronic Instrumentation; Goodix Technologies); Makinwa, K.A.A. (TU Delft Microelectronics); Fan, Q. (TU Delft Electronic Components, Technology and Materials)","","2023","This article presents a digital-input class-D amplifier (CDA) achieving high dynamic range (DR) by employing a chopped capacitive feedback network and a capacitive digital-to-analog converter (DAC). Compared with conventional resistive-feedback CDAs driven by resistive or current-steering DACs, the proposed architecture eliminates the noise from the DAC and feedback resistors. Intermodulation between the chopping, pulsewidth modulation (PWM), and DAC sampling frequency is analyzed to avoid negative impacts on the DR and linearity. Real-time dynamic element matching (RTDEM) is employed to address distortion due to mismatch in the DAC, while its intersymbol interference (ISI) is eliminated by deadbanding. The prototype, implemented in a 180-nm bipolar, CMOS, and DMOS (BCD) process, achieves 120.9 dB of DR and a peak total harmonic distortion plus noise (THD+N) of-111.2 dB. It can drive a maximum of 15/26 W into an 8-/4-Ω load with a peak efficiency of 90%/86%.","Capacitively coupled chopper amplifier (CCCA); Choppers (circuits); class-D amplifier (CDA); digital-to-analog converter; dynamic element matching (DEM); Finite impulse response filters; Gain; intersymbol interference (ISI); Jitter; Preamplifiers; Pulse width modulation; Quantization (signal)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-06","","Microelectronics","Electronic Components, Technology and Materials","","",""
"uuid:b4f338d6-cdd7-4d7b-87db-df44aa728a0b","http://resolver.tudelft.nl/uuid:b4f338d6-cdd7-4d7b-87db-df44aa728a0b","High-Energy Radiation Effects on Silicon NPN Bipolar Transistor Electrical Performance: A Study with 1 MeV Proton Irradiation","El Ghazi, Haddou (Hassan II University of Casablanca; University of Sidi Mohamed Ben Abdullah); En-nadir, Redouane (University of Sidi Mohamed Ben Abdullah); Jorio, Anouar (University of Sidi Mohamed Ben Abdullah); Basyooni, Mohamed A. (TU Delft Dynamics of Micro and Nano Systems)","","2023","This study investigates the degradation of the silicon NPN transistor’s emitter-base junction, specifically the 2N2219A model, under both forward and reverse polarization. We examine the current–voltage characteristics under the influence of 1 MeV proton irradiation at various fluencies, which are 5.3×108,5.3×1010,5×1011,5×1012, and 5×1013 protons/cm², all conducted at 307 K. The experimental findings elucidate a pronounced dependency of diode parameters, including the reverse saturation current, series resistance, and the non-idealist factor, on the incident proton flow. This observation underscores that proton-induced degradation is primarily driven by displacement damage, while recorded degradation is predominantly attributed to the generation of defects and interfacial traps within the transistor resulting from exposure to high-energy radiation. Our findings indicate that the effects of irradiation align more closely with the compensation phenomenon in doping rather than its reinforcement.","silicon transistors; NPN-BJTs; emitter-base; proton irradiation; electric performance","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:2243db2b-3852-4718-bcd4-42cab18eafc8","http://resolver.tudelft.nl/uuid:2243db2b-3852-4718-bcd4-42cab18eafc8","Mandarin Chinese translation of the Artificial-Social-Agent questionnaire instrument for evaluating human-agent interaction","Li, Fengxiang (Northeastern University); Fitrianie, S. (TU Delft Interactive Intelligence); Bruijnes, Merijn (Universiteit Utrecht); Abdulrahman, A. (TU Delft Interactive Intelligence); Guo, Fu (Northeastern University); Brinkman, W.P. (TU Delft Interactive Intelligence)","","2023","The Artificial-Social-Agent (ASA) questionnaire is an instrument for evaluating human-ASA interaction. It consists of 19 constructs and related dimensions measured by either 24 questionnaire items (short version) or 90 questionnaire items (long version). The questionnaire was built and validated by a research community effort to make evaluation results more comparable between agents and findings more generalizable. The current questionnaire is in English, which limits its use to only a population with an adequate command of the English language. Translating the questionnaire into more languages allows for the inclusion of other populations and the possibility of comparing them. Therefore, this paper presents a Mandarin Chinese translation of the questionnaire. After three construction cycles that included forward and backward translation, we gave both the final version of the translated and original English questionnaire to 242 bilingual crowd-workers to evaluate 14 ASAs. Results show on average a good level of correlation on the construct/dimension level (ICC M = 0.79, SD = 0.09, range [0.61, 0.95]) and on the item level (ICC M = 0.62, SD = 0.14, range [0.19, 0.92]) between the two languages for the long version, and for the short version (ICC M = 0.66, SD = 0.12, range [0.41, 0.92]). The analysis also established correction values for converting questionnaire item scores between Chinese and English questionnaires. Moreover, we also found systematic differences in English questionnaire scores between the bilingual sample and a previously collected mixed-international English-speaking sample. We hope this and the Chinese questionnaire translation will motivate researchers to study human-ASA interaction among a Chinese literate population and to study cultural similarities and differences in this area.","","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:89104fc9-9a8b-484e-a846-e9ebcb38a146","http://resolver.tudelft.nl/uuid:89104fc9-9a8b-484e-a846-e9ebcb38a146","Effect of Adherend Thickness on Near-Field Ultrasonic Welding of Single-Lap CF/LMPAEK Thermoplastic Composite Joints","Guevara Sotelo, N.S. (TU Delft Aerospace Manufacturing Technologies); Villegas, I.F. (TU Delft Aerospace Structures & Computational Mechanics)","","2023","Ultrasonic welding is a fast and promising joining technique for thermoplastic composite parts. Understanding how changing the part thickness affects the process is crucial to its future upscaling and industrialization. This article presents an initial insight into the effect of the adherend’s thickness on the near-field ultrasonic welding of CF/LMPAEK thermoplastic composites. Different thicknesses of the top and bottom adherend were welded and analyzed using the output data of the welding equipment, temperature measurements, and other visual characterization techniques. Increasing the thickness of both the top and the bottom adherends showed to increase the power consumed during welding. An overshoot in the power needed at the onset of the welding process for increased thickness of the top adherend precluded welding beyond a threshold thickness of 4.72 mm. In the case of the thicker top adherends, there was also melting of the energy director and early fiber squeeze-out within the top adherend as a result of increased bulk heating. Increased bulk heating was hypothesized to be caused by increased hammering, as indicated by the amplitude readings for thicker adherends. Welding with a higher force, which is known to reduce hammering, corroborated this hypothesis as fiber squeeze-out within the top adherend was not observed. It is believed that hammering contributes to heating by causing an oscillatory impact excitation that is close to the natural frequencies of the system, which would result in amplification of the cyclic strain and subsequent increase in the viscoelastic heating in the adherend.","fusion bonding; ultrasonic welding; thermoplastic composites; adherend thickness; hammering effect","en","journal article","","","","","","","","","","","Aerospace Manufacturing Technologies","","",""
"uuid:cdbb1b0e-9eed-499d-b92f-dbba43b4d149","http://resolver.tudelft.nl/uuid:cdbb1b0e-9eed-499d-b92f-dbba43b4d149","Cultural heritage attractors: does spatial configuration matter?: Applications of macro-and micro-spatial configurative analysis in the historic urban area of Rome","Arbara, Sophia (TU Delft Environmental Technology and Design); van Nes, Akkelies (Western Norway University of Applied Sciences); Pereira Roders, A. (TU Delft Heritage & Architecture)","","2023","Top world heritage artifacts act as pedestrian flow attractors in historic urban areas. Despite the growing literature on pedestrian movement in cities, evidence of the relationship between cultural attractors and the spatial characteristics of street spaces between these artifacts is scarce. This contribution applies the theory of natural movement and uses diachronic space syntax and micro-spatial
analysis to investigate the reciprocities between street networks and the presence of global heritage attractors in the historic urban area of Rome. The results from the macro-scale spatial analyses show good correlations between the current most popular cultural attractors and the global integration of the street network. The degree of spatial integration of the street network is particularly important at the time of construction of important artifacts, as shown in the diachronic analyses. City growth and urban transformation can affect the central position of these important artifacts. However, in the case of highly attractive artifacts, these
continue to perform as movement attractors. The result of a local neighbourhood investigation shows that micro-spatial parameters, such as the spatial relationships between building entrances and streets, may influence the choice of routes between important artifacts. Thus, the flows of people’s movement can be
influenced by both micro-spatial street characteristics and spatial configuration.","cultural heritage attractors; natural movement; space syntax; building-street interface","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-29","","","Environmental Technology and Design","","",""
"uuid:c34ff6aa-8275-4c36-bc0d-c97531120f2f","http://resolver.tudelft.nl/uuid:c34ff6aa-8275-4c36-bc0d-c97531120f2f","Direct numerical simulation of supersonic boundary layers over a microramp: effect of the Reynolds number","Della Posta, Giacomo (Sapienza University of Rome); Blandino, M. (Sapienza University of Rome); Modesti, D. (TU Delft Aerodynamics); Salvadore, Francesco (CINECA); Bernardini, M (Sapienza University of Rome)","","2023","Microvortex generators are passive control devices smaller than the boundary layer thickness that energise the boundary layer to prevent flow separation with limited induced drag. In this work, we use direct numerical simulations (DNS) to investigate the effect of the Reynolds number in a supersonic turbulent boundary layer over a microramp vortex generator. Three friction Reynolds numbers are considered, up to, for fixed free stream Mach number and fixed relative height of the ramp with respect to the boundary layer thickness. The high-fidelity data set sheds light on the instantaneous and highly three-dimensional organisation of both the wake and the shock waves induced by the microramp. The full access to the flow field provided by DNS allows us to develop a qualitative model of the near wake, explaining the internal convolution of the Kelvin-Helmholtz vortices around the low-momentum region behind the ramp. The overall analysis shows that numerical results agree excellently with recent experimental measurements in similar operating conditions and confirms that microramps effectively induce a significantly fuller boundary layer even far downstream of the ramp. Moreover, results highlight significant Reynolds number effects, which in general do not scale with the ramp height. Increasing Reynolds number leads to enhanced coherence of the typical vortical structures in the field, faster and stronger development of the momentum deficit region, increased upwash between the primary vortices from the sides of the ramp - and thus increased lift-up of the wake - and faster transfer of momentum towards the wall.","boundary layer control; compressible boundary layers; vortex dynamics","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:054c1fd8-ef2d-41ab-a417-227dc750c7d4","http://resolver.tudelft.nl/uuid:054c1fd8-ef2d-41ab-a417-227dc750c7d4","Exploring the inherent mechanism of residents’ participation behavior in neighborhood regeneration projects: an empirical study using an extended IMB model in China","Fu, Xinyue (Chongqing University); Zhuang, Taozhi (Chongqing University); Huang, R. (TU Delft Design & Construction Management); Dong, Yaxian (The Pennsylvania State University)","","2023","Introduction: Resident participation has gained increasing prominence and significance in the pursuit of sustainable neighborhoods regeneration. However, the current state of resident participation practices remains beset by several challenges, which present formidable impediments to the initiation and execution of neighborhood regeneration initiatives. This study aims to investigate the underlying mechanisms of residents’ participation behavior to enhance resident participation in neighborhood regeneration projects.
Methods: The present study employs the extended Information-Motivation-Behavioral (IMB) model to examine the determinants and mechanisms influencing residents’ willingness and participation in neighborhood regeneration projects, with a specific focus on the Chinese context. Drawing upon data from 477 meticulously validated questionnaires administered to residents, the study applies structural equation modeling (SEM) to unravel the intrinsic dynamics of residents’ participation behavior.
Results: The empirical findings of this research reveal that information, motivation, and the perceived local government support all exert a significant impact on residents’ participation willingness. Notably, motivation emerges as the most influential factor.
Discussion: This study uncovers a direct influence of local government organizations on both residents’ willingness and their actual participation, suggesting that government organizations can spearhead innovative strategies to bolster residents’ willingness and furnish avenues for translating willingness into tangible participation. The outcomes of this study furnish an indispensable theoretical framework and offer policy recommendations that hold paramount importance for the deployment of novel interventions geared toward stimulating active involvement of residents in neighborhood regeneration.","residents’ participation behavior; inherent mechanism; neighborhood regeneration; information-motivation-behavioral model; structural equation modeling","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:cf846534-c4b0-477b-9b1d-2b41409941b3","http://resolver.tudelft.nl/uuid:cf846534-c4b0-477b-9b1d-2b41409941b3","Brasília oder London?: Regierungsarchitekturen zwischen Ordnung und Konflikt","Pohl, D. (TU Delft History, Form & Aesthetics); Ruhl, Carsten (Goethe University)","","2023","","governance and institutions; Political public space","de","journal article","","","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:61981d6e-1408-4e0a-9abd-cd2d428580fa","http://resolver.tudelft.nl/uuid:61981d6e-1408-4e0a-9abd-cd2d428580fa","The estimation of carbon imbalance and driving factors in China's urban residential building sector","You, Kairui (Chongqing University; Chinese Academy of Sciences); Chen, Liu (Chongqing University); Huang, R. (TU Delft Design & Construction Management)","","2023","Understanding the imbalance of carbon emissions in the urban residential building (URB) sector is beneficial for equitable and effective emission reduction policies. However, carbon imbalance in URB and its major driving factors remain unclear. Therefore, according to the Kaya identity and Zenga index, this study aims to analyze the imbalance in carbon emissions and carbon emission unit area of URB from 2005 to 2019. The results represent the following: 1) Although the overall carbon emission unit area reached its peak value (36.17 kgCO2/m2) in 2011, the overall carbon emission of URB did not reach the peak value, arriving at 0.86 BtCO2 in 2019; 2) the obvious imbalance of carbon emission and carbon emission unit area was led by the population and energy consumption unit area, respectively; 3) Compared to the difference in economy, the difference in climate had a larger impact on inter-group imbalance of carbon emission unit area without heating. In summary, these results and provided policies facilitate future formulation of fair and effective provincial decarbonization responsibility and emission mitigation implementation policies.","Carbon emissions; Imbalance analysis; Kaya identity; Urban residential building; Zenga index","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:68806e59-d1e0-42b4-957c-02aa2a42d985","http://resolver.tudelft.nl/uuid:68806e59-d1e0-42b4-957c-02aa2a42d985","Transient modelling of a multi-cell alkaline electrolyzer for gas crossover and safe system operation","Oikonomidis, Silvestros (Student TU Delft); Ramdin, M. (TU Delft Engineering Thermodynamics); Moultos, O. (TU Delft Engineering Thermodynamics); Bos, Albert (XINTC); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics); Rahbari, A. (TU Delft Process and Energy; TU Delft Engineering Thermodynamics; XINTC)","","2023","Due to the intermittency of renewable energy sources, alkaline water electrolyzers are typically operated at partial load compared to the nominal design value. It is well-known that gas crossover is dominant at low current densities leading to higher anodic hydrogen content and higher cathodic oxygen content in the separator tanks. High anodic hydrogen content is tantamount to loss of product hydrogen which results in an explosive atmosphere in the gas phase if the volumetric hydrogen content in oxygen exceeds 4%. We have developed a transient model of a multi-cell stack which can describe the operation of the electrolyzer with mixed electrolyte flows (anolyte and catholyte), separated flows, or a combination thereof (dynamic switching). This is a major extension of the steady-state model developed by Haug et al. (International Journal of Hydrogen Energy, 2017, 42, 15,689–15707). In sharp contrast to the steady-state model by Haug et al., the transient model can calculate the gas crossover as the operating conditions (e.g. electrolyte flow cycles) dynamically change in time. Depending on the size of the stack and the separator tanks, the model estimates different rates for impurities to build up. The transient model is validated using independent experimental results by Haug et al. and Brauns et al. (Electrochimica Acta, 2022, 404, 139,715) The results show that the dynamic model can follow experimental results for fluctuating current densities for a period of several days. We found that the dynamic response and transition time to steady state depend significantly on the geometrical volume of the gas separators with respect to the single-cell stack. For a multi-cell stack, we find that the impurities build-up faster when increasing the number of cells in the stack. This model serves as a tool for sizing and process management of the electrolyzer system and the separator tanks especially with respect to explosion safety.","Alkaline water electrolysis; Gas crossover; Hydrogen; Potassium hydroxide; Transient modelling","en","journal article","","","","","","","","","","Process and Energy","Engineering Thermodynamics","","",""
"uuid:bb6a09a1-15ea-4ec6-a7fd-cbe12eda4b2f","http://resolver.tudelft.nl/uuid:bb6a09a1-15ea-4ec6-a7fd-cbe12eda4b2f","Facilitating adoption of responsible innovation in business through certification","Lukovics, Miklós (University of Szeged); Nagy, Benedek (University of Szeged); Roosenboom-Kwee, Z. (TU Delft Economics of Technology and Innovation); Yaghmaei, E. (TU Delft Ethics & Philosophy of Technology)","","2023","In this paper, we use an economic approach to demonstrate why ‘rational’ businesses are not likely to implement responsible innovation (RI) unless it is economically profitable. The lack of sufficient insights into economic impacts of RI is often induced by information asymmetry. Such asymmetry would hinder consumers who would otherwise be willing to pay higher prices for products or services that are assumed to be associated with RI from actually paying a higher price. We consider the introduction of a certification scheme of RI that would act as a signaling proxy to reduce information asymmetry thereby help increase economic benefits of RI implementation that can further lead to firm profitability. Furthermore, we argue that certification can help facilitate the more effective spread of RI in the business sector by broadening the focus to include not only the ethical engagement of researchers/innovators but also the profit motives of the company.","Certification; Economic profit maximization; Externalities; Information asymmetry; Responsible innovation (RI); Signaling theory","en","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:4c136ce7-31a4-48c1-982f-587c51760242","http://resolver.tudelft.nl/uuid:4c136ce7-31a4-48c1-982f-587c51760242","How can LLMs transform the robotic design process?","Stella, F. (TU Delft Learning & Autonomous Control; Swiss Federal Institute of Technology); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Hughes, Josie (Swiss Federal Institute of Technology)","","2023","We show that large language models (LLMs), such as ChatGPT, can guide the robot design process, on both the conceptual and technical level, and we propose new human–AI co-design strategies and their societal implications.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-07","","","Learning & Autonomous Control","","",""
"uuid:dc9c139a-d59a-4a59-81a3-22bc7c208536","http://resolver.tudelft.nl/uuid:dc9c139a-d59a-4a59-81a3-22bc7c208536","Detection-only versus detection and identification of model misspecifications","Zaminpardaz, Safoora (Royal Melbourne Institute of Technology University); Teunissen, P.J.G. (TU Delft Mathematical Geodesy and Positioning; Curtin University; University of Melbourne)","","2023","It is common practice to use the well-known concept of the minimal detectable bias (MDB) to assess the performance of statistical testing procedures. However, such procedures are usually applied to a null and a set of multiple alternative hypotheses with the aim of selecting the most likely one. Therefore, in the DIA method for the detection, identification and adaptation of model misspecifications, rejection of the null hypothesis is followed by identification of the potential source of the model misspecification. With identification included, the MDBs do not truly reflect the capability of the testing procedure and should therefore be replaced by the minimal identifiable bias (MIB). In this contribution, we analyse the MDB and the MIB, highlight their differences, and describe their impact on the nonlinear DIA-estimator of the model parameters. As the DIA-estimator inherits all the probabilistic properties of the testing procedure, the differences in the MDB and MIB propagation will also reveal the different consequences a detection-only approach has versus a detection+identification approach. Numerical algorithms are presented for computing the MDB and the MIB and also their effect on the DIA-estimator. These algorithms are then applied to a number of examples so as to analyse and illustrate the different concepts.","Detection-Identification-Adaptation (DIA); DIA-estimator; Minimal detectable bias (MDB); Minimal identifiable bias (MIB); Statistical testing","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:7c2891c1-0c16-4cce-ab8e-81b87e375dc5","http://resolver.tudelft.nl/uuid:7c2891c1-0c16-4cce-ab8e-81b87e375dc5","Transformer Resonance: Reasons, Modeling Approaches, Solutions","Heidary, Amir (TU Delft Intelligent Electrical Power Grids); Ghaffarian Niasar, M. (TU Delft High Voltage Technology Group); Popov, M. (TU Delft Intelligent Electrical Power Grids); Lekić, A. (TU Delft Intelligent Electrical Power Grids)","","2023","Due to the extension of the power grid with many complex and compact pieces of power equipment, transformers will be more exposed to fast transient, resulting from various resonance conditions. Transformer resonance can result in severe overvoltage on internal parts of the winding, leading to insulation failure and, consequently, transformer outage. The main reasons for resonance occurrence, the practical method to measure the resonance of transformers, and the solution for preventing transformer resonances have been discussed in the scientific reports over the past few decades; however, a comprehensive review of these studies is not present in the literature. Hence this paper aims to provide a comprehensive review to categorize the main reasons for transformer resonance, modeling methods, and appropriate solutions to suppress this phenomenon and suggest some prospective protection for future works.","Fast transient; transformer’s resonance; protection; models","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:04331047-37fd-4228-bc87-bfae94a68750","http://resolver.tudelft.nl/uuid:04331047-37fd-4228-bc87-bfae94a68750","Estimation of Natural Ventilation Rates in an Office Room with 145 mm-Diameter Circular Openings Using the Occupant-Generated Tracer-Gas Method","Seol, Hyeonji (Technische Hochschule Ostwestfalen-Lippe); Arztmann, Daniel (Technische Hochschule Ostwestfalen-Lippe); Kim, Naree (UBLO Inc.; VS-A KOREA Ltd.); Balderrama, A. (TU Delft Architectural Technology; Technische Hochschule Ostwestfalen-Lippe)","","2023","Natural ventilation in a building is an effective way to achieve acceptable indoor air quality. Ventilation dilutes contaminants such as bioeffluents generated by occupants, substances emitted from building materials, and the water vapor generated by occupants’ activities. In a building that requires heating and cooling, adequate ventilation is crucial to minimize energy consumption while maintaining healthy indoor air quality. However, measuring the actual magnitude of the natural ventilation rate, including infiltration through the building envelope and airflow through the building openings, is not always feasible. Although international and national standards suggested the required ventilation rates to maintain acceptable indoor air quality in buildings, they did not offer action plans to achieve or evaluate those design ventilation rates in buildings in use. In this study, the occupant-generated carbon dioxide (CO2) tracer gas decay method was applied to estimate the ventilation rates in an office room in Seoul, South Korea, from summer to winter. Using the method, real-time ventilation rates can be calculated by monitoring indoor and outdoor CO2 concentrations without injecting a tracer gas. For natural ventilation in the test room, 145 mm-diameter circular openings on the fixed glass were used. As a result, first, the indoor CO2 concentrations were used as an indicator to evaluate how much the indoor air quality deteriorated when all the windows were closed in an occupied office room compared to the international standards for indoor air quality. Moreover, we found out that the estimated ventilation rates varied depending on various environmental conditions, even with the same openings for natural ventilation. Considering the indoor and outdoor temperature differences and outdoor wind speeds as the main factors influencing the ventilation rates, we analyzed how they affected the ventilation rates in the different seasons of South Korea. When the wind speeds were calm, less than 2 m/s, the temperature difference played as a factor that influenced the estimated ventilation rates. On the other hand, when the temperature differences were low, less than 3 °C, the wind speed was the primary factor. This study raises awareness about the risk of poor indoor air quality in office rooms that could lead to health problems or unpleasant working environments. This study presents an example of estimating the ventilation rates in an existing building. By using the presented method, the ventilation rate in an existing building can be simply estimated while using the building as usual, and appropriate ventilation strategies for the building can be determined to maintain the desired indoor air quality.","natural ventilation; occupant-generated CO2 tracer gasmethod; ventilation rates; infiltration rates","en","journal article","","","","","","","","","","","Architectural Technology","","",""
"uuid:4f28e2f8-a0dd-4509-8d3b-942d2b46996c","http://resolver.tudelft.nl/uuid:4f28e2f8-a0dd-4509-8d3b-942d2b46996c","Symmetrization of 2D Polygonal Shapes Using Mixed-Integer Programming","Huang, J. (TU Delft Urban Data Science); Stoter, J.E. (TU Delft Urban Data Science); Nan, L. (TU Delft Urban Data Science)","","2023","Symmetry widely exists in nature and man-made shapes, but it is unavoidably distorted during the process of growth, design, digitalization, and reconstruction steps. To enhance symmetry, traditional methods follow the detect-then-symmetrize paradigm, which is sensitive to noise in the detection phase, resulting in ambiguities for the subsequent symmetrization step. In this work, we propose a novel optimization-based framework that jointly detects and optimizes symmetry for 2D shapes represented as polygons. Our method can detect and optimize symmetry using a single objective function. Specifically, we formulate symmetry detection and optimization as a mixed-integer program. Our method first generates a set of candidate symmetric edge pairs, which are then encoded as binary variables in our optimization. The geometry of the shape is expressed as continuous variables, which are then optimized together with the binary variables. The symmetry of the shape is enforced by the designed hard constraints. After the optimization, both the optimal symmetric edge correspondences and the geometry are obtained. Our method simultaneously detects all the symmetric primitive pairs and enhances the symmetry of a model while minimally altering its geometry. We have tested our method on a variety of shapes from designs and vectorizations, and the results have demonstrated its effectiveness.","Design; Digitalization; Shape optimization; Symmetrization; Symmetry","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:263cc3a9-2d2b-49be-becd-7ec8098f1794","http://resolver.tudelft.nl/uuid:263cc3a9-2d2b-49be-becd-7ec8098f1794","Effective contact texture region aware pavement skid resistance prediction via convolutional neural network","Shi, Weibo (Chang'an University); Niu, Dongyu (Chang'an University); Li, Z. (TU Delft Transport and Planning; Beijing Institute of Technology); Niu, Yanhui (Chang'an University)","","2023","The surface texture of asphalt pavement has a significant effect on skid resistance performance. However, its contribution to the performance of skid resistance is non-homogeneous and subjects to local validity. There are also a few deep learning models that take into account the effective contact texture region. This paper proposes a convolutional neural network model based on the effective contact texture region, containing macro- and micro-scale awareness sub-modules. In this study, the asphalt mixture with varying gradations was designed to accurately obtain the effective contact texture region. Then, the textures were disentangled into macro- and micro-texture scales by applying the fast Fourier transform and fed into the model for training. Finally, the area of effective contact texture region was calculated, and the effective contact ratio parameter was then proposed using the triangulation algorithm. The results showed that the effective contact texture area of pavement varies by the asphalt mixture type. The effective contact ratio parameter exhibited a significant positive correlation (Pearson correlation coefficient is 0.901, R2= 0.8129) with skid resistance performance and was also influenced by key sieve aggregate content from 2.36 to 4.75 mm. The data of effective contact texture region following disentanglement significantly released the model performance (the relative error dropped to 1.81%). The model exhibited improved precision and performance, which can be utilized as an efficient, non-contact alternative method for skid resistance analysis.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-15","","","Transport and Planning","","",""
"uuid:cd305cd6-21a5-4d92-bcfd-f321125bb0d0","http://resolver.tudelft.nl/uuid:cd305cd6-21a5-4d92-bcfd-f321125bb0d0","SARS‑CoV‑2 incidence in secondary schools: the role of national and school‑initiated COVID‑19 measures","Jonker, Lotte (University Medical Center Utrecht); Linde, Kimberly J. (Universiteit Utrecht); de Boer, Annemarijn R. (University Medical Center Utrecht); Ding, Er (TU Delft Environmental & Climate Design); Zhang, D. (TU Delft Environmental & Climate Design); de Hoog, M.L.A. (University Medical Center Utrecht); Herfst, Sander (Erasmus MC); Heederik, Dick (Universiteit Utrecht); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","Introduction: Our aim was to gain insight into the effect of COVID-19 measures on SARS-CoV-2 incidence in secondary schools and the association with classroom CO 2 concentration and airborne contamination. Methods: Between October 2020—June 2021, 18 schools weekly reported SARS-CoV-2 incidence and completed surveys on school-initiated COVID-19 measures (e.g. improving hygiene or minimizing contacts). CO 2 was measured in occupied classrooms twice, and SARS-CoV-2 air contamination longitudinally using electrostatic dust collectors (EDC) and analyzed using RT-qPCR. National COVID-19 policy measures varied during pre-lockdown, lockdown and post-lockdown periods. During the entire study, schools were recommended to improve ventilation. SARS-CoV-2 incidence rate ratios (IRR) were estimated by Generalized Estimating Equation (GEE) models. Results: During 18 weeks follow-up (range: 10–22) SARS-CoV-2 school-incidence decreased during national lockdown (adjusted IRR: 0.41, 95%CI: 0.21–0.80) and post-lockdown (IRR: 0.60, 0.39–0.93) compared to pre-lockdown. School-initiated COVID-19 measures had no additional effect. Pre-lockdown, IRRs per 10% increase in time CO 2 exceeded 400, 550 and 800 ppm above outdoor level respectively, were 1.08 (1.00–1.16), 1.10 (1.02–1.19), and 1.08 (0.95–1.22). Post-lockdown, CO 2-concentrations were considerably lower and not associated with SARS-CoV-2 incidence. No SARS-CoV-2 RNA was detected in any of the EDC samples. Conclusion: During a period with low SARS-CoV-2 population immunity and increased attention to ventilation, with CO 2 levels most of the time below acceptable thresholds, only the national policy during and post-lockdown of reduced class-occupancy, stringent quarantine, and contact testing reduced SARS-CoV-2 incidence in Dutch secondary schools. Widespread SARS-CoV-2 air contamination could not be demonstrated in schools under the prevailing conditions during the study.","SARS-CoV-2; COVID-19 measures; Secondary schools; Air contamination; CO2 concentration","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:17f03f25-09e3-4b4b-8da1-742c8ea8114f","http://resolver.tudelft.nl/uuid:17f03f25-09e3-4b4b-8da1-742c8ea8114f","Model-based evaluation and data requirements for parallel kinetic experimentation and data-driven reaction identification and optimization","Jiscoot, N. (TU Delft ChemE/Inorganic Systems Engineering); Uslamin, E. (TU Delft ChemE/Inorganic Systems Engineering); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering)","","2023","Recently there has been growing interest in implementing the high-throughput approach to access the dynamics of chemical processes across different fields. With an ever-increasing amount of data provided by high-throughput experimentation, the development of fully-integrated workflows becomes crucial. These workflows should combine novel experimental tools and interpretation methods to convert the data into valuable information. To design feasible data-driven workflows, it is necessary to estimate the value of information and balance it with the number of experiments and resources required. Basing this kind of workflow on actual physical models appears to be a more feasible strategy as compared to data-extensive empirical statistical methods. Here we show an algorithm that constructs and evaluates kinetic models of different complexity. The algorithm facilitates the evaluation of the experimental data quality and quantity
requirements needed for the reliable discovery of the rates driving the corresponding chemical models. The influence of the quality and quantity of data on the obtained results was indicated by the accuracy of the estimates of the kinetic parameters. We also show that this method can be used to find correct reaction scenarios directly from simulated kinetic data with little to no overfitting. Well-fitting models for theoretical data can then be used as a proxy for optimizing the underlying chemical systems. Taking real physical effects into account, this approach goes beyond: we show that with the kinetic models, one can make a direct, unbiased, quantitative connection between kinetic data and the reaction scenario.","","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:015e53cd-7920-4065-94a6-0136bcfe15a0","http://resolver.tudelft.nl/uuid:015e53cd-7920-4065-94a6-0136bcfe15a0","Optical and electrical features of calcium molybdate scheelite solar cells","das Neves Stigger, Ananda Ramires (Federal University of Pelotas); Fonseca Hernandes, V. (TU Delft QN/Greplová Lab; Kavli institute of nanoscience Delft; Federal University of Pelotas); Meneghetti Ferrer, Mateus (Federal University of Pelotas); Lucio Moreira, Mario (Federal University of Pelotas)","","2023","Calcium molybdate (CMO) is a material used in several technological applications. In this work, we explored the correlation between the optical and electrical properties of CMO in solar cell photoanodes. Six samples were prepared by a microwave-assisted hydrothermal method with pH values of 4, 7, and 10 associated with temperatures of 100 °C and 140 °C. These samples were used as a replacement for titanium dioxide TiO 2 in Graetzel solar cells. A thin blocking layer (BL), a dense and translucent film, was deposited over a CMO layer using a doctor-blade method, to create a heterojunction. We show that a strict correlation between pH, temperature, processing time, and photovoltaic response exists in CMO scheelite and needs to be considered to achieve optimal photovoltaic behavior. Almost all samples achieved typical solar cell responses, except that synthesized with pH 4 at 100 °C, which shows an anomalous behavior. Among these samples, the one synthesized with pH 10 at 100 °C was identified as the most suitable candidate for down-converter materials in solar energy applications, due to its typical diode-like properties, with an upper J sc = 180 μA cm −2, V oc = 607 mV and FF = 0.45.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-25","","","QN/Greplová Lab","","",""
"uuid:cf855c03-e5f0-4812-a330-c930922b08f9","http://resolver.tudelft.nl/uuid:cf855c03-e5f0-4812-a330-c930922b08f9","Environmental inefficiencies for arrival flights at European airports","Olive, Xavier (ENSIACET); Sun, Junzi (TU Delft Control & Simulation); Basora, Luis (Université de Toulouse; ENSIACET); Spinielli, Enrico (EUROCONTROL)","","2023","In this paper, we analyze two months of trajectory data for aircraft landing in five major European airports. Based on open ADS-B data from the OpenSky Network and open performance models, we enrich all trajectories with automatically detected procedure information, fuel consumption, and emissions for supported aircraft types. To assess the inefficiencies associated with holding patterns, point merges, and continuous descent operations across different airports, we propose methodologies to quantify and compare these environmental inefficiencies. Holding patterns are found to have a higher negative impact on the environment than point merge and continuous descent operations. Furthermore, the paper provides recommendations for procedure evaluations of future airports, which could help policymakers and relevant stakeholders to evaluate the environmental performances of arrival procedures based on open data and open models","","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:18eb90e5-2df3-4f82-9d19-6a2f32c00f49","http://resolver.tudelft.nl/uuid:18eb90e5-2df3-4f82-9d19-6a2f32c00f49","Phage tRNAs evade tRNA-targeting host defenses through anticodon loop mutations","van den Berg, D.F. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); van der Steen, B.A. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); Martins Costa, A.R. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft; Fagenbank); Brouns, S.J.J. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft; Fagenbank)","","2023","Transfer RNAs (tRNAs) in bacteriophage genomes are widespread across bacterial host genera, but their exact function has remained unclear for more than 50 years. Several hypotheses have been proposed, and the most widely accepted one is codon compensation, which suggests that phages encode tRNAs that supplement codons that are less frequently used by the host. Here, we combine several observations and propose a new hypothesis that phage-encoded tRNAs counteract the tRNA-depleting strategies of the host using enzymes such as VapC, PrrC, Colicin D, and Colicin E5 to defend from viral infection. Based on mutational patterns of anticodon loops of tRNAs encoded by phages, we predict that these tRNAs are insensitive to host tRNAses. For phage-encoded tRNAs targeted in the anticodon itself, we observe that phages typically avoid encoding these tRNAs, further supporting the hypothesis that phage tRNAs are selected to be insensitive to host anticodon nucleases. Altogether, our results support the hypothesis that phage-encoded tRNAs have evolved to be insensitive to host anticodon nucleases. Editor's evaluation This important work substantially advances our understanding of the mechanisms phages use to evade host defenses. Specifically, the authors use computational and theoretical analyses of tRNA-encoding phages that infect several bacterial species to identify a novel, potential mechanism through which phage-encoded tRNAs help these phages evade tRNA cleavage that is induced as a host defense. Although the evidence supporting the conclusions is compelling, with multiple observations suggesting that the phage-encoded tRNAs have evolved to evade host-encoded tRNases, the conclusions would have been more strongly supported by providing an experimental test of the hypothesis.","","en","journal article","","","","","","","","","","","BN/Stan Brouns Lab","","",""
"uuid:016dc8f4-7e5d-499a-aa81-6546a79ca88d","http://resolver.tudelft.nl/uuid:016dc8f4-7e5d-499a-aa81-6546a79ca88d","Magnetic resonance imaging-based ID of the vasculature across the heart–brain axis","Weingärtner, S.D. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Weingärtner group)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-15","","","ImPhys/Computational Imaging","","",""
"uuid:ab3dbb90-f436-4ca1-9fdf-e99cff86e333","http://resolver.tudelft.nl/uuid:ab3dbb90-f436-4ca1-9fdf-e99cff86e333","Advanced downstream processing of bioethanol from syngas fermentation","Jankovic, T.J. (TU Delft BT/Bioprocess Engineering); Straathof, Adrie J.J. (TU Delft BT/Bioprocess Engineering); Kiss, A.A. (TU Delft ChemE/Product and Process Engineering)","","2023","Syngas fermentation is used industrially to produce diluted bioethanol (about 1–6 wt%). This research study proposes a novel downstream process that recovers bioethanol in an energy-efficient and cost-effective manner, improves fermentation yield by recycling all fermentation broth components (microbes, acetate and water), and is designed for full-scale industrial-level application. Therefore, vacuum distillation at fermentation temperature was conceptually studied as an initial ethanol recovery step, leading to a bottom stream that may be recycled. Advanced separation and purification techniques were designed to recover 99.5% of initially present ethanol as high-purity product (99.8 wt%). Mechanical vapor recompression and heat integration methods were used to maximize sustainability and eco-efficiency of the proposed recovery process. Implementation of these techniques on a process using 6 wt% ethanol feed stream decreased the total annual costs by 54.2% (from 0.175 to 0.080 $/kgEtOH), reduced the primary energy requirement by 66.1% (from 2.82 to 0.96 kWthh/kgEtOH), lowered the CO2 emission by up to 82.6% (from 0.414 to 0.072 kgCO2/kgEtOH), and reduced the fresh water usage by 62.6% (from 0.242 to 0.091 m3W/kgEtOH). Sensitivity analysis for ethanol concentrations ranging from 6 to 1 wt% showed that the recovery costs and energy use increased to 0.336 $/kgEtOH and 1.78 kWthh/kgEtOH respectively. Since ethanol recovery performs better but fermentation will perform worse at higher ethanol concentration in fermentation broth, there is a trade-off concentration for the overall process. The current analysis is an important step toward determining this trade-off.","Bioethanol; Downstream processing; Fluid separation; Heat pumps; Syngas fermentation","en","journal article","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:4ec39281-6f43-4047-8768-eb0a8cee7498","http://resolver.tudelft.nl/uuid:4ec39281-6f43-4047-8768-eb0a8cee7498","Value dynamics in energy democracy: An exploration of community energy initiatives","Melnyk, A. (TU Delft Ethics & Philosophy of Technology); Cox, H.R.L. (Linkoping University); Ghorbani, Amineh (TU Delft System Engineering); Hoppe, T. (TU Delft Organisation & Governance)","","2023","In the European Union, energy democracy (ED) is considered a socially desirable policy goal. One way to achieve ED is through empowering local communities to become agents of value change who can pursue more sustainable and equitable energy provision with community energy initiatives (CEI). However, such people-driven value change is complex in nature. CEIs are multifaceted sociotechnical systems that bring together sets of values and are composed of agents (i.e., people), technologies (e.g., solar panels), and institutions (e.g., renewable energy policies). Yet not much research is conducted into how values relate and overlap within this complex nexus of people - technology - institutions on a pathway to democratizing the energy sector through civic participation. In this paper, we spotlight value relationality to capture the diversity in the value landscape of people-driven energy transitions. We claim that each sociotechnical system has “climate” of its own, or value dynamics, induced by interrelating values. We propose an account that captures value dynamics, explores value sets brought together by the different sociotechnical components of CEIs and investigates various ways in which these value sets interrelate. To elucidate value dynamics in the context of CEIs, we have conducted a literature review, a content analysis of regional, national (i.e., Dutch), and EU policies, and expert interviews in two illustrative case studies. We finalize the paper with recommendations for further research on value dynamics in CEIs across various sociotechnical contexts.","Values; Energy democracy; Sociotechnical system; Community energy; Value dynamics","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:4ad71cda-2347-4283-9279-148eb2a22fea","http://resolver.tudelft.nl/uuid:4ad71cda-2347-4283-9279-148eb2a22fea","Evaluation of silver bio-functionality in a multicellular in vitro model: towards reduced animal usage in implant-associated infection research","Cecotto, Leonardo (University Medical Center Utrecht); Stapels, Daphne A.C. (University Medical Center Utrecht; Universiteit Utrecht); van Kessel, Kok P.M. (University Medical Center Utrecht); Croes, Michiel (University Medical Center Utrecht); Lourens, Zeldali (University Medical Center Utrecht); Vogely, H. Charles (University Medical Center Utrecht); van der Wal, Bart C.H. (University Medical Center Utrecht); van Strijp, Jos A.G. (University Medical Center Utrecht); Weinans, Harrie (TU Delft Biomaterials & Tissue Biomechanics; University Medical Center Utrecht); Amin Yavari, Saber (University Medical Center Utrecht; Universiteit Utrecht)","","2023","Background: Despite the extensive use of silver ions or nanoparticles in research related to preventing implant-associated infections (IAI), their use in clinical practice has been debated. This is because the strong antibacterial properties of silver are counterbalanced by adverse effects on host cells. One of the reasons for this may be the lack of comprehensive in vitro models that are capable of analyzing host-bacteria and host-host interactions.
Methods and results: In this study, we tested silver efficacy through multicellular in vitro models involving macrophages (immune system), mesenchymal stem cells (MSCs, bone cells), and S. aureus (pathogen). Our model showed to be capable of identifying each element of culture as well as tracking the intracellular survival of bacteria. Furthermore, the model enabled to find a therapeutic window for silver ions (AgNO3) and silver nanoparticles (AgNPs) where the viability of host cells was not compromised, and the antibacterial properties of silver were maintained. While AgNO3 between 0.00017 and 0.017 µg/mL retained antibacterial properties, host cell viability was not affected. The multicellular model, however, demonstrated that those concentrations had no effect on the survival of S. aureus, inside or outside host cells. Similarly, treatment with 20 nm AgNPs did not influence the phagocytic and killing capacity of macrophages or prevent S. aureus from invading MSCs. Moreover, exposure to 100 nm AgNPs elicited an inflammatory response by host cells as detected by the increased production of TNF-α and IL-6. This was visible only when macrophages and MSCs were cultured together.
Conclusions: Multicellular in vitro models such as the one used here that simulate complex in vivo scenarios can be used to screen other therapeutic compounds or antibacterial biomaterials without the need to use animals.","biomaterial-related infection; antimicrobial; co-culture; cytotoxicity; immune response","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:0447ed1c-79d8-4e73-a028-41b747bf42c2","http://resolver.tudelft.nl/uuid:0447ed1c-79d8-4e73-a028-41b747bf42c2","EQRSRL: an energy-aware and QoS-based routing schema using reinforcement learning in IoMT","Nazari, Amin (Bu-Ali Sina University); Kordabadi, Mojtaba (Bu-Ali Sina University); Mohammadi, R. (Bu-Ali Sina University); Lal, C. (TU Delft Cyber Security)","","2023","Internet of Medical Thing (IoMT) is an emerging technology in healthcare that can be used to realize a wide variety of medical applications. It improves people’s quality of life and makes it easier to care for the sick individuals in an efficient and safe manner. To do this, IoMT leverages the capabilities of some new technologies including IoT, Artificial Intelligence, cloud computing, computer networks and medicine. Combining these technologies to monitor the patient’s health conditions in real-time or semi-real-time is a critical challenge in IoMT. In this regard, one of the most crucial components of IoMT are network communication protocols that should provide a fast and reliable communication path between a connected biosensor to a patient and cloud computing environment. In this paper, we propose EQRSRL as an efficient routing mechanism for different types of IoMT applications. The aim of EQRSRL is to provide a reasonable level of Quality of Service (QoS) for IoMT traffics. To achieve this goal, it categorizes the network traffic into three classes and treats them differently concerning their QoS requirements. Moreover, EQRSRL divides the network environment into multiple zones to decrease the number of message exchange between the nodes. In order to compute optimal paths between the nodes, it considers QoS and energy metrics, and makes use of a reinforcement learning approach in path computation process. Simulation results show that the implementation of EQRSRL in IoMT is practical and leads to improvement of 82% in average energy consumption, 25% in end-to-end delay and 7% packet delivery ration in compared to the state-of-the-art routing techniques.","Energy efficiency; Internet of Medical Things; Internet of Things; QoS; Reinforcement learning; Routing protocol; TSCH scheduling","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-24","","","Cyber Security","","",""
"uuid:ee9c7b52-26d7-427d-a826-9997fe086076","http://resolver.tudelft.nl/uuid:ee9c7b52-26d7-427d-a826-9997fe086076","Feasibility of Wave Energy Harvesting in the Ligurian Sea, Italy","Corrales-Gonzalez, Manuel (University of Genoa); Lavidas, G. (TU Delft Offshore Engineering); Besio, Giovanni (University of Genoa)","","2023","Clean energies are being incorporated into the energy mix in numerous countries. Through a spatial survey of maritime trade, restricted military maritime areas, marine planning, and the presence of fauna and flora along the Ligurian Sea, locations for possible investments in wave energy harvesting were identified in the Northern Thyrrenian Sea, along the Ligurian coast. Previous studies in this region have demonstrated, at a lower spatial resolution, the wave energy potential that can be captured and its variation over time. However, the optimization of wave energy exploitation under the criteria of the functionality and safety of converter devices has not yet been evaluated in the Ligurian Sea. The purpose of this study is to identify the optimal wave energy converter from an economic and technical perspective at several selected locations in the Ligurian Sea. This study involves the scaling of the employed power matrices to obtain the optimized capacity factors of wave energy converters.","Mediterranean Sea; marine renewable energy; wave energy harvesting; cost of energy","en","journal article","","","","","","","","","","","Offshore Engineering","","",""
"uuid:604493c1-61d9-41f8-a1a2-c868114596a6","http://resolver.tudelft.nl/uuid:604493c1-61d9-41f8-a1a2-c868114596a6","Capacity of a constrained urban airspace: Influencing factors, analytical modelling and simulations","Aarts, Michiel J.M. (Student TU Delft); Ellerbroek, Joost (TU Delft Control & Simulation); Knoop, V.L. (TU Delft Transport and Planning)","","2023","The traffic density of small aerial vehicles operating within urban environments is expected to increase significantly in the near future. This urban environment is highly constrained due to being limited to the low-altitude airspace directly above the existing road network. Multiple studies have addressed factors influencing the capacity of urban airspace. These have used simulations of aircraft, yet the empirical nature of these simulations limits their use beyond the specific conditions that have been tested. Analytical models would not have this limitation, but they are only developed for general airspace, while the emergent patterns in constrained urban airspace are different than in general, unconstrained conditions. For instance, queuing and local congestion are patterns that are unique to the heavily-constrained environment. Therefore, in this paper, we derive an analytical model for air traffic in a confined airspace to find the influencing factors for its capacity. By means of a simulation of aerial vehicles, we verify the analytical model and show a relationship between the mean flow rate and mean density in a two-dimensional orthogonal grid network airspace. Results show that the entire airspace can become unstable when the maximum capacity of just one intersection is reached. Furthermore, the maximum airspace density is found to be unaffected by cruise speed. The results demonstrate how the derived analytical model provides an effective tool to predict the effect of several design parameters on the capacity of constrained urban airspace. Moreover, this model can form the basis for further extensions, including the altitude dimension and non-orthogonal or non-four-way intersections.","Airspace capacity; Airspace stability; Analytical model; BlueSky ATM simulator; Constrained urban airspace; Decentralised airspace; Speed-based conflict resolution; Traffic simulation; Urban traffic management (UTM)","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:c42e1b59-1cac-4c43-a4d7-a05c759de539","http://resolver.tudelft.nl/uuid:c42e1b59-1cac-4c43-a4d7-a05c759de539","Estimation of spudcan penetration in variable sand deposits with the Arbitrary Lagrangian Eulerian Finite Element Method","Tolooiyan, Ali (University of Tasmania); Gavin, Kenneth (TU Delft Geo-engineering); Dyson, Ashley P. (University of Tasmania)","","2023","Offshore jack-up rigs are most commonly founded on large-diameter conical “spudcan” foundations, which are frequently designed using traditional analytical methods for shallow footings. This paper presents the design of a spudcan installed off the coast of Tunisia. The maximum penetration depth of the footing under the available preload is predicted by a combination of analytical techniques, 2-dimensional axisymmetric modelling and 3-dimensional Finite Element Methods (FEM) using large strain arbitrary Lagrangian-Eulerian (ALE) techniques. Spudcan penetration based on FEM simulation of CPT soil profiles forms the basis of a comparison with results from the Society of Naval Architects and Marine Engineers (SNAME) guidelines. Particular attention is given to model calibration using the limited site investigation data available. Results are presented for the effect of penetrating footings on the behaviour of neighbouring footings, showing good agreement with conventional prediction methods.","Arbitrary Lagrangian-Eulerian; FEM; Jack-up; Penetration; Sand; Spudcan","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:38c67dd5-84a7-459e-9014-765630d173de","http://resolver.tudelft.nl/uuid:38c67dd5-84a7-459e-9014-765630d173de","The Combined Spectral Response of a MEMS Metamaterial Absorber for the Mid-IR and Its Sub-Wavelength Fabrication Residual Array of Holes","Wolffenbuttel, R.F. (TU Delft Electronic Instrumentation); Ghaderi, M. Amir (Infineon Technologies AG)","","2023","Metasurface coatings on a free-standing SiN thin film membrane are fabricated on a Si substrate using masked lithography and CMOS-compatible surface micromachining. The result is a band-limited absorber for the mid-IR, which is part of a microstructure that is attached to the substrate by long and slender suspension beams to provide thermal isolation. As a residual of the fabrication, the regular pattern of sub-wavelength unit cells of 2.6 μm side length, which defines the metasurface, is interrupted by an equally regular array of sub-wavelength holes of 1–2 μm diameter and at 7.8–15.6 μm of pitch. This array of holes is essential for enabling access of the etchant and attack of the underlying layer during fabrication, which ultimately results in the sacrificial release of the membrane from the underlying substrate. As the plasmonic responses of the two patterns interfere, a maximum is imposed on the hole diameter and a minimum on the hole-to-hole pitch. However, the hole diameter should be sufficiently large to allow access of the etchant, while the maximum spacing between holes is set by the limited selectivity of the different materials to the etchant during sacrificial release. The effect of the parasitic hole pattern on the spectral absorption of a metasurface design is analyzed by simulations of the responses of combined holes–metasurface structures. Arrays of 300 × 180 μm2 Al-Al2O3-Al MIM structures are mask-fabricated on suspended SiN beams. The results show that the effect of the array of holes can be disregarded for a hole-to-hole pitch larger than 6 times the side length of the metamaterial until cell, while the diameter of the hole should remain smaller than about 1.5 μm, and their alignment is critical.","metamaterial absorber; surface micromachining; CMOS compatibility; under-etched metasurface","en","journal article","","","","","","","","","","","Electronic Instrumentation","","",""
"uuid:94f0eea2-d51d-4d5f-8fa6-9d6e6c99a1ba","http://resolver.tudelft.nl/uuid:94f0eea2-d51d-4d5f-8fa6-9d6e6c99a1ba","Bilateral Peer-to-Peer Energy Trading via Coalitional Games","Raja, A.A. (TU Delft Team Sergio Grammatico); Grammatico, S. (TU Delft Team Sergio Grammatico; TU Delft Team Bart De Schutter)","","2023","In this article, we propose a bilateral peer-to-peer (P2P) energy trading scheme under single-contract and multi-contract market setups, both as an assignment game, a special class of coalitional games. The proposed market formulation allows for efficient computation of a market equilibrium while keeping the desired economic properties offered by the coalitional games. Furthermore, our market model allows buyers to have heterogeneous preferences (product differentiation) over the energy sellers, which can be economic, social, or environmental. To address the problem of scalability in coalitional games, we design a novel distributed negotiation mechanism that utilizes the geometric structure of the equilibrium solution to improve the convergence speed. Our algorithm enables market participants (prosumers) to reach a consensus on a set of 'stable' and 'fair' bilateral contracts which encourages prosumer participation. The negotiation process is executed with virtually minimal information requirements on a time-varying communication network that in turn preserves privacy. We use operator-theoretic tools to rigorously prove its convergence. Numerical simulations illustrate the benefits of our negotiation protocol and show that the average execution time of a negotiation step is much faster than the benchmark.","Distributed algorithms; mechanism design; multi-agent systems; smart grids; transactive energy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-04","","","Team Sergio Grammatico","","",""
"uuid:623d2a38-77f0-40e3-b8ef-a7e0c1c6ac42","http://resolver.tudelft.nl/uuid:623d2a38-77f0-40e3-b8ef-a7e0c1c6ac42","Synthesis of highly-uniform titania overcoats on a mesoporous alumina catalyst support by atomic layer deposition and their application in hydroprocessing","Moulijn, J.A. (TU Delft ChemE/Product and Process Engineering; Delft IMP B.V.); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering); Goulas, A. (TU Delft ChemE/Product and Process Engineering); Valdesueiro Gonzalez, D. (TU Delft ChemE/Product and Process Engineering); Juan-Alcañiz, Jana (Ketjen, Amsterdam); Au-Yeung, Kar Ming (Ketjen, Amsterdam); Woning, Leo (Ketjen, Amsterdam); Bergwerff, Jaap A. (Student TU Delft)","","2023","The feasibility of gas phase deposition using a Ti alkoxide precursor for precise surface modification of catalysts was demonstrated by modifying a mesoporous alumina support with a Ti oxide overcoat. Titanium tetra-isopropoxide yields a Ti oxide layer that covers homogeneously the alumina surface. Uniformity of the deposited TiO2 was verified by SEM-EDX, on both intra-particle and inter-particle levels. Only a few atomic layer deposition (ALD) cycles were required in order to obtain Ti contents with a relevance for industrial application. The pore size distribution of the overcoated catalyst support was barely affected by the coating process. Synthesized CoMo catalysts based on the Ti-alumina carrier showed up to 40% higher activity compared to a catalyst supported on pristine alumina, in hydroprocessing under industrial testing conditions. The TiO2 coating appeared to be stable, showing no agglomeration characteristics after reaction as corroborated by TEM-EDX. ALD provides a scalable route with low waste generation for the production of precisely structured TiO2-Al2O3 hydroprocessing catalyst supports.","","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:bcdc1c3f-2d36-484c-bc16-8d3d3cd1eebf","http://resolver.tudelft.nl/uuid:bcdc1c3f-2d36-484c-bc16-8d3d3cd1eebf","Remnant Magnetisation State Control for Positioning of a Hybrid Tunable Magnet Actuator","Ronaes, E.P. (TU Delft Mechatronic Systems Design); Hunt, A. (TU Delft Micro and Nano Engineering); Hassan HosseinNia, S. (TU Delft Mechatronic Systems Design)","","2023","The recent development of a hybrid tunable magnet actuator proposes to eliminate Joule heating when maintaining a force or position offset. By controlling the remnant magnetic flux in an AlNiCo magnet within the actuator, the actuation force can be linearly varied and maintained. While a method for tuning the magnetisation state of the magnet with minimal magnetic field changes has been demonstrated previously, the method is inefficient due to its slow tuning rate, which hinders its use in controlling the actuator’s position. This paper presents a novel method of magnetisation state tuning with a fast tuning rate and validates its effectiveness for controlling the position of a short-stroke linear actuator. This tuning method is implemented and verified for changing the flux density of an AlNiCo magnet in the range of ±1.2 T, with a root-mean-square error (RMSE) of 7.2 mT. An accurate estimation of the magnetisation state is furthermore achieved during positioning, guided by the design and experimental validation of a lumped parameter model, allowing the position to be controlled with an RMSE of 4.0 µm in a range of −157 to 320 µm.","reluctance actuators; in situ magnetisation; quasi-static positioning","en","journal article","","","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:521670b8-dd5c-4be6-8452-8d0e2b9a2b08","http://resolver.tudelft.nl/uuid:521670b8-dd5c-4be6-8452-8d0e2b9a2b08","IntrApose: Monocular Driver 6 DOF Head Pose Estimation Leveraging Camera Intrinsics","Roth, M. (TU Delft Intelligent Vehicles); Gavrila, D. (TU Delft Intelligent Vehicles)","","2023","We present intrApose, a novel method for continuous 6 DOF head pose estimation from a single camera image without prior detection or landmark localization. We argue that using camera intrinsics alongside the intensity information is essential for accurate pose estimation. The proposed head pose estimation framework is crop-aware and scale-aware, i.e., it keeps poses estimated within image cut-outs consistent with the whole image. It employs a continuous, differentiable rotation representation that simplifies the overall architecture compared to existing methods. Our method is validated on DD-Pose, a challenging real-world in-vehicle driver observation dataset that offers a broad spectrum of poses and occlusion states from naturalistic driving scenarios. In ablation studies we compare rotation and translation errors of intrinsics-aware and-agnostic methods, continuous and discontinuous rotation representations, and data sampling strategies. Experiments show that leveraging camera intrinsics and a continuous rotation representation (SVDO+) results in a balanced mean angular error (BMAE) of 5.8° compared to the intrinsics agnostic baseline with a discontinuous rotation representation (14.8°). Furthermore, training with an unbiased data distribution (most driver measurements are close-to-frontal) improved BMAE on the hard subset (extreme orientations and occlusions) from 15.3° to 9.5°.","6-DOF; Cameras; Driver Observation; Head; Head Pose Estimation; Magnetic heads; Pose estimation; Quaternions; Rotation measurement","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-04","","","Intelligent Vehicles","","",""
"uuid:7e9ffe83-895e-4694-b9cc-c98b25d57b2d","http://resolver.tudelft.nl/uuid:7e9ffe83-895e-4694-b9cc-c98b25d57b2d","Predicting streamflow with LSTM networks using global datasets","Wilbrand, K. (TU Delft Water Resources); Taormina, R. (TU Delft Sanitary Engineering); ten Veldhuis, Marie-claire (TU Delft Water Resources); Visser, Martijn (Deltares); Hrachowitz, M. (TU Delft Water Resources); Nuttall, Jonathan (Deltares); Dahm, Ruben (Deltares)","","2023","Streamflow predictions remain a challenge for poorly gauged and ungauged catchments. Recent research has shown that deep learning methods based on Long Short-Term Memory (LSTM) cells outperform process-based hydrological models for rainfall-runoff modeling, opening new possibilities for prediction in ungauged basins (PUB). These studies usually feature local datasets for model development, while predictions in ungauged basins at a global scale require training on global datasets. In this study, we develop LSTM models for over 500 catchments from the CAMELS-US data base using global ERA5 meteorological forcing and global catchment characteristics retrieved with the HydroMT tool. Comparison against an LSTM trained with local datasets shows that, while the latter generally yields superior performances due to the higher spatial resolution meteorological forcing (overall median daily NSE 0.54 vs. 0.71), training with ERA5 results in higher NSE in most catchments of Western and North-Western US (median daily NSE of 0.83 vs. 0.78). No significant changes in performance occur when substituting local with global data sources for deriving the catchment characteristics. These results encourage further research to develop LSTM models for worldwide predictions of streamflow in ungauged basins using available global datasets. Promising directions include training the models with streamflow data from different regions of the world and with higher quality meteorological forcing.","rainfall-runoff modeling; LSTM; deep learning; global datasets; ERA5; streamflow prediction","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:7486290d-f201-4057-891e-ad82b66a4d4e","http://resolver.tudelft.nl/uuid:7486290d-f201-4057-891e-ad82b66a4d4e","Tsetse fly inspired steerable bone drill: a proof of concept","de Kater, E.P. (TU Delft Sports & Games; TU Delft Medical Instruments & Bio-Inspired Technology); Müller, R. (Student TU Delft); Sakes, A. (TU Delft Medical Instruments & Bio-Inspired Technology); Breedveld, P. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2023","The fixation strength of pedicle screws could be increased by fixating along the much stronger cortical bone layer, which is not possible with the current rigid and straight bone drills. Inspired by the tsetse fly, a single-plane steerable bone drill was developed. The drill has a flexible transmission using two stacked leaf springs such that the drill is flexible in one plane and can drill along the cortical bone layer utilizing wall guidance. A proof-of-principle experiment was performed which showed that the Tsetse Drill was able to successfully drill through 5, 10 and 15 PCF cancellous bone phantom which has similar mechanical properties to severe osteoporotic, osteoporotic and healthy cancellous bone. Furthermore, the Tsetse Drill was able to successfully steer and drill along the cortical wall utilizing wall guidance for an insertion angle of 5°, 10° and 15°. The experiments conclude that the tsetse fly-inspired drilling method is successful and even allows the drilling along the cortical bone layer. The Tsetse Drill can create curved tunnels utilizing wall guidance which could increase the fixation strength of bone anchors and limit the risk of cortical breach and damage to surrounding anatomy.","bio-inspired design (BID); biomimetic; medical device design; orthopaedic surgery; bone drill; steerable drill","en","journal article","","","","","","","","","","","Sports & Games","","",""
"uuid:2737e642-f268-4d29-89dd-5150d57fd7b3","http://resolver.tudelft.nl/uuid:2737e642-f268-4d29-89dd-5150d57fd7b3","Ultraloop: Active lateral force feedback using resonant traveling waves","Cai, Z. (TU Delft Human-Robot Interaction); Wiertlewski, M. (TU Delft Human-Robot Interaction)","","2023","The sensation of touching virtual texture and shape can be provided to a touchscreen user by varying the friction force. Despite the saliency of the sensation, this modulated frictional force is purely passive and strictly opposes finger movement. Therefore, it is only possible to create forces along the direction of movement and this technology cannot stimulate a static fingertip or provide forces that are orthogonal to the direction of movement. The lack of orthogonal force limits the guidance to a target in an arbitrary direction and there is a need for active lateral forces to give directional cues to the fingertip. Here, we introduce a surface haptic interface that uses ultrasonic traveling waves to create an active lateral force on bare fingertips. The device is built around a ring shape cavity where two degenerate resonant modes around 40 kHz are excited with 90$^{\circ }$ phase shift. The interface provides active forces up to 0.3 N to a static bare finger uniformly over a 140×30 mm$^{2}$ surface. We report the model and design of the acoustic cavity, force measurements, and an application to create a key-click sensation. This work demonstrates a promising method for uniformly producing large lateral forces on a touch surface.","Acoustics; active force; Force; Friction; lateral force feedback; Oscillators; Resonant frequency; Shape; Surface haptics; traveling waves; ultrasonic vibration; Vibrations","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-18","","","Human-Robot Interaction","","",""
"uuid:dae813be-a771-4e1b-91fe-45502800946d","http://resolver.tudelft.nl/uuid:dae813be-a771-4e1b-91fe-45502800946d","A Flat of One’s Own. The Elisabeth Brugsmaflat in the Hague (1945–1958)","Novas, María (TU Delft History, Form & Aesthetics; TU Delft Teachers of Practice / A)","","2023","In the 1950s, married women in the Netherlands were assimilated into the fixed ideal of heteronormative family and traditional family housing standards which were the norm; single women were not. Single women represented not only a separate category in post-Second World War society but also a stigmatised one. What was a woman without a man? Women were simply not expected to live alone. In the mid-twentieth century, however, high-rise residential projects were designed to enable women to live independently. Over a period of more than thirty years, Dutch women’s organisations and pioneering women architects made a key contribution to collaboratively develop emancipatory and innovative residential projects in the country’s biggest cities. In 1948, the Elisabeth Brugsma Foundation commissioned the architectural office Pot & Pot-Keegstra to build the Elisabeth Brugsmaflat in The Hague. The process was difficult, and took a long time, before the Elisabeth Brugsmaflat finally opened its doors in 1958. It was an important step to the progressive normalisation of women living independently, and also contributed to the improvement of housing standards for all.","","en","journal article","","","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:d1d8a6f2-25c2-4408-92dd-9e35ff9bc50f","http://resolver.tudelft.nl/uuid:d1d8a6f2-25c2-4408-92dd-9e35ff9bc50f","Normative Uncertainty in Solar Climate Engineering Research Governance","Hofbauer, B. (TU Delft Ethics & Philosophy of Technology)","","2023","This paper explores what kind of uncertainty a research program governing solar climate engineering through Stratospheric Aerosol Injection (SAI) needs to account for. Specifically, it tries to answer two central issues with regards to SAI research and it’s ethical evaluation: One, what irreducible uncertainties remain throughout the decision-process, and, two, how do these remaining uncertainties affect the ethical evaluation of SAI research. The main assumption is that decisions on SAI research governance will be made under normative uncertainty, i.e. situations under irreducible knowledge-constraints that arise in concrete, practical decision-contexts. These decision-contexts are multi-lateral and empirically ambiguous, and the decision-makers need to reconcile a plurality of values. While normative uncertainty complicates the ethical evaluation of policy decisions, I argue that moral considerations can be accommodated through the inclusion of recognitional, participatory justice approaches, as well as adaptive and anticipatory governance methods.","adaptive planning; anticipatory governance; Normative uncertainty; participatory justice; solar climate engineering","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:5155f302-fe41-49b0-b3fc-daa791bd61ac","http://resolver.tudelft.nl/uuid:5155f302-fe41-49b0-b3fc-daa791bd61ac","Grouped People Counting Using mm-wave FMCW MIMO Radar","Ren, Liyuan (Student TU Delft); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2023","The problem of radar-based counting of multiple individuals moving as a single group is addressed using an mm-wave multiple-input-multiple-output (MIMO) frequency-modulated continuous wave (FMCW) radar. This problem is challenging because the different individuals are closer to each other than the range/azimuth resolution, and their bulk Doppler signatures are difficult to distinguish, as they tend to move together. A processing pipeline is proposed, based on the combination of a multiple target tracking algorithm with a classifier to track each group and count the number of people within. Specific salient features are defined for the classifier and extracted from range-azimuth maps and cadence velocity diagrams (CVDs). The proposed pipeline has been experimentally validated in several outdoor scenarios with grouped people. The results show that the combination of tracking algorithm and classifier in the proposed pipeline outperforms alternative methods from the literature as well as a commercial toolbox for people counting.","Feature extraction; Internet of Things; Legged locomotion; mm-wave radar; People Counting; Pipelines; Radar; radar signal processing; Radar tracking; Spectrogram; tracking and classification","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Microwave Sensing, Signals & Systems","","",""
"uuid:92cad571-0868-4ee6-b914-97e0343c599d","http://resolver.tudelft.nl/uuid:92cad571-0868-4ee6-b914-97e0343c599d","Integrating knowledge in infrastructure projects: the interplay between formal and informal knowledge governance mechanisms","Biersteker, Erwin (Vrije Universiteit Amsterdam); van Marrewijk, A.H. (TU Delft Design & Construction Management; Vrije Universiteit Amsterdam; BI Norwegian Business School)","","2023","This study focuses upon knowledge governance mechanisms of integrating specialised knowledge on underground utilities in large infrastructure projects. The integration of knowledge is essential for the realisation of such projects. The study explores the formal and informal knowledge governance mechanisms in three large infrastructure projects and compares these mechanisms to reveal their effects on knowledge integration. The findings show that combining reward systems, project culture and trust are targeting the motivation of underground experts to share their knowledge and allocation of authority and project network are mechanisms aimed at the coordination between managers and underground experts to integrate knowledge. We contribute to studies on knowledge governance by enabling further empirical insight in the relationships between formal and informal mechanisms.","governance mechanism; infrastructure projects; Knowledge governance; knowledge integration; underground utilities","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:771471f3-f1c6-4b15-925e-41b0b7494c8e","http://resolver.tudelft.nl/uuid:771471f3-f1c6-4b15-925e-41b0b7494c8e","Expectancy or Salience?—Replicating Senders’ Dial-Monitoring Experiments With a Gaze-Contingent Window","Eisma, Y.B. (TU Delft Human-Robot Interaction); Bakay, A. (TU Delft Teaching & Learning Services); de Winter, J.C.F. (TU Delft Human-Robot Interaction)","","2023","Introduction
In the 1950s and 1960s, John Senders carried out a number of influential experiments on the monitoring of multidegree-of-freedom systems. In these experiments, participants were tasked with detecting events (threshold crossings) for multiple dials, each presenting a signal with different bandwidth. Senders’ analyses showed a nearly linear relationship between signal bandwidth and the amount of attention paid to the dial, and he argued that humans sample according to bandwidth, in line with the Nyquist–Shannon sampling theorem.
Objective
The current study tested whether humans indeed sample the dials based on bandwidth alone or whether they also use salient peripheral cues.
Methods
A dial-monitoring task was performed by 33 participants. In half of the trials, a gaze-contingent window was used that blocked peripheral vision.
Results
The results showed that, without peripheral vision, humans do not effectively distribute their attention across the dials. The findings also suggest that, when given full view, humans can detect the speed of the dial using their peripheral vision.
Conclusion
It is concluded that salience and bandwidth are both drivers of distributed visual attention in a dial-monitoring task.
Application
The present findings indicate that salience plays a major role in guiding human attention. A subsequent recommendation for future human–machine interface design is that task-critical elements should be made salient.","distributed attention; supervisory control; attentional processes; eye movements; replication study; peripheral vision","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:a9bdd9f5-24f6-4ed0-8e20-e10550fd7850","http://resolver.tudelft.nl/uuid:a9bdd9f5-24f6-4ed0-8e20-e10550fd7850","Unlined Length Effect on the Tunnel Face Stability and Collapse Mechanisms in c-ϕ Soils: A Numerical Study with Advanced Mesh Adaptive Strategies","Zheng, X. (Central South University China; Durham University); Yang, Feng (Central South University China); Shiau, Jim (University of Southern Queensland); Lai, F. (TU Delft Geo-engineering); Dias, Daniel (Université Grenoble Alpes)","","2023","This paper presents a stability study on the collapse mechanisms of a plane-strain tunnel face in c-ϕ soils using the upper bound finite element method with rigid translatory moving elements (UBFELA-RTME) and nonlinear programming technique. Practical considerations are given to the unlined length influence behind the tunnel face. An advanced mesh adaptive updating strategy is adopted, aiming to improve the computational efficiency, the accuracy of upper-bound solutions, as well as the produced collapse mechanisms. The unlined length influence on the face stability and collapse mechanism of the tunnel face are determined with various combinations of tunnel depth ratios, soil friction angles, and dilatancy angles. Using the UBFELA-RTME with the Davis's approach and a mesh adapting strategy, the non-associated plasticity flow rule can be well approximated. The developed technique was validated against different numerical methods, and it is concluded that the tunnel face stability can be improved by increasing soil friction and dilatancy angles, and yet weakens as the unlined length increases where a mesh-liked collapse zone gradually appears on the tunnel vault top. It gradually evolves to a global collapse failure till the ground surface. The findings contribute to a better understanding of the ground surface failure under the unlined support length influence in tunnel construction.","Collapse mechanism; Flow rule; Mesh adaptive updating strategy; Tunnel face stability; Upper bound limit analysis","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:cf2f814d-c954-49b8-b07e-dd436bed0992","http://resolver.tudelft.nl/uuid:cf2f814d-c954-49b8-b07e-dd436bed0992","Dynamic root growth in response to depth-varying soil moisture availability: a rhizobox study","Maan, D.C. (TU Delft Water Resources; Universiteit van Amsterdam); ten Veldhuis, Marie-claire (TU Delft Water Resources); van de Wiel, B.J.H. (TU Delft Atmospheric Remote Sensing)","","2023","Plant roots are highly adaptable, but their adaptability is not included in crop and land surface models. They rely on a simplified representation of root growth, which is independent of soil moisture availability. Data of subsurface processes and interactions, needed for model setup and validation, are scarce. Here we investigated soil-moisture-driven root growth. To this end, we installed subsurface drip lines and small soil moisture sensors (0.2 L measurement volume) inside rhizoboxes (length × width × height of 45 × 7.5 × 45 cm). The development of the vertical soil moisture and root growth profiles is tracked with a high spatial and temporal resolution. The results confirm that root growth is predominantly driven by vertical soil moisture distribution, while influencing soil moisture at the same time. Besides support for the functional relationship between the soil moisture and the root density growth rate, the experiments also suggest that the extension of the maximum rooting depth will stop if the soil moisture at the root tip drops below a threshold value. We show that even a parsimonious one-dimensional water balance model, driven by the water input flux (irrigation), can be convincingly improved by implementing root growth driven by soil moisture availability.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:ad0b7ae0-bc16-4c9f-81cd-cfa3fc78554c","http://resolver.tudelft.nl/uuid:ad0b7ae0-bc16-4c9f-81cd-cfa3fc78554c","Portable and integrated microfluidic flow control system using off-the-shelf components towards organs-on-chip applications","Zhu, Haoyu (Student TU Delft); Özkayar, G. (TU Delft Micro and Nano Engineering); Lötters, J.C. (TU Delft Precision and Microsystems Engineering; Bronkhorst High-Tech BV; University of Twente); Tichem, M. (TU Delft Micro and Nano Engineering); Ghatkesar, M.K. (TU Delft Micro and Nano Engineering)","","2023","Organ-on-a-chip (OoC) devices require the precise control of various media. This is mostly done using several fluid control components, which are much larger than the typical OoC device and connected through fluidic tubing, i.e., the fluidic system is not integrated, which inhibits the system’s portability. Here, we explore the limits of fluidic system integration using off-the-shelf fluidic control components. A flow control configuration is proposed that uses a vacuum to generate a fluctuation-free flow and minimizes the number of components used in the system. 3D printing is used to fabricate a custom-designed platform box for mounting the chosen smallest footprint components. It provides flexibility in arranging the various components to create experiment-specific systems. A demonstrator system is realized for lung-on-a-chip experiments. The 3D-printed platform box is 290 mm long, 240 mm wide and 37 mm tall. After integrating all the components, it weighs 4.8 kg. The system comprises of a switch valve, flow and pressure controllers, and a vacuum pump to control the diverse media flows. The system generates liquid flow rates ranging from 1.5 μ Lmin - 1 to 68 μ Lmin - 1 in the cell chambers, and a cyclic vacuum of 280 mbar below atmospheric pressure with 0.5 Hz frequency in the side channels to induce mechanical strain on the cells-substrate. The components are modular for easy exchange. The battery operated platform box can be mounted on either upright or inverted microscopes and fits in a standard incubator. Overall, it is shown that a compact integrated and portable fluidic system for OoC experiments can be constructed using off-the-shelf components. For further down-scaling, the fluidic control components, like the pump, switch valves, and flow controllers, require significant miniaturization while having a wide flow rate range with high resolution.","Flow control scheme; Fluid flow control; Fluid handling system; Organ-on-a-chip; Portability; System design; System integration","en","journal article","","","","","","","","","","Precision and Microsystems Engineering","Micro and Nano Engineering","","",""
"uuid:6b25327c-b1e3-4796-b9e5-53fed4616453","http://resolver.tudelft.nl/uuid:6b25327c-b1e3-4796-b9e5-53fed4616453","Invoking ‘Empathy for the Planet’ through Participatory Ecological Storytelling: From Human-Centered to Planet-Centered Design","Talgorn, E.C.V. (TU Delft Design for Sustainability; Philips Research); Ullerup, Helle (Philips Research)","","2023","In sustainable design and innovation, appreciation of the Planet as an equal stakeholder with humans and businesses continues to rise. Yet a consistent challenge arises in that people have difficulties relating to the nonhuman and interpret the world in terms of human values and experiences. We need more practical tools to stimulate a connection, especially in its affective dimension, to the Planet and to include nonhuman stakeholders in sustainability developments. To anchor Planetary understanding and considerations, we investigate the role of participatory storytelling to stimulate a reappraisal of the needs of nonhuman stakeholders through empathy building. To posit this, we defined empathy for the Planet as a holistic relationship with human and nonhuman stakeholders. We facilitated workshops where design students, design professionals, and business stakeholders could co-create environmental stories using human and nonhuman character personas. We analyzed the personas, stories, and participants’ feedback on the process experience and impact and observed that story creators experienced empathy for the Planet through projecting and blending their own emotions and intents onto the characters. We discuss, therefore, how ecological story co-creation can be a tool for self-reflection, collective sense-making, and the inclusion of the voice of Planetary stakeholders relevant for sustainable design and to drive sustainability engagement in general. This research confirms the role of stories and imagination in creating a bridge to the natural world through new, human and nonhuman, perspectives.","co-creation; empathy; more-than-human; nonhuman characters; nonhuman persona; post-anthropocentric; storytelling; sustainable design; system thinking","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:525d2aff-5cd2-4dbc-9481-ba9b2ad78668","http://resolver.tudelft.nl/uuid:525d2aff-5cd2-4dbc-9481-ba9b2ad78668","Zooming in on style: Exploring style perception using details of paintings","Zhao, Y. (TU Delft Human Information Communication Design); Stumpel, J.F.H.J. (Universiteit Utrecht); de Ridder, H. (TU Delft Human Information Communication Design); Wijntjes, M.W.A. (TU Delft Human Information Communication Design)","","2023","Most studies on the perception of style have used whole scenes/entire paintings; in our study, we isolated a single motif (an apple) to reduce or even eliminate the influence of composition, iconography, and other contextual information. In this article, we empirically address two fundamental questions of the existence (Experiment 1) and description (Experiment 2) of style. We chose 48 cut-outs of mostly Western European paintings (15th to 21st century) that showed apples. In Experiment 1, 415 unique participants completed online triplet similarity tasks. Multidimensional scaling (MDS) reached a nonrandom three-dimensional (3D) embedding, showing that participants are able to judge stylistic differences in a systematic way. We also found a strong correlation between creation year and embedding, both a linear correlation with Dimension 2, and a rotational correlation in the first two dimensions. To interpret the embedding further, in Experiment 2, we fitted three color statistics and nine attribute ratings (glossiness, three-dimensionality, convincingness, brush coarseness, etc.) to the 3D perceptual style space. Results showed that Dimension 1 is associated with spatial attributes (Smoothness, Brushstroke coarseness) and Convincingness, Dimension 2 is related to Hue, and Dimension 3 is related to Chroma. The results suggest that texture and color are two important variables for style perception. By isolating the motifs, we could exclude higher levels of information such as composition and context. Interestingly, the results reinforce previous findings using whole scenes, suggesting that style can already be perceived in sometimes very small fragments of paintings.","style perception; multidimensional scaling; art history; property estimation; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Human Information Communication Design","","",""
"uuid:b3cf713e-5ebc-4d6d-b79f-7cab303a21b3","http://resolver.tudelft.nl/uuid:b3cf713e-5ebc-4d6d-b79f-7cab303a21b3","Wide-Swath Ocean Altimetry Using Multi-Satellite Single-Pass Interferometry","Theodosiou, A. (TU Delft Mathematical Geodesy and Positioning); Kleinherenbrink, M. (TU Delft Mathematical Geodesy and Positioning); Lopez Dekker, F.J. (TU Delft Mathematical Geodesy and Positioning)","","2023","Estimating sea surface height using cross-track interferometry (XTI) requires high sensitivity because the ocean surface signal is in the order of 10 cm. In addition, the interferometer requires a temporal delay of a few milliseconds to ensure the coherency of the moving ocean surface. We show that a squinted line of sight (LoS), in combination with a helix satellite formation, allows optimizing the effective perpendicular and along-track baselines to satisfy these conditions. This article presents a model to estimate the performance of a formation-flying cross-track interferometer with a squinted LoS. The tenth Earth Explorer, Harmony, which features two bistatic synthetic aperture radar (SAR) companions, and a theoretical system with one monostatic and one bistatic SAR are used as case studies. The standard deviation of the height estimate is 1-10 cm between 29° and 41° and increases to 30 cm at the far range (46°) at a wind speed of 5 ms-1. The power spectral density of the elevation shows that spatial scales of 47 km can be resolved. The performance improves at higher wind speeds due to higher backscattering. At a wind speed of 15 ms-1, the wavelengths from 27 to 11 km can be resolved, depending on the elevation spectrum. The performance over a 250-km swath enables the instantaneous estimation of the surface elevation at the submesoscales for the first time.","Sea surface; Satellites; Orbits; Oceans; Surface topography; Interferometry; Receivers","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-19","","","Mathematical Geodesy and Positioning","","",""
"uuid:6faeeadc-1dfc-45a4-9319-8e50f2416af5","http://resolver.tudelft.nl/uuid:6faeeadc-1dfc-45a4-9319-8e50f2416af5","Turbulence and added drag over acoustic liners","Shahzad, H. (TU Delft Aerodynamics); Hickel, S. (TU Delft Aerodynamics); Modesti, D. (TU Delft Aerodynamics)","","2023","We present pore-resolved compressible direct numerical simulations of turbulent flows grazing over perforated plates, that closely resemble the acoustic liners found on aircraft engines. Our direct numerical simulations explore a large parameter space including the effects of porosity, thickness and viscous-scaled diameter of the perforated plates, at friction Reynolds numbers, which allows us to develop a robust theory for estimating the added drag induced by acoustic liners. We find that acoustic liners can be regarded as porous surfaces with a wall-normal permeability and that the relevant length scale characterizing their added drag is the inverse of the wall-normal Forchheimer coefficient. Unlike other types of porous surfaces featuring Darcian velocities inside the pores, the flow inside the orifices of acoustic liners is fully turbulent, with a magnitude of the wall-normal velocity fluctuations comparable to the peak in the near-wall cycle. We provide clear evidence of a fully rough regime for acoustic liners, also confirmed by the increasing relevance of pressure drag. Once the fully rough asymptote is reached, canonical acoustic liners provide an added drag comparable to that of sand-grain roughness with viscous-scaled height matching the inverse of the viscous-scaled Forchheimer permeability of the plate.","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:cd2fc346-c911-41cc-875f-419ce63f4519","http://resolver.tudelft.nl/uuid:cd2fc346-c911-41cc-875f-419ce63f4519","Scenario-based performance assessment of green-grey-blue infrastructure for flood-resilient spatial solution: A case study of Pazhou, Guangzhou, greater Bay area","Lu, P. (TU Delft Landscape Architecture; South China University of Technology); Sun, Yimin (South China University of Technology); Nijhuis, S. (TU Delft Landscape Architecture)","","2023","Flood resilience has aroused significant interest in coastal areas dealing with a growing frequency of severe rainstorms caused by climate change and urbanisation. At the core of flood resilience is the development of a resilient green-grey-blue infrastructure system that can resist, absorb, and recover from floods in a timely manner. Current flood resilience research, however, is limited to evaluating single infrastructure systems, failing to examine the dynamic process or find ideal spatial infrastructure designs for decision-makers. This research proposes a scenario-based assessment framework for integrated green-grey-blue infrastructure systems to improve flood resilience during urban design decision-making. Rainfall-runoff, drainage networks, and river system models are interlinked to provide quantitative simulation evaluations of water quantity and urban impact in various spatial organisations of infrastructure design. A dynamic, multi-criteria decision-making process is used to reveal the importance of five temporal indicators and rank design alternatives. In Guangzhou, China, the efficiency of this architecture is demonstrated on Pazhou Island, a typical river network area. Given the limited water and green space available, the results demonstrate that submerged areas exert a greater influence during peak rainfall, and blue infrastructure storage becomes an essential factor following rainfall. Furthermore, from a spatial perspective, the looped network of green-blue infrastructure enhances flood resilience, and downstream waterway connections and green space-aligned waterways boost the water storage capacity of green-grey-blue infrastructure. This paradigm can improve flood resilience in the Greater Bay Area in the future, especially in response to heavy rainstorms and river floods.","Flood resilience; Green-grey-blue infrastructure systems; Performance assessment; Inundation model; TOPSIS","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-15","","","Landscape Architecture","","",""
"uuid:38dd500a-7e71-4ae1-9b38-3d8c756c2637","http://resolver.tudelft.nl/uuid:38dd500a-7e71-4ae1-9b38-3d8c756c2637","The future of artificial intelligence in intensive care: moving from predictive to actionable AI","Smit, J.M. (TU Delft Pattern Recognition and Bioinformatics; Erasmus MC); Krijthe, J.H. (TU Delft Pattern Recognition and Bioinformatics); van Bommel, Jasper (Erasmus MC); van Genderen, M. E.; Labrecque, J. A.; Komorowski, M.; Gommers, D.A.M.P.J. (TU Delft Biomechanical Engineering); Reinders, M.J.T. (TU Delft Pattern Recognition and Bioinformatics)","","2023","Artificial intelligence (AI) research in the intensive care unit (ICU) mainly focuses on developing models (from linear regression to deep learning) to predict out-
comes, such as mortality or sepsis [1, 2]. However, there is another important aspect of AI that is typically not framed as AI (although it may be more worthy of the name), which is the prediction of patient outcomes or events that would result from different actions, known as causal inference [3, 4]. This aspect of AI is crucial for decision-making in the ICU. To emphasize the impor- tance of causal inference, we propose to refer to any data- driven model used for causal inference tasks as ‘action- able AI’, as opposed to ‘predictive AI’, and discuss how these models could provide meaningful decision support in the ICU.","","en","journal article","","","","","","","","","","Biomechanical Engineering","Pattern Recognition and Bioinformatics","","",""
"uuid:a8f337ce-885d-4262-af8a-13d3520a3724","http://resolver.tudelft.nl/uuid:a8f337ce-885d-4262-af8a-13d3520a3724","Fishing Behavior Detection and Analysis of Squid Fishing Vessel Based on Multiscale Trajectory Characteristics","Zhang, Fan (Wuhan University of Technology); Yuan, Baoxin (Wuhan University of Technology); Huang, L. (TU Delft Safety and Security Science; Wuhan University of Technology); Wen, Yuanqiao (Wuhan University of Technology); Yang, Xue (National Engineering Laboratory of Application Technology of Integrated Transportation Big Data); Song, R. (TU Delft Safety and Security Science); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science)","","2023","Accurate fishing activity detection from the trajectories of fishing vessels can not only achieve high-precision fishery management but also ensure the reasonable and sustainable development of marine fishery resources. This paper proposes a new method to detect fishing vessels’ fishing activities based on the defined local dynamic parameters and global statistical characteristics of vessel trajectories. On a local scale, the stop points and points of interest (POIs) in the vessel trajectory are extracted. Voyage extraction can then be conducted on this basis. After that, multiple characteristics based on motion and morphology on a global scale are defined to construct a logistic regression model for fishing behavior detection. To verify the effectiveness and feasibility of the method, vessel trajectory data, and fishing log data collected from Chinese ocean squid fishing vessels in Argentine waters in 2020 are integrated for fishing operation detection. Multiple evaluation metrics show that the proposed method can provide robust and accurate recognition results. Moreover, further analysis of the temporal and spatial distribution and seasonal changes in squid fishing activities in Argentine waters has been performed. A more refined assessment of the fishing activities of individual fishing vessels can also be provided quantitatively. All the results above can benefit the regulation of fishing activities.","fishing behavior; fishery management; statistical features of trajectory sequences; logistic regression; sliding window","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:fc3077c4-8596-4634-a419-4316a0ba2d42","http://resolver.tudelft.nl/uuid:fc3077c4-8596-4634-a419-4316a0ba2d42","Experts as Game Changers? A Critical Discourse Analysis of Climate Measures in the Metropolitan Region of Amsterdam","Herdt, T. (TU Delft Urban Design; Eastern Switzerland University of Applied Sciences); Muñoz Sanz, V. (TU Delft Urban Design)","","2023","This article analyzes the acceptance of climate policy measures in the Metropolitan Region of Amsterdam to understand how policy and planning interrelate with private and public interests. While legitimizing climate policy and measures, values can also cause conflict when operationalized locally. By analyzing value conflicts in public discourse, we gain insights into questions of environmental behavior and their influence on the acceptance of climate action. We report on quantitative and qualitative discourse analysis covering 410 articles from Dutch newspapers between 2015 and 2021 in the Metropolitan Region of Amsterdam related to the energy transition, mobility, and urban greening. Our findings show that public discourse mostly remains abstract and detached from local contexts. As experts and politicians dominate the debate, the discourse mainly addresses science- and policy-related arguments, representing the public interest but reflecting only insufficiently private interests and the local (re-)distribution of benefits and burdens. Therefore, we attribute spontaneous protest to the lack of reference to differentiated values at the local level and find the argument of NIMBYism insufficient to explain residents’ opposition. Instead, our findings point to experts’ and decision-makers’ lack of recognition of the local “idea of place” and a community’s identity as an explanation for the sudden emergence of protests. Here, urban design may bridge the gap between policy and planning by translating technical and economic constraints into place-specific designs.","climate change adaptation; climate change mitigation; critical discourse analysis; environmental behavior; identity of place; public acceptability; urban design; urban planning; values","en","journal article","","","","","","","","","","","Urban Design","","",""
"uuid:ed83ef8e-85d6-4d01-89e3-d5d3ef07e17c","http://resolver.tudelft.nl/uuid:ed83ef8e-85d6-4d01-89e3-d5d3ef07e17c","Modelling communication-enabled traffic interactions","Siebinga, O. (TU Delft Human-Robot Interaction); Zgonnikov, A. (TU Delft Human-Robot Interaction); Abbink, D.A. (TU Delft Human-Robot Interaction)","","2023","A major challenge for autonomous vehicles is handling interactions with human-driven vehicles—for example, in highway merging. A better understanding and computational modelling of human interactive behaviour could help address this challenge. However, existing modelling approaches predominantly neglect communication between drivers and assume that one modelled driver in the interaction responds to the other, but does not actively influence their behaviour. Here, we argue that addressing these two limitations is crucial for the accurate modelling of interactions. We propose a new computational framework addressing these limitations. Similar to game-theoretic approaches, we model a joint interactive system rather than an isolated driver who only responds to their environment. Contrary to game theory, our framework explicitly incorporates communication between two drivers and bounded rationality in each driver’s behaviours. We demonstrate our model’s potential in a simplified merging scenario of two vehicles, illustrating that it generates plausible interactive behaviour (e.g. aggressive and conservative merging). Furthermore, human-like gap-keeping behaviour emerged in a car-following scenario directly from risk perception without the explicit implementation of time or distance gaps in the model’s decision-making. These results suggest that our framework is a promising approach to interaction modelling that can support the development of interaction-aware autonomous vehicles.","driving interactions; driver modelling; traffic; Communication design","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:5ff12874-da1a-46d0-a902-0dd886f6e699","http://resolver.tudelft.nl/uuid:5ff12874-da1a-46d0-a902-0dd886f6e699","Effects of cardiac growth on electrical dyssynchrony in the single ventricle patient","Tikenoğulları, O. Z. (Stanford University); Peirlinck, M. (TU Delft Medical Instruments & Bio-Inspired Technology); Chubb, H. (Stanford University); Dubin, A. M. (Stanford University); Kuhl, E. (Stanford University); Marsden, A. L. (Stanford University)","","2023","Single ventricle patients, including those with hypoplastic left heart syndrome (HLHS), typically undergo three palliative heart surgeries culminating in the Fontan procedure. HLHS is associated with high rates of morbidity and mortality, and many patients develop arrhythmias, electrical dyssynchrony, and eventually ventricular failure. However, the correlation between ventricular enlargement and electrical dysfunction in HLHS physiology remains poorly understood. Here we characterize the relationship between growth and electrophysiology in HLHS using computational modeling. We integrate a personalized finite element model, a volumetric growth model, and a personalized electrophysiology model to perform controlled in silico experiments. We show that right ventricle enlargement negatively affects QRS duration and interventricular dyssynchrony. Conversely, left ventricle enlargement can partially compensate for this dyssynchrony. These findings have potential implications on our understanding of the origins of electrical dyssynchrony and, ultimately, the treatment of HLHS patients.","cardiac electrophysiology; congenital heart disease; dyssynchrony; growth and remodeling; Hypoplastic left heart syndrome","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-14","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:23164aec-73d6-4e51-affb-4c9049db2858","http://resolver.tudelft.nl/uuid:23164aec-73d6-4e51-affb-4c9049db2858","Cell type deconvolution of methylated cell-free DNA at the resolution of individual reads","Keukeleire, P. (TU Delft Pattern Recognition and Bioinformatics); Makrodimitris, S. (TU Delft Pattern Recognition and Bioinformatics; Erasmus MC); Reinders, M.J.T. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center)","","2023","Cell-free DNA (cfDNA) are DNA fragments originating from dying cells that are detectable in bodily fluids, such as the plasma. Accelerated cell death, for example caused by disease, induces an elevated concentration of cfDNA. As a result, determining the cell type origins of cfDNA molecules can provide information about an individual's health. In this work, we aim to increase the sensitivity of methylation-based cell type deconvolution by adapting an existing method, CelFiE, which uses the methylation beta values of individual CpG sites to estimate cell type proportions. Our new method, CelFEER, instead differentiates cell types by the average methylation values within individual reads. We additionally improved the originally reported performance of CelFiE by using a new approach for finding marker regions that are differentially methylated between cell types. We show that CelFEER estimates cell type proportions with a higher correlation (r = 0.94 ± 0.04) than CelFiE (r = 0.86 ± 0.09) on simulated mixtures of cell types. Moreover, we show that the cell type proportion estimated by CelFEER can differentiate between ALS patients and healthy controls, between pregnant women in their first and third trimester, and between pregnant women with and without gestational diabetes.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:8a490725-44cd-4835-bfa7-a28075410ea5","http://resolver.tudelft.nl/uuid:8a490725-44cd-4835-bfa7-a28075410ea5","Health 2050: faster cure via bioinformatics and quantified self; a design analysis","Simons, L.P.A. (TU Delft Interactive Intelligence)","","2023","Four areas make up 75% of our healthcare costs: cardio-, onco-, neuro- and metabolic diseases. These are largely preventable, even reversible. Instead, they are currently often ‘managed’ and made chronic, not cured. This is too costly. Research is showing new opportunities for enhancing our body’s self-repair in a matter of hours or days. Our research question: what could be an intervention- and bio-feedback portfolio to promote health self-repair within hours or days? There are large cross-domain differences regarding: intervention aims, (self-)measurement options, focus on symptoms vs. causes, plus degree of attention for health self-management. Given recent research into rapid cure, we advise advanced daily bioinformatics feedback, using molecular biomarkers. This creates a quantified self ‘endoself’, showing key biological opportunities for cure and self-repair. Thus, we shift from the current ‘antibiotics/external fix’ paradigm of healthcare to a ‘wound healing’ paradigm, improving use of resources in health.","bioinformatics; health; NCDs; non-communicable diseases; personal medicine; quantified self; self-management; service design","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-20","","","Interactive Intelligence","","",""
"uuid:5c144f50-f846-4163-9f1e-a86c5dfbea26","http://resolver.tudelft.nl/uuid:5c144f50-f846-4163-9f1e-a86c5dfbea26","Sensor Fusion for Shape Reconstruction Using Electromagnetic Tracking Sensors and Multi-Core Optical Fiber","Ha, Xuan Thao (Katholieke Universiteit Leuven; Scuola Superiore Sant’Anna); Wu, D. (TU Delft Medical Instruments & Bio-Inspired Technology; Katholieke Universiteit Leuven); Ourak, Mouloud (Katholieke Universiteit Leuven); Borghesan, Gianni (Katholieke Universiteit Leuven; Flanders Make); Menciassi, Arianna (Scuola Superiore Sant’Anna); Poorten, Emmanuel Vander (Katholieke Universiteit Leuven)","","2023","Optical fiber-based shape sensing is gaining popularity in cardiac catheterization lately. Typically, these procedures are taking place under the guidance of fluoroscopy. However, fluoroscopy has several disadvantages. Thanks to fiber optic shape sensing and Electromagnetic Tracking (EMT), the 3D catheter shape can now be tracked in real-time without the need for fluoroscopy. Traditional optical fiber and EMT-based shape tracking methods have the drawback of the highest shape sensing error at the tip. The information offered by the EMT sensors is used mainly to localize the estimated shape in a fixed coordinate frame. In this letter, a novel approach for tracking the catheter is introduced to address the aforementioned problem. The catheter shape is directly reconstructed in the EMT coordinate frame by approximating the catheter shape by a number of Bézier curves while taking into account the curvatures measured by the optical fiber. Both 2D and 3D shape sensing experiments are conducted. The results of the 3D experiment show that the proposed method reduces the mean shape tracking error by approximately 38% (from 12.1 mm to 5.4 mm for a sensed length of 540 mm long) compared to the traditional method where the same number of sensors are used.","electromagnetic tracking; optical fiber; sensor fusion; shape sensing; Surgical robotics: steerable catheters/needles","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-29","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:0a2b54de-d9c3-44b1-a606-2cd8adc5f1f2","http://resolver.tudelft.nl/uuid:0a2b54de-d9c3-44b1-a606-2cd8adc5f1f2","Contribution of solitons to enhanced rogue wave occurrence in shallow depths: a case study in the southern North Sea","Teutsch, Ina (Helmholtz-Zentrum Hereon); Brühl, M. (TU Delft Team Sander Wahls); Weisse, Ralf (Helmholtz-Zentrum Hereon); Wahls, S. (TU Delft Team Sander Wahls)","","2023","The shallow waters off the coast of Norderney in the southern North Sea are characterised by a higher frequency of rogue wave occurrences than expected. Here, rogue waves refer to waves exceeding twice the significant wave height. The role of nonlinear processes in the generation of rogue waves at this location is currently unclear. Within the framework of the Korteweg–de Vries (KdV) equation, we investigated the discrete soliton spectra of measured time series at Norderney to determine differences between time series with and without rogue waves. For this purpose, we applied a nonlinear Fourier transform (NLFT) based on the Korteweg–de Vries equation with vanishing boundary conditions (vKdV-NLFT). At measurement sites where the propagation of waves can be described by the KdV equation, the solitons in the discrete nonlinear vKdV-NLFT spectrum correspond to physical solitons. We do not know whether this is the case at the considered measurement site. In this paper, we use the nonlinear spectrum to classify rogue wave and non-rogue wave time series. More specifically, we investigate if the discrete nonlinear spectra of measured time series with visible rogue waves differ from those without rogue waves. Whether or not the discrete part of the nonlinear spectrum corresponds to solitons with respect to the conditions at the measurement site is not relevant in this case, as we are not concerned with how these spectra change during propagation. For each time series containing a rogue wave, we were able to identify at least one soliton in the nonlinear spectrum that contributed to the occurrence of the rogue wave in that time series. The amplitudes of these solitons were found to be smaller than the crest height of the corresponding rogue wave, and interaction with the continuous wave spectrum is needed to fully explain the observed rogue wave. Time series with and without rogue waves showed different characteristic soliton spectra. In most of the spectra calculated from rogue wave time series, most of the solitons clustered around similar heights, but the largest soliton was outstanding, with an amplitude significantly larger than all other solitons. The presence of a clearly outstanding soliton in the spectrum was found to be an indicator pointing towards the enhanced probability of the occurrence of a rogue wave in the time series. Similarly, when the discrete spectrum appears as a cluster of solitons without the presence of a clearly outstanding soliton, the presence of a rogue wave in the observed time series is unlikely. These results suggest that soliton-like and nonlinear processes substantially contribute to the enhanced occurrence of rogue waves off Norderney.","","en","journal article","","","","","","","","","","","Team Sander Wahls","","",""
"uuid:ebd477e2-7cb5-49f8-9f55-024d5b11b13d","http://resolver.tudelft.nl/uuid:ebd477e2-7cb5-49f8-9f55-024d5b11b13d","Co-creating educational consumer journeys: A sensemaking perspective","Beverland, M.B. (University of Sussex); Cankurtaran, P. (TU Delft Marketing and Consumer Research); Micheli, P (University of Warwick); Wilner, S (Wilfrid Laurier University)","","2023","To date, customer education has been framed in terms of one-way information provision, at odds with much of the literature on meaning co-creation. Drawing on an ethnography of a specialty coffee purveyor, we show how staff and consum-
ers co-create educational consumer journeys through the deployment of seven practices: auditing, realignment, marryincompeting logics, negotiating scripts, evangelizing, expanding collective knowledge, and impression management. These
practices require staff and consumers to enact three different educational roles (educator, student, and peer), which are necessary for the co-creation and extension of consumer journeys. The roles, practices and the journeys themselves emerge
iteratively through sensebreaking, sensegiving, and sensemaking processes among staff, consumers and the servicescape. Our findings frame customer education as a dynamic process in which meaning is co-created between participants. Fur-
thermore, the cues and touchpoints needed for meaning-making shift as power relations between participants change. Managerially, these findings highlight the potential of co-created educational consumer journeys to expand established
market categories.","Consumer journeys; Customer education; Co-creation; Sensemaking; Practice theory","en","journal article","","","","","","","","","","","Marketing and Consumer Research","","",""
"uuid:b47c83de-b8f0-4a48-b3c8-6f9ac9499660","http://resolver.tudelft.nl/uuid:b47c83de-b8f0-4a48-b3c8-6f9ac9499660","Development of a Geomechanics Program for Wellbore Stability Analysis","Saeidi, Omid (Iranian Offshore Oil Company, Tehran); Rahimi Dalkhani, A. (TU Delft Applied Geophysics and Petrophysics)","","2023","A geomechanics program for wellbore stability analysis has been developed consisting of two modules: an analytical-based solution and a numerical-based solution. In the first part, input data are imported, including petrophysical well logs, pressure data, formation well tops, and a well path. Lithology intervals are set with proper prediction equations to calculate rock mechanical properties based on laboratory tests. In-situ stress and pore pressure are determined using different methods, including the poroelastic plane strain model and stress polygon. From the theory of plane strain, new equations are solved to determine horizontal tectonic strains (ϵ h, ϵ H) from drilling events such as total mud loss and breakout during drilling. Safe mud weight bounds are calculated through depth and in different azimuths and inclinations applying the Mohr-Coulomb and the Mogi-Coulomb failure criteria. The latter underestimated the minimum mud weight to prevent wellbore breakout. The transversely vertical isotropy of shale formation is programmed with multiple stress transformations via the weak-plane method. In the second module, a 3D model around the wellbore is discretized with hexahedral eight-point elements and programmed using the finite-element (FE) method. Rock mechanical property and displacement boundary conditions are applied to solve FE equations. Stress from the numerical model matched to the Kirsch model and results show that maximum stress concentration around the wellbore corresponds to the wellbore breakout, which has analytically been established. A new well plan across the 3D model was examined to obtain the safe mud weight bounds and results were in agreement with the analytical calculations.","Boundary condition; Failure criterion; Finite-element analysis; In-situ stress; Mechanical property; Mud weight; Transversely isotropy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-22","","","Applied Geophysics and Petrophysics","","",""
"uuid:edbe6f53-0598-4c03-9ffa-614c3c20ffe8","http://resolver.tudelft.nl/uuid:edbe6f53-0598-4c03-9ffa-614c3c20ffe8","The Green Intensive Care: From Environmental Hotspot to Action","Hunfeld, Nicole (Erasmus MC); Diehl, J.C. (TU Delft Design for Sustainability); de Zeeuw, S.R.G. (Erasmus MC); Gommers, Diederik (Erasmus MC); Raaij, EM (Erasmus MC)","","2023","Together we must reduce the impact of the healthcare sector and shift towards a circular economy. This paper describes the shift of three ICU environmental hotspots: gloves, gowns, and CRRT bags.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-28","","","Design for Sustainability","","",""
"uuid:49ce5531-a40e-4e32-9aad-53b20cfdfd91","http://resolver.tudelft.nl/uuid:49ce5531-a40e-4e32-9aad-53b20cfdfd91","Benchmarking geospatial high-value data openness using GODI Plus methodology: a regional level case study","Kević, Karlo (University of Zagreb); Kuveždić Divjak, Ana (University of Zagreb); Welle Donker, F.M. (TU Delft Urban Data Science)","","2023","The 2019 European Open Data Directive identifies geospatial data as data that could have a major impact on human activities (high-value data, HVD) and advocates its provision as open data (OD), i.e., without barriers to access and re-use. Although Croatia has implemented OD policies to support the provision of open data, many geospatial data are still not available, or if available, their level of openness ranks Croatia lower than Slovenia and Serbia on some ranking lists. Benchmarking tools have proven to be a powerful tool in identifying barriers in OD. This paper, therefore, benchmarks the level of openness and provision of geospatial HVD in Croatia, Slovenia and Serbia, using the extended and modified Global Open Data Index methodology (GODI Plus). It is expected that this will provide an answer to the status of OD policies and government engagement in OD in Croatia and identify good OD practices among the three countries analyzed. Furthermore, the results will be a baseline benchmark for future HVD analyses. The results reveal low data openness for Croatia and Serbia, high data openness for Slovenia, and a low level of government engagement in all three proposed countries.","Open government data; geospatial high-value data; benchmarking; assessment framework","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:e6ab0d20-4e76-47c2-94c0-4a7e2a714901","http://resolver.tudelft.nl/uuid:e6ab0d20-4e76-47c2-94c0-4a7e2a714901","Using normalised difference infrared index patterns to constrain semi-distributed rainfall–runoff models in tropical nested catchments","Sriwongsitanon, Nutchanart (Kasetsart University); Jandang, Wasana (Kasetsart University); Williams, James (GRC Hydro Pty Ltd); Suwawong, Thienchart (Kasetsart University); Maekan, Ekkarin (Kasetsart University); Savenije, Hubert (TU Delft Water Resources)","","2023","A parsimonious semi-distributed rainfall–runoff model has been developed for flow prediction. In distribution, attention is paid to both the timing of the runoff and the heterogeneity of moisture storage capacities within sub-catchments. This model is based on the lumped FLEXL model structure, which has proven its value in a wide range of catchments. To test the value of distribution, the gauged upper Ping catchment in Thailand has been divided into 32 sub-catchments, which can be grouped into five gauged sub-catchments at which internal performance is evaluated. To test the effect of timing, first the excess rainfall was calculated for each sub-catchment, using the model structure of FLEXL. The excess rainfall was then routed to its outlet using the lag time from the storm to peak flow (TlagF) and the lag time of recharge from the root zone to the groundwater (TlagS), as a function of catchment size. Subsequently, the Muskingum equation was used to route sub-catchment runoff to the downstream sub-catchment, with the delay time parameter of the Muskingum equation being a function of channel length. Other model parameters of this semi-distributed FLEX-SD model were kept the same as in the calibrated FLEXL model of the entire upper Ping River basin (UPRB), controlled by station P.1 located at the centre of Chiang Mai province. The outcome of FLEX-SD was compared to the (1) observations at the internal stations, (2) calibrated FLEXL model, and (3) the semi-distributed URBS model – another established semi-distributed rainfall–runoff model. FLEX-SD showed better or similar performance during calibration and especially in validation. Subsequently, we tried to distribute the moisture storage capacity by constraining FLEX-SD on patterns of the NDII (normalised difference infrared index). The readily available NDII appears to be a good proxy for moisture stress in the root zone during dry periods. The maximum moisture-holding capacity in the root zone is assumed to be a function of the maximum seasonal range of NDII values and the annual average NDII values to construct two alternative models, namely FLEX-SD-NDIIMaxMin and FLEX-SD-NDIIAvg. The additional constraint on the moisture-holding capacity (Sumax) by NDII, particularly in FLEX-SD-NDIIAvg, improved both the model performance and the realism of its distribution across the UPRB, which corresponds linearly to the percentage of evergreen forests (R2=0.69). To check how well the models represents simulated root zone soil moisture (Sui), the performance of the FLEX-SD-NDII models was compared to the time series of the soil water index (SWI). The correlation between the Sui and the daily SWI appeared to be very good and was even better than the correlation with the NDII, which does not provide good estimates during wet periods. The SWI, which is model-based, was not used for calibration but appeared to be an appropriate index for validation.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:19c66747-9d98-43eb-9fad-987287c7add1","http://resolver.tudelft.nl/uuid:19c66747-9d98-43eb-9fad-987287c7add1","Can rifts alter ocean dynamics beneath ice shelves?","Poinelli, M. (TU Delft Physical and Space Geodesy; University of California; California Institute of Technology); Schodlok, Michael (California Institute of Technology); Larour, Eric (California Institute of Technology); Vizcaino, M. (TU Delft Physical and Space Geodesy); Riva, R.E.M. (TU Delft Physical and Space Geodesy)","","2023","Land ice discharge from the Antarctic continent into the ocean is restrained by ice shelves, floating extensions of grounded ice that buttress the glacier outflow. The ongoing thinning of these ice shelves – largely due to enhanced melting at their base in response to global warming – is known to accelerate the release of glacier meltwater into the world oceans, augmenting global sea level. Mechanisms of ocean heat intrusion under the ice base are therefore crucial to project the future of Antarctic ice shelves. Furthermore, ice shelves are weakened by the presence of kilometer-wide full-thickness ice rifts, which are observed all around Antarctica. However, their impact on ocean circulation around and below ice shelves has been largely unexplored as ocean models are commonly characterized by resolutions that are too coarse to resolve their presence. Here, we apply the Massachusetts Institute of Technology general circulation model at high resolution to investigate the sensitivity of sub-shelf ocean dynamics and ice-shelf melting to the presence of a kilometer-wide rift in proximity of the ice front. We find that (a) the rift curtails water and heat intrusion beneath the ice-shelf base and (b) the basal melting of a rifted ice shelf is on average 20 % lower than for an intact ice shelf under identical forcing. Notably, we calculate a significant reduction in melting rates of up to 30 % near the grounding line of a rifted ice shelf. We therefore posit that rifts and their impact on the sub-shelf dynamics are important to consider in order to accurately reproduce and project pathways of heat intrusion into the ice-shelf cavity.","","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:e1700152-fb07-455c-bbd5-17ea02a37cf1","http://resolver.tudelft.nl/uuid:e1700152-fb07-455c-bbd5-17ea02a37cf1","DDL-MVS: Depth Discontinuity Learning for Multi-View Stereo Networks","Ibrahimli, N. (TU Delft Urban Data Science); Ledoux, H. (TU Delft Urban Data Science); Kooij, J.F.P. (TU Delft Intelligent Vehicles); Nan, L. (TU Delft Urban Data Science)","","2023","We propose an enhancement module called depth discontinuity learning (DDL) for learning-based multi-view stereo (MVS) methods. Traditional methods are known for their accuracy but struggle with completeness. While recent learning-based methods have improved completeness at the cost of accuracy, our DDL approach aims to improve accuracy while retaining completeness in the reconstruction process. To achieve this, we introduce the joint estimation of depth and boundary maps, where the boundary maps are explicitly utilized for further refinement of the depth maps. We validate our idea by integrating it into an existing learning-based MVS pipeline where the reconstruction depends on high-quality depth map estimation. Extensive experiments on various datasets, namely DTU, ETH3D, “Tanks and Temples”, and BlendedMVS, show that our method improves reconstruction quality compared to our baseline, Patchmatchnet. Our ablation study demonstrates that incorporating the proposed DDL significantly reduces the depth map error, for instance, by more than 30% on the DTU dataset, and leads to improved depth map quality in both smooth and boundary regions. Additionally, our qualitative analysis has shown that the reconstructed point cloud exhibits enhanced quality without any significant compromise on completeness. Finally, the experiments reveal that our proposed model and strategies exhibit strong generalization capabilities across the various datasets.","multi-view stereo; 3D reconstruction; depth map refinement; depth boundary estimation","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:3c51a674-f21b-4b82-97f8-84d68cb2d92e","http://resolver.tudelft.nl/uuid:3c51a674-f21b-4b82-97f8-84d68cb2d92e","Model-Based Control of Soft Robots: A Survey of the State of the Art and Open Challenges","Della Santina, C. (TU Delft Learning & Autonomous Control); Duriez, Christian (Cimit, Boston); Rus, Daniela (Massachusetts Institute of Technology)","","2023","From a functional standpoint, classic robots are not at all similar to biological systems. If compared with rigid robots, animals' bodies look overly redundant, imprecise, and weak. Nevertheless, animals can still perform a vast range of activities with unmatched effectiveness. Many studies in biomechanics have pointed to the elastic and compliant nature of the musculoskeletal system as a fundamental ingredient explaining this gap. Thus, to reach performance comparable to nature, elastic elements have been introduced in rigid-bodied robots, leading to articulated soft robotics [1] (see 'Summary'). In continuum soft robotics, this concept is brought to an extreme. Here, softness is not concentrated at the joint level but instead distributed across the whole structure. As a result, soft robots (henceforth, omitting the adjective continuum) are entirely made of continuously deformable elements. This design solution aims to bring robots closer to invertebrate animals and the soft appendices of vertebrate animals (for example, an elephant's trunk and the tail of a monkey). Several soft robotic hardware platforms have been proposed with increasingly higher reliability and functionalities. In this process, considerable attention has been devoted to the technological side of the problem, leading to a large assortment of hardware solutions. In turn, this abundance opened up the challenge of developing effective control strategies that can manage the soft body and exploit its embodied intelligence.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-25","","","Learning & Autonomous Control","","",""
"uuid:3aefcd61-05db-4298-806e-0479df4710d5","http://resolver.tudelft.nl/uuid:3aefcd61-05db-4298-806e-0479df4710d5","Climbing to the top: Personal life stories on becoming megaproject leaders","van Marrewijk, A.H. (TU Delft Design & Construction Management; BI Norwegian Business School; Vrije Universiteit Amsterdam); Sankaran, Shankar (University of Technology Sydney); Drouin, Nathalie (University of Technology Sydney; University of Quebec); Müller, Ralf (BI Norwegian Business School)","","2023","This paper captures a better understanding of the career development of people leading megaprojects through the use of biographical research method. The
characteristics of megaprojects cause serious and diverse challenges for their leaders, but programs where they are trained to overcome these challenges are not easily available around the world. We used a biographic research to gather sixteen life histories of megaproject leaders from ten different countries. This approach helps to explore megaproject leaders as people and how they have learned to become leaders. Findings show that leaders learned to manage megaprojects through a lifetime interaction of: (1) personal characteristics of leaders, (2) turning points in their lives, (3) value orientations stemming from their family, region or religion, (4) their relationship to the project team, and (5) their professionalization through a diversity of projects. These findings add to our knowledge on leaders’ career development that this not only depends on individual agency but also on contextual influences which span a lifetime. Furthermore, the findings contribute to the debate on narrative inquiry methods by demonstrating the full potential of biographical research method for understanding megaproject leadership. Finally, the findings contribute to the debate on megaprojects leaders with real accounts of how people have become leaders through self-development.","","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:60f3b2fe-da9b-4929-a556-f9fa922e6c5c","http://resolver.tudelft.nl/uuid:60f3b2fe-da9b-4929-a556-f9fa922e6c5c","Field Model Identification and Control of a Mobile Electromagnet for Remote Actuation of Soft Robots","Riccardi, A. (TU Delft Team Bart De Schutter); Furtado, Guilherme P. (University of Twente); Sikorski, Jakub (University of Twente; Rijksuniversiteit Groningen; University Medical Center Groningen); Vendittelli, Marilena (Sapienza University of Rome); Misra, Sarthak (University of Twente; Rijksuniversiteit Groningen; University Medical Center Groningen)","","2023","The actuation of miniaturized robots through external magnetic fields has great potential for medical applications. The controllability properties of the miniaturized robots are affected by magnetic field generation modality. In this work, the magnetic field of a mobile electromagnet, notably capable to generate a desired magnetic field in large 3D workspaces, has been identified first. Then, a control model of the field generation system has been developed to produce a desired magnetic field designed to generate a locomotion gait in a legged miniaturized robot. Preliminary experiments prove the viability of the approach.","and Learning for Soft Robots; Control; Electromagnets; Magnetic Devices; Magnetic domains; Magnetic fields; Manipulators; Medical Robots and Systems; Micro/Nano Robots; Modeling; Robot Task Control; Robots; Soft magnetic materials; Soft robotics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-29","","","Team Bart De Schutter","","",""
"uuid:10ac3913-ccea-48d9-a04a-0c92378775d2","http://resolver.tudelft.nl/uuid:10ac3913-ccea-48d9-a04a-0c92378775d2","Development and experimental testing of a collaborative design rationale method for early-stage ship layout design","le Poole, J.J. (TU Delft Ship Design, Production and Operations); Duchateau, E.A.E. (Defence Materiel Organisation); Hopman, J.J. (TU Delft Ship Design, Production and Operations); Kana, A.A. (TU Delft Ship Design, Production and Operations)","","2023","Design rationale is a promising way of capturing design decisions and considerations for later retrieval and traceability to improve collaborative design decision-making. To achieve these perceived benefits for early-stage complex ship design, this paper first elaborates on the development of a proof-of-concept design rationale method. The method aims to aid ship designers in the continuous capturing and reuse of design rationale during the collaborative concept design process. Second, the setup and results of an experiment conducted with marine design students and with experts are discussed. This experiment shows how the developed design rationale method benefits collaborative design decision-making such that it leads to improved insight into design issues across the design team during a single design session.","Ship layout design; Collaborative design decision-making; Design rationale; Design experiment; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:5a787022-f5c3-4413-ac21-2c7f3be3b535","http://resolver.tudelft.nl/uuid:5a787022-f5c3-4413-ac21-2c7f3be3b535","Identifying Aging and Alzheimer Disease–Associated Somatic Variations in Excitatory Neurons From the Human Frontal Cortex","Zhang, M. (TU Delft Pattern Recognition and Bioinformatics; Vrije Universiteit Amsterdam; Leiden University Medical Center); Bouland, G.A. (TU Delft Pattern Recognition and Bioinformatics; Vrije Universiteit Amsterdam; Leiden University Medical Center); Holstege, H. (TU Delft Intelligent Systems; Vrije Universiteit Amsterdam; Leiden University Medical Center); Reinders, M.J.T. (TU Delft Pattern Recognition and Bioinformatics; Vrije Universiteit Amsterdam; Leiden University Medical Center)","","2023","Background and Objectives - With age, somatic mutations accumulated in human brain cells can lead to various neurologic disorders and brain tumors. Because the incidence rate of Alzheimer disease (AD) increases exponentially with age, investigating the association between AD and the accumulation of somatic mutation can help understand the etiology of AD.
Methods - We designed a somatic mutation detection workflow by contrasting genotypes derived from whole-genome sequencing (WGS) data with genotypes derived from scRNA-seq data and applied this workflow to 76 participants from the Religious Order Study and the Rush Memory and Aging Project (ROSMAP) cohort. We focused only on excitatory neurons, the dominant cell type in the scRNA-seq data.
Results - We identified 196 sites that harbored at least 1 individual with an excitatory neuron–specific somatic mutation (ENSM), and these 196 sites were mapped to 127 genes. The single base substitution (SBS) pattern of the putative ENSMs was best explained by signature SBS5 from the Catalogue of Somatic Mutations in Cancer (COSMIC) mutational signatures, a clock-like pattern correlating with the age of the individual. The count of ENSMs per individual also showed an increasing trend with age. Among the mutated sites, we found 2 sites tend to have more mutations in older individuals (16:6899517 [RBFOX1], p = 0.04; 4:21788463 [KCNIP4], p < 0.05). In addition, 2 sites were found to have a higher odds ratio to detect a somatic mutation in AD samples (6:73374221 [KCNQ5], p = 0.01 and 13:36667102 [DCLK1], p = 0.02). Thirty-two genes that harbor somatic mutations unique to AD and the KCNQ5 and DCLK1 genes were used for gene ontology (GO)–term enrichment analysis. We found the AD-specific ENSMs enriched in the GO-term “vocalization behavior” and “intraspecies interaction between organisms.” Of interest we observed both age-specific and AD-specific ENSMs enriched in the K+ channel–associated genes.
Discussion - Our results show that combining scRNA-seq and WGS data can successfully detect putative somatic mutations. The putative somatic mutations detected from ROSMAP data set have provided new insights into the association of AD and aging with brain somatic mutagenesis.","OA-Fund TU Delft","en","journal article","","","","","","","","","","Intelligent Systems","Pattern Recognition and Bioinformatics","","",""
"uuid:6a0ed4b8-8b19-4078-b678-3345956b33d5","http://resolver.tudelft.nl/uuid:6a0ed4b8-8b19-4078-b678-3345956b33d5","Pre-clinical evaluation of the new veress needle+ mechanism on thiel-embalmed bodies: a controlled crossover study - Experimental research","Postema, R.R. (TU Delft Biomechanical Engineering; Amsterdam UMC); Hardon, S.F. (TU Delft Medical Instruments & Bio-Inspired Technology; Amsterdam UMC); Cefai, David (ProVinci Medtech); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Jansen, F.W. (Leiden University Medical Center); Camenzuli, Christian (University of Malta); Calleja-Agius, Jean (University of Malta); Horeman, T. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2023","Background:
Veress needles (VN) are commonly used in establishing pneumoperitoneum in laparoscopic surgery. Previously, a VN with a new safety mechanism ‘VeressPLUS’ needle (VN+) was developed to reduce the amount of overshoot.
Methods:
Eighteen participants (novices, intermediates, and experts) performed in total of 248 insertions in a systematic way on Thiel-embalmed bodies with wide and small bore versions of the conventional VN (VNc) and the VN+. Insertion depth was measured by recording the graduations on the needle under direct laparoscopic vision.
Results:
Participants graded the bodies and the procedures as lifelike. Overall, a significant reduction (P<0.001) in average insertion depth was found for the VN+ compared to the VNc of 26.0 SD16 mm versus 46.2 SD15 mm. The insertion depth difference in the novice group was higher compared to the intermediates and experts (P<0.001). The average insertion depth for both needle types was less (P<0.001) for female participants compared to male.
Conclusion:
This study indicated that the VN+ significantly reduced the insertion depth in all tested conditions. Whether the difference between female and male performance can be linked to differences in muscle control or arm mass should be further investigated. Useful technical information was gathered from this study to further improve the VN+.
1, T 2 ∗, and T RAFF2 Mapping With Magnetic Resonance Fingerprinting","Tourais, Joao (TU Delft ImPhys/Weingärtner group); Ploem, T.W. (TU Delft ImPhys/Medical Imaging); Zadelhoff, Tijmen A.van (Erasmus MC); Steeg-Henzen, Christal van de (Holland Particle Therapy Centre); Oei, Edwin H.G. (Erasmus MC); Weingärtner, S.D. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Weingärtner group)","","2023","Objective: Quantitative Magnetic Resonance Imaging (MRI) holds great promise for the early detection of cartilage deterioration. Here, a Magnetic Resonance Fingerprinting (MRF) framework is proposed for comprehensive and rapid quantification of T 1, T 2 ∗, and T RAFF2 with whole-knee coverage. Methods: A MRF framework was developed to achieve quantification of Relaxation Along a Fictitious Field in the 2nd rotating frame of reference (T RAFF2) along with T 1 and T 2 ∗. The proposed sequence acquires 65 measurements of 25 high-resolution slices, interleaved with 7 inversion pulses and 40 RAFF2 trains, for whole-knee quantification in a total acquisition time of 3:25 min. Comparison with reference T 1, T 2 ∗, and T RAFF2 methods was performed in phantom and in seven healthy subjects at 3 T. Repeatability (test-retest) with and without repositioning was also assessed. Results: Phantom measurements resulted in good agreement between MRF and the reference with mean biases of -54, 2, and 5 ms for T 1, T 2 ∗, and T RAFF2, respectively. Complete characterization of the whole-knee cartilage was achieved for all subjects, and, for the femoral and tibial compartments, a good agreement between MRF and reference measurements was obtained. Across all subjects, the proposed MRF method yielded acceptable repeatability without repositioning (R 2≥ 0.94) and with repositioning (R 2≥ 0.57) for T 1, T 2 ∗, and T RAFF2. Significance: The short scan time combined with the whole-knee coverage makes the proposed MRF framework a promising candidate for the early assessment of cartilage degeneration with quantitative MRI, but further research may be warranted to improve repeatability after repositioning and assess clinical value in patients.","
Objective: The objective of this work is to determine the feasibility and preliminary efficacy of an automated conversational agent to deliver, to people with diabetes, personalised psycho-education on dealing with (psycho-)social distress related to their chronic illness.
Methods: In a double-blinded between-subject study, 156 crowd-workers with diabetes received a social help program intervention in three sessions over three weeks. They were randomly assigned to receive support from either an interactive conversational support agent (n=79) or a self-help text from the book “Diabetes burnout” as a control condition (n=77). Participants completed the Diabetes Distress Scale (DDS) before and after the intervention, and after the intervention, the Client Satisfaction Questionnaire (CSQ-8), Feeling of Being Heard (FBH), and System Usability Scale (SUS).
Results: Results indicate that people using the conversational agent have a larger reduction in diabetes distress (M=−0.305, SD=0.865) than the control group (M=0.002, SD=0.743) and this difference is statistically significant (t(154)=2.377, p=0.019). A hypothesised mediation effect of “attitude to the social help program” was not observed.
Conclusions: An automated conversational agent can deliver personalised psycho-education on dealing with (psycho-)social distress to people with diabetes and reduce diabetes distress more than a self-help book.
Ethics, Study Registration and Open Science: This study has been preregistered with the Open Science Foundation (osf.io/yb6vg) and has been accepted by the Human Research Ethics Committee - Delft University of Technology under application number 1130. The data and analysis script are available: https://surfdrive.surf.nl/files/index.php/s/4xSEHCrAu0HsJ4P.","social diabetes distress; conversational agent; support system; personalised psych-education; self-help; longitudinal evaluation","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:a5b4a4c2-c438-43d6-aa77-0e2be9aea1b6","http://resolver.tudelft.nl/uuid:a5b4a4c2-c438-43d6-aa77-0e2be9aea1b6","Stable passivation of cut edges in encapsulated n-type silicon solar cells using Nafion polymer","Chen, N. (TU Delft Photovoltaic Materials and Devices; International Solar Energy Research Center (ISC)); Tune, Daniel (International Solar Energy Research Center (ISC)); Buchholz, Florian (International Solar Energy Research Center (ISC)); Roescu, Razvan (International Solar Energy Research Center (ISC)); Zeman, M. (TU Delft Electrical Sustainable Energy); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Mihailetchi, Valentin D. (International Solar Energy Research Center (ISC))","","2023","In this study, the edge passivation effectiveness and long-term stability of Nafion polymer in n-type interdigitated back contact (IBC) solar cells are investigated. For new module technologies such as half-cut, triple-cut, or shingled modules, cutting of the cells introduces unpassivated edges with a high recombination rate and this limits the module power. These cut edges can be “repassivated” after cutting and in this work Nafion polymer is used to achieve this. First, different edge types, namely emitter edges (n+/n/p+) and back surface field (BSF) edges (n+/n/n+), as well as different cutting techniques such as laser cut and cleave (L&C), thermal laser separation (TLS), and mechanical cleaving are evaluated. It is found that TLS and mechanical cleaving enable good repassivation on both BSF and emitter edges. Second, industrial-size IBC solar cells are made to assess the effect of the edge repassivation on performance. On 1/4-cut M2 size IBC cells with two emitter edges, efficiency is improved by over 0.3%abs. However, an efficiency improvement was not observed for similar cells with BSF edges, due to an insufficient passivation at the bulk edges. Last, the real-world stability of the Nafion repassivation is evaluated in industrially relevant module stacks by laminating the repassivated wafers with ethylvinylacetate (EVA) or polyolefin elastomer (POE) encapsulants and then exposing them to industry standard testing of 1000 h under damp heat conditions (85 °C, 85% relative humidity). The tests reveal that the repassivation is stable in EVA encapsulants but not in POE.","Edge passivation; Laser cut; Cut loss; Silicon solar cell; Back contact; Nafion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-12","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:4247fc52-3aa2-4ee2-8785-f46efa0f7726","http://resolver.tudelft.nl/uuid:4247fc52-3aa2-4ee2-8785-f46efa0f7726","Impact of carpets on perceived indoor air quality","Noorian Najafabadi, S.A. (TU Delft Environmental & Climate Design); Sugano, S.S. (Waseda University); Bluyssen, P.M. (TU Delft Environmental & Climate Design)","","2023","Indoor air quality (IAQ) plays an important role in human health and well-being as people spend most of their time indoors. Among building materials, carpets covering high surface areas and having dense fibres have the potential to impact perceived IAQ. To explore the impact of carpets on perceived IAQ, it was studied whether low-emitting wool carpets can 'clean' the air. To assess the sorption effect of emissions of hardboard (as a permanent source) on carpet, untrained subjects were asked to assess a combination of low-odour emitting carpet and hardboard in one sample container and only hardboard in another sample container of test chamber. The results showed a slight (although not statistically relevant) difference in favour of the combination, indicating a slight adsorption effect.","","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:66eeba49-1996-4f88-a8d7-9a7758a2a7c2","http://resolver.tudelft.nl/uuid:66eeba49-1996-4f88-a8d7-9a7758a2a7c2","Hoe blijft de mens de techniek de baas?","Calvert, S.C. (TU Delft Transport and Planning); Johnsen, Stig O. (SINTEF; Norwegian University of Science and Technology (NTNU)); George, A. (TU Delft Human-Robot Interaction)","","2023","","","nl","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-01","","","Transport and Planning","","",""
"uuid:09d79587-8071-4dd0-97f0-304ebaae9e6a","http://resolver.tudelft.nl/uuid:09d79587-8071-4dd0-97f0-304ebaae9e6a","The ins and outs of decentralized autonomous organizations (DAOs): Unraveling the definitions, characteristics, and emerging developments of DAOs","Rikken, O.K. (TU Delft Information and Communication Technology); Janssen, M.F.W.H.A. (TU Delft Engineering, Systems and Services); Roosenboom-Kwee, Z. (TU Delft Economics of Technology and Innovation)","","2023","Despite the increase in the number of blockchain-based Decentralized Autonomous Organizations (DAOs), there is no consensus on what constitutes a DAO. This paper provides an in-depth study of DAOs by analyzing their definitions, characteristics, and emerging developments. Existing definitions in the literature hardly recognize common functionalities and intermingle coded DAOs, DAO deployment platforms, and blockchain DAOs. We developed a comprehensive DAO definition by reviewing the literature and empirically analyzing 1,859 DAOs. The findings show that many DAOs were inactive and that a threshold of 20 tokenholders is a tipping point for DAOs to survive over time and maintain sustained levels of activity. Finally, based on an empirical analysis of 9,845 perceived DAOs, we identified the emerging development of off-chain voting. This emerging development challenges the autonomous nature of DAOs. We recommend further research to investigate the effect of governance structures on their long-term sustainability and viability for both on-chain and off-chain DAOs.","","en","journal article","","","","","","","","","","Engineering, Systems and Services","Information and Communication Technology","","",""
"uuid:45f7e965-3a07-4ebb-a234-370f01165979","http://resolver.tudelft.nl/uuid:45f7e965-3a07-4ebb-a234-370f01165979","L'Internet of Things per la transizione circolare nel settore delle facciate","Giovanardi, Matteo (Politecnico di Torino); Konstantinou, T. (TU Delft Architectural Technology); Pollo, Riccardo (Politecnico di Torino); Klein, T. (TU Delft Architectural Technology)","","2023","In the façade sector, the ecological and circular transition requires the adoption of new business models that exploit the value of the material as much as possible. In this context, the Internet of Things (IoT) is identified as a potential innovation driver for the widespread use of circular approaches. The aim of the paper is to clarify the role of IoT in enabling five circular business models in the façade sector. The potential benefits of an IoT-based façade system are highlighted through a matrix underscoring the relationship between information produced and key actions to achieve the innovative business models. The research discussion and findings open the debate on the perspective of digitally integrated building components.","Circular Business Model; Circular Economy; Façade; IoT; Product Innovation","it","journal article","","","","","","","","","","","Architectural Technology","","",""
"uuid:b7531d21-5187-482d-ade3-fe5532f6846f","http://resolver.tudelft.nl/uuid:b7531d21-5187-482d-ade3-fe5532f6846f","Prediction of discomfort from glare from daylight in classrooms","Abreu Vieira Viula, R.J. (TU Delft Environmental & Climate Design); Bokel, R.M.J. (TU Delft Environmental & Climate Design); Tenpierik, M.J. (TU Delft Environmental & Climate Design)","","2023","Daylighting is desirable in classrooms but can pose a challenge to classroom illumination when there is a risk of discomfort from glare from windows and sunlight. There are several metrics in use for the evaluation of discomfort from glare from daylight, but none has yet been validated based on the field-of-view conditions of classrooms. A previous study found that Daylight Glare Probability (DGP) produced a relatively better predictive power of the reported discomfort from glare in a classroom compared to other metrics. However, the metric tended to correlate weakly with the reported glare in positions away from the window light source in a classroom. This prompted the current investigation
on the possibility of improving the DGP equation. The modified equation produced a significantly better fit to the subjective glare evaluations from a dataset comprising 184 evaluations from 49 participants collected in a classroom. The results suggest that DGP can be improved to predict the reported discomfort from glare for the conditions of board-based work in a classroom, particularly when a logarithmic form of the adaptation term is integrated in the equation.","","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:035e67c4-1443-47de-a0b3-92ced2c86143","http://resolver.tudelft.nl/uuid:035e67c4-1443-47de-a0b3-92ced2c86143","The mental health of rural older adults: the role of the built environment in Jintang County","Liang, Ping (Chengdu University of Technology); Wang, Yan (Sichuan College of Architectural Technology); Wang, T. (TU Delft Design & Construction Management)","","2023","The mental health of older adults has become one of the major health challenges facing society today, which has attracted wide concerns from scholars in urban areas, but research in rural areas has been neglected. Therefore, this paper took rural older adult residents of 11 sample villages in Jintang County, Chengdu City, Sichuan Province, as the research object. After controlling the demographic characteristics of older adults in rural areas, this paper attempted to explore the effects of the rural built Environment on the mental health of older adults. Through field investigation in the sample villages, 515 valid questionnaires were obtained. The results from the Binary Logistic Regression Model show that good marital status, physical health, education level, well-constructed roads, and safe neighborhoods had significant positive effects on the mental health of rural older adults. Rural older adults who prefer to walk, cycle, and use public transport have better mental health, and accessibility to the periodic market, health station, bus station, village committee, supermarket, and the main road is positively correlated with the mental health of rural older adults, while the distance from home to the town center and coach terminal has a significant negative impact on the mental health of rural older adults. The research results provide a theoretical reference for further construction of rural aging environments.","mental health; older adults; binary logistic model; rural areas; built","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:202e1c0c-989a-46b4-8d1c-070b5c32682c","http://resolver.tudelft.nl/uuid:202e1c0c-989a-46b4-8d1c-070b5c32682c","3D‑printed sound absorbers: compact and customisable at broadband frequencies","Setaki, F. (TU Delft Environmental Technology and Design); Tian, F. (Huazhong University of Science and Technology); Turrin, M. (TU Delft Digital Technologies); Tenpierik, M.J. (TU Delft Environmental & Climate Design); Nijs, L. (TU Delft Building Physics); van Timmeren, A. (TU Delft Environmental Technology and Design)","","2023","This paper discusses a novel, compact sound absorption solution with high performance at various frequencies, including low frequencies, achieved through the effective use of Computational Design and Additive Manufacturing (AM). Sound absorption is widely applied for reducing noise and improving room acoustics; however, it is often constrained by conventional design, material properties and production techniques, which offer limited options for customising performance. This research highlights that AM, in combination with computational design tools, can support the development of novel sound-absorbing products with high performance based on the principle of viscothermal wave propagation in prismatic tubes. The potential of these designs was explored via two studies of customised sound-absorbing panels whose performance was measured in a reverberation room. A custom measurement technique was used based on logarithmic sweeps with high-resolution FFT analysis. A comparison of the measurement results with the theory of viscothermal wave propagation indicated good agreement; thus, this study demonstrates the possibility of developing new concepts and design methods for novel room acoustic devices.","3D printing; Additive manufacturing; Customisation; Sound absorption; Broadband","en","journal article","","","","","","","","","","","Environmental Technology and Design","","",""
"uuid:7e8d4afd-8bc2-4d4f-964e-fd692f9e9964","http://resolver.tudelft.nl/uuid:7e8d4afd-8bc2-4d4f-964e-fd692f9e9964","The design of a flexible RF generator for driving Acousto-Optical devices in space applications","Vanhamel, J. (TU Delft Space Systems Egineering); Stutman, Daniel (Student TU Delft)","","2023","Acousto-Optical (AO) devices are not only used for filtering purposes in spectral
imaging, but also in optical communications and spatial tracking systems. Some AO devices with space applications are AO Tunable Filters (AOTFs), Modulators (AOMs), Deflectors (AODs) and Frequency Shifters (AOFSs). Though these device’s applications differ, they are all controlled with Radio-Frequency (RF) signals. These signals are converted by a transducer into an acoustic wave, which propagates inside the AO device. The interaction between the incoming
light and the acoustic waves inside the birefringent AO material creates multiple output beams. This interaction can result in filtering, modulation, deflection or frequency shifting, depending on the AO device in question. This research focuses on the design of a flexible, uniform RF generator, applicable to all AO devices in the space applications domain. The RF output maximizes the performance of the AO device, while the use of components available in space
qualified grades eases integration with future space missions. Its design is a key step towards a miniaturized, space qualified, general-purpose RF generator. This research presents schematics, design and preliminary component test results.","AOTF; RF generator; Space qualified","en","journal article","","","","","","","","","","","Space Systems Egineering","","",""
"uuid:643a7b55-802c-4eca-852e-cf8ed917fc9b","http://resolver.tudelft.nl/uuid:643a7b55-802c-4eca-852e-cf8ed917fc9b","Image-Based Awareness Campaign and Community Mobilization in the Control of Schistosomiasis","Makau-Barasa, Louise (Fund, New York); Assefa, Liya (Fund, New York); Aderogba, Moses O. (Fund, New York); Bell, David (Independent Consultant); Solomon, Jacob (Federal Ministry of Health, Abuja); Abba, Abubakar (FCT Public Health Department, Abuja); A-Enegela, Juliana (CBM International, Cambridge); Damen, James G. (University of Jos, Jos); Diehl, J.C. (TU Delft Design for Sustainability)","","2023","Community awareness and participation in mass screening is critical for schistosomiasis control. This study assessed the impact of sharing anonymized image-based positive test results on the uptake of screening during community mobilization outreach. We conducted an observational study to compare the population response to standard and image-based strategies in 14 communities
in Abuja, Nigeria. Six hundred and ninety-one (341 females, 350 males) individuals participated in this study. We analyzed the response ratio, relative increase, and sample collection time. The potential treatment uptake and change in social behavior were determined based on a semi-structured questionnaire. The mean response ratio of the image-based strategy was 89.7% representing a
significantly higher ratio than the 27.8%, which was observed under the standard mobilization approach (p ≤ 0.001). The image-based method was associated with 100% of the participants agreeing to provide urine samples, 94% willing to be treated, 89% claiming to have been invited to participate in the study by a friend, and 91% desiring to change a predisposing behavioral habit. These findings indicate that image-based community awareness campaigns may increase the population’s perception about schistosomiasis transmission and treatment. This raises new possibilities for local resource mobilization to expand services in reaching the last mile in schistosomiasis control.","schistosomiasis; community mobilization; awareness; public health; Treatment; control; elimination","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:60d68814-2d2b-4bc7-9bcb-d8996ca90f77","http://resolver.tudelft.nl/uuid:60d68814-2d2b-4bc7-9bcb-d8996ca90f77","The Power of Characterizing Pore-Fluid Distribution for Microscopic CO2 Injection Studies in Tight Sandstones","Alkharraa, H.S.H. (TU Delft Reservoir Engineering); Wolf, K.H.A.A. (TU Delft Applied Geophysics and Petrophysics); AlQuraishi, Abdulrahman (King Abdulaziz City for Science and Technology, Riyadh); Mahmoud, Mohamed (King Fahd University of Petroleum and Minerals); AlDuhailan, Mohammed (Saudi Aramco); Zitha, P.L.J. (TU Delft Reservoir Engineering)","","2023","The microscopic structure of low-permeability tight reservoirs is complicated due to diagenetic processes that impact the pore-fluid distribution and hydraulic properties of tight rocks. As part of an ongoing study of carbon dioxide-enhanced oil and gas recovery (CO2-EOR/EGR) and CO2 sequestration, this research article adopts an integrated approach to investigate the contribution of the micropore system in pore-fluid distribution in tight sandstones. A new dimensionless number, termed the microscopic confinement index (MCI), was established to select the right candidate for microscopic CO2 injection in tight formations. Storativity and containment indices were essential for MCI estimation. A set of experiments, including routine core analysis, X-ray diffraction (XRD), scanning electron microscopy (SEM), mercury injection capillary pressure (MICP), and nuclear magnetic resonance (NMR), was performed on three tight sandstone rock samples, namely Bandera, Kentucky, and Scioto. Results indicate that the presence of fibrous illite acting as pore bridging in Bandera and Kentucky sandstone samples reduced the micropore-throat proportion (MTMR), leading to a significant drop in the micropore system confinement in Kentucky and Bandera sandstone samples of 1.03 and 0.56, respectively. Pore-filling kaolinite booklets reduced the micropore storativity index (MSI) to 0.48 in Kentucky and 0.38 in Bandera. On the other hand, the absence of fibrous illite and kaolinite booklets in Scioto sandstone led to the highest micropore system capability of 1.44 MTMR and 0.5 MSI to store and confine fluids. Therefore, Scioto sandstone is the best candidate for CO2 injection and storage among the tested samples of 0.72 MCI.","microscopic gas confinement; micropore system’s storativity; pore-fluid distribution; clay minerals; CO2 injection and storage; low-permeability micropore system","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:7c590359-8914-4d2c-be62-309ec626a763","http://resolver.tudelft.nl/uuid:7c590359-8914-4d2c-be62-309ec626a763","The relations between working from home and travel behaviour: a panel analysis","Faber, R.M. (TU Delft Transport and Logistics); Hamersma, Marije (KiM Netherlands Institute for Transport Policy Analysis); Brimaire, J. (Student TU Delft; KiM Netherlands Institute for Transport Policy Analysis); Kroesen, M. (TU Delft Transport and Logistics); Molin, E.J.E. (TU Delft Transport and Logistics)","","2023","Policies to increase the amount of time people spend working from home were widely used during the COVID-19 pandemic. Since research suggests that the resulting increase in working from home will outlast these policies themselves, policymakers want to understand the relations between working from home and travel behaviour. We apply longitudinal modelling techniques to estimate the relations between working from home and travel behaviour using panel data from the Netherlands Mobility Panel spanning the years 2017 through 2021. This allows us to separate between-persons and within-persons relations and effects and to see whether these effects changed during the pandemic. We find a negative effect of working from home on commute travel time both before and during the pandemic and a positive effect on leisure travel time only before the pandemic. The sizes of these effects remained roughly similar during the pandemic, although the extent to which working from home affected commute travel time increased during the pandemic. The net effect of working from home on travel time is negative, indicating that working from home policies could be used to reduce travel time. The results also show that some of the relationships between working from home and travel behaviour have changed during the pandemic. As a result, policymakers and transport operators should be careful when estimating future travel demand based on extrapolations of relationships found only before or during the pandemic.","","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:0d4cdf6a-951b-42c0-8875-439e7e348618","http://resolver.tudelft.nl/uuid:0d4cdf6a-951b-42c0-8875-439e7e348618","Physics-based modelling and data-driven optimisation of a latent heat thermal energy storage system with corrugated fins","Tavakoli, Ali (Ferdowsi University of Mashhad); Hashemi, Javad (Ferdowsi University of Mashhad); Najafian, Mahyar (Ferdowsi University of Mashhad); Ebrahimi, Amin (TU Delft Team Marcel Hermans)","","2023","Solid-liquid phase transformation of a phase change material in a rectangular enclosure with corrugated fins is studied. Employing a physics-based model, the influence of fin length, thickness, and wave amplitude on the thermal and fluid flow fields is explored. Incorporating fins into thermal energy storage systems enhances the heat transfer surface area and thermal penetration depth, accelerating the melting process. Corrugated fins generate more flow perturbations than straight fins, improving the melting performance. Longer and thicker fins increase the melting rate, average temperature, and thermal energy storage capacity. However, the effect of fin thickness on the thermal characteristics seems insignificant. Larger fin wave amplitudes increase the heat transfer surface area but disrupt natural convection currents, slowing the melting front progress. A surrogate model based on an artificial neural network in conjunction with the particle swarm optimisation is developed to optimise the fin geometry. The optimised geometry demonstrates a 43% enhancement in thermal energy storage per unit mass compared to the case with planar fins. The data-driven model predicts the liquid fraction with less than 1% difference from the physics-based model. The proposed approach provides a comprehensive understanding of the system behaviour and facilitates the design of thermal energy storage systems.","Deep neural networks; Machine learning; Optimisation; Phase change material; Thermal and fluid flow modelling; Thermal energy storage system","en","journal article","","","","","","","","","","","Team Marcel Hermans","","",""
"uuid:c1e3a189-8396-4de7-93d3-1f0fab1662f3","http://resolver.tudelft.nl/uuid:c1e3a189-8396-4de7-93d3-1f0fab1662f3","A Muscle Load Feedback Application for Strength Training: A Proof-of-Concept Study","Noteboom, L. (Vrije Universiteit Amsterdam); Nijs, Anouk (Vrije Universiteit Amsterdam); Beek, Peter J. (Vrije Universiteit Amsterdam); van der Helm, F.C.T. (TU Delft Biomechatronics & Human-Machine Control); Hoozemans, Marco J.M. (Vrije Universiteit Amsterdam)","","2023","Muscle overload injuries in strength training might be prevented by providing personalized feedback about muscle load during a workout. In the present study, a new muscle load feedback application, which monitors and visualizes the loading of specific muscle groups, was developed in collaboration with the fitness company Gymstory. The aim of the present study was to examine the effectiveness of this feedback application in managing muscle load balance, muscle load level, and muscle soreness, and to evaluate how its actual use was experienced. Thirty participants were randomly distributed into ‘control’, ‘partial feedback’, and ‘complete feedback’ groups and monitored for eight workouts using the automatic exercise tracking system of Gymstory. The control group received no feedback, while the partial feedback group received a visualization of their estimated cumulative muscle load after each exercise, and the participants in the complete feedback group received this visualization together with suggestions for the next exercise to target muscle groups that had not been loaded yet. Generalized estimation equations (GEEs) were used to compare muscle load balance and soreness, and a one-way ANOVA was used to compare user experience scores between groups. The complete feedback group showed a significantly better muscle load balance (β = −18.9; 95% CI [−29.3, −8.6]), adhered better to the load suggestion provided by the application (significant interactions), and had higher user experience scores for Attractiveness (p = 0.036), Stimulation (p = 0.031), and Novelty (p = 0.019) than the control group. No significant group differences were found for muscle soreness. Based on these results, it was concluded that personal feedback about muscle load in the form of a muscle body map in combination with exercise suggestions can effectively guide strength training practitioners towards certain load levels and more balanced cumulative muscle loads. This application has potential to be applied in strength training practice as a training tool and may help in preventing muscle overload.","strength training; resistance training; feedback; injury; muscles; overload; overtraining","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:50701b57-2ab6-4efb-ad5f-4a1b4f7c6d99","http://resolver.tudelft.nl/uuid:50701b57-2ab6-4efb-ad5f-4a1b4f7c6d99","Robustness analysis of CTV and OAR dose in clinical PBS-PT of neuro-oncological tumors: prescription-dose calibration and inter-patient variation with the Dutch proton robustness evaluation protocol","Rojo-Santiago, Jesús (Erasmus MC; Holland Particle Therapy Centre); Habraken, S.J.M. (Erasmus MC; Holland Particle Therapy Centre); Romero, Alejandra Méndez (Erasmus MC; Holland Particle Therapy Centre); Lathouwers, D. (TU Delft RST/Reactor Physics and Nuclear Materials); Wang, Yibing (Holland Particle Therapy Centre); Perko, Z. (TU Delft RST/Reactor Physics and Nuclear Materials); Hoogeman, M.S. (Erasmus MC; Holland Particle Therapy Centre)","","2023","Objective. The Dutch proton robustness evaluation protocol prescribes the dose of the clinical target volume (CTV) to the voxel-wise minimum (VWmin) dose of 28 scenarios. This results in a consistent but conservative near-minimum CTV dose (D98%,CTV). In this study, we analyzed (i) the correlation between VWmin/voxel-wise maximum (VWmax) metrics and actually delivered dose to the CTV and organs at risk (OARs) under the impact of treatment errors, and (ii) the performance of the protocol before and after its calibration with adequate prescription-dose levels.Approach. Twenty-one neuro-oncological patients were included. Polynomial chaos expansion was applied to perform a probabilistic robustness evaluation using 100,000 complete fractionated treatments per patient. Patient-specific scenario distributions of clinically relevant dosimetric parameters for the CTV and OARs were determined and compared to clinical VWmin and VWmax dose metrics for different scenario subsets used in the robustness evaluation protocol.Main results. The inclusion of more geometrical scenarios leads to a significant increase of the conservativism of the protocol in terms of clinical VWmin and VWmax values for the CTV and OARs. The protocol could be calibrated using VWmin dose evaluation levels of 93.0%-92.3%, depending on the scenario subset selected. Despite this calibration of the protocol, robustness recipes for proton therapy showed remaining differences and an increased sensitivity to geometrical random errors compared to photon-based margin recipes.Significance. The Dutch proton robustness evaluation protocol, combined with the photon-based margin recipe, could be calibrated with a VWmin evaluation dose level of 92.5%. However, it shows limitations in predicting robustness in dose, especially for the near-maximum dose metrics to OARs. Consistent robustness recipes could improve proton treatment planning to calibrate residual differences from photon-based assumptions.","geometrical and range errors; intensity modulated proton therapy; neuro-oncological tumors; polynomial chaos expansion; robust treatment planning; robustness evaluation","en","journal article","","","","","","","","","","","RST/Reactor Physics and Nuclear Materials","","",""
"uuid:3ee9e9ec-3e02-40bd-af07-addab81904b7","http://resolver.tudelft.nl/uuid:3ee9e9ec-3e02-40bd-af07-addab81904b7","Managing migrants’ spaces after emigration: Caracas, Departure City","Gzyl, Stefan (TU Delft Theory, Territories & Transitions)","","2023","The deterioration of living conditions in Venezuela has triggered an unprecedented migratory crisis. More than seven million Venezuelans have fled the country. While a continental refugee crisis and an emergent diaspora have received attention, the local impact of emigration remains unexplored. Locally, emigration manifests itself as an ever-growing and unique vacancy. In Caracas, migrants’ left-behind domestic spaces are managed through relational, trust-based, and dynamic practices that revolve around their preservation and reinvention, implicating local actors in the migration process and creating new forms of transnational cooperation. This article examines emergent practices of care in Caracas. It presents an overview of the Venezuelan crisis and the disciplinary frameworks for examining the impact of emigration on urban development. Through interviews and photography, the research offers accounts of cuidadores and highlights their role in protecting and reinventing migrants’ domestic spaces. Preliminary findings show the important role that local actors play in supporting migration and the use of vacant spaces to satisfy local needs. These findings also suggest potential spatial and urban transformations taking place through practices of care and cooperation in a context of emigration and collapse.","Caracas; caretaking; emigration and immigration; Crisis; departure city","en","journal article","","","","","","","","","","","Theory, Territories & Transitions","","",""
"uuid:dfd71d78-344e-4833-bb7a-78581443965a","http://resolver.tudelft.nl/uuid:dfd71d78-344e-4833-bb7a-78581443965a","An exact optimization method for coordinating the arrival times of urban rail lines at a common corridor","Gkiotsalitis, K. (University of Twente); Cats, O. (TU Delft Transport and Planning); Liu, T. (Southwest Jiaotong University); Bult, J. M. (University of Twente)","","2023","The trips of a high-frequency urban rail line are typically planned with the aim of achieving even time headways. This results in reliable services for each urban rail line, where successive trips have the same time headway. Maintaining even time headways for each service line has significant advantages for the passengers of the line, but it might result in safety issues, vehicle bunching, and increased transfer times at a common corridor served by multiple urban rail lines. This study investigates the problem of urban rail corridor coordination and develops an exact optimization method for coordinating the vehicle trips of different lines that serve stations along a joint corridor. The proposed formulation is a non-convex mathematical program which is reformulated as a mixed-integer quadratic program with a convex objective function. A branch-and-bound algorithm coupled with the Active-set method is proposed for solving the model to global optimality. Results from a toy network and a case study of the light rail service in The Hague, The Netherlands, demonstrate the improvement potential of time headways at a common corridor, while accounting for the effect on the variation of time headways at isolated segments of the individual service lines.","Convex optimization; Coordination; Scheduling; Train corridor","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:47881eeb-910d-4939-972b-a8ef203db225","http://resolver.tudelft.nl/uuid:47881eeb-910d-4939-972b-a8ef203db225","Effect of Al2O3 content in slag on the relationship between slag reactivity and carbonation resistance","Zhang, Y. (TU Delft Materials and Environment); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2023","To understand the influence of slag chemistry on the carbonation resistance of slag-rich cement, this paper explored the carbonation characteristics of blended cement systems with different Al2O3 contents in slag through accelerated carbonation test. Irrespective of slag chemistry, three main CO2 binding phases were identified during accelerated carbonation test, i.e. carbonated Ca-Al AFm phases (amorphous or nano-crystalline), carbonated hydrotalcite-like phase, and calcium carbonate (amorphous calcium carbonate, vaterite, and calcite). Additionally, it was noted that the classification employed for slag reactivity (based on slag chemistry) cannot be extended to predict carbonation resistance of slag-rich cement directly. The main challenge occurred for slag with high alumina content. The experimental results showed that Al2O3-rich slag exhibited a high reactivity and can be considered as a reactive component in the blended mixture; however, it did not contribute to carbonation resistance of the mixture. Especially for CO2 binding capacity, it was similar for systems with varied alumina content in slag (from 3.69 to 18.19 wt.%) in the completely carbonated area.","AlO; carbonation resistance; slag reactivity; slag-rich cement paste; thermodynamic modeling","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:e090a269-596e-433d-ad9f-8d3d3bcb1225","http://resolver.tudelft.nl/uuid:e090a269-596e-433d-ad9f-8d3d3bcb1225","Modelling fracture due to corrosion and mechanical loading in reinforced concrete","Alfaiate, J. (Lisbon Technical University); Sluys, Lambertus J. (TU Delft Materials- Mechanics- Management & Design); Costa, A. (Lisbon Technical University)","","2023","Corrosion in reinforced concrete is an important feature which can lead to increased deformation and cracking, as well as to premature failure. In the present work, macro-mechanical modelling of corrosion is performed, namely the degradation of bond–slip between concrete and steel. A mixed-mode damage model is adopted, in which the interaction between the bond–slip law and the stress acting in the neighbourhood of the concrete–steel bar interface is taken into account. Bond–slip degradation is modelled using an evolutionary bond–slip relationship, which depends on the level of corrosion. Different relevant loading cases are studied. Special attention is given to the evolution of corrosion in time, under constant load. This is done by adopting a Total Iterative Approach, in which the structure is reevaluated each time step, upon damage increase due to corrosion. Pullout tests are presented to illustrate the performance of the model. Bending tests are also performed to evaluate the influence of corrosion at structural level.","Corrosion; Reinforced concrete; Total Iterative Approach","en","journal article","","","","","","","","","","Materials- Mechanics- Management & Design","","","",""
"uuid:66b1c558-f60a-4bed-9b15-6a0a28ef2c6c","http://resolver.tudelft.nl/uuid:66b1c558-f60a-4bed-9b15-6a0a28ef2c6c","Unveiling spatial variability within the Dotson Melt Channel through high-resolution basal melt rates from the Reference Elevation Model of Antarctica","Zinck, A.P. (TU Delft Physical and Space Geodesy; Universiteit Utrecht); Wouters, B. (TU Delft Physical and Space Geodesy; Universiteit Utrecht); Lambert, Erwin (Royal Netherlands Meteorological Institute (KNMI)); Lhermitte, S.L.M. (TU Delft Mathematical Geodesy and Positioning; Katholieke Universiteit Leuven)","","2023","The intrusion of Circumpolar Deep Water in the Amundsen and Bellingshausen Sea embayments of Antarctica causes ice shelves in the region to melt from below, potentially putting their stability at risk. Earlier studies have shown how digital elevation models can be used to obtain ice shelf basal melt rates at a high spatial resolution. However, there has been limited availability of high-resolution elevation data, a gap the Reference Elevation Model of Antarctica (REMA) has filled. In this study we use a novel combination of REMA and CryoSat-2 elevation data to obtain high-resolution basal melt rates of the Dotson Ice Shelf in a Lagrangian framework, at a 50 m spatial posting on a 3-yearly temporal resolution. We present a novel method: Basal melt rates Using REMA and Google Earth Engine (BURGEE). The high resolution of BURGEE is supported through a sensitivity study of the Lagrangian displacement. The high-resolution basal melt rates show a good agreement with an earlier basal melt product based on CryoSat-2. Both products show a wide melt channel extending from the grounding line to the ice front, but our high-resolution product indicates that the pathway and spatial variability of this channel is influenced by a pinning point on the ice shelf. This result emphasizes the importance of high-resolution basal melt rates to expand our understanding of channel formation and melt patterns. BURGEE can be expanded to a pan-Antarctic study of high-resolution basal melt rates. This will provide a better picture of the (in)stability of Antarctic ice shelves.","","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:874e2d2b-7508-4bcf-a9a6-1e5469a07af2","http://resolver.tudelft.nl/uuid:874e2d2b-7508-4bcf-a9a6-1e5469a07af2","Design of a syringe extension device (Chloe SED®) for low-resource settings in sub-Saharan Africa: a circular economy approach","Samenjo, K.T. (TU Delft Design for Sustainability; Nyanza Reproductive Health Society); Ramanathan, Aparna (Nyanza Reproductive Health Society; Georgetown University); Gwer, Stephen Otieno (Nyanza Reproductive Health Society; Maseno University); Bailey, Robert C. (Nyanza Reproductive Health Society; University of Illinois at Chicago); Otieno, Fredrick Odhiambo (Nyanza Reproductive Health Society); Koksal, Erin (Rethink Robotics); Sprecher, B. (TU Delft Design for Sustainability); Price, R.A. (TU Delft Marketing and Consumer Research); Bakker, C.A. (TU Delft Circular Product Design); Diehl, J.C. (TU Delft Design for Sustainability)","","2023","Underfunded healthcare infrastructures in low-resource settings in sub-Saharan Africa have resulted in a lack of medical devices crucial to provide healthcare for all. A representative example of this scenario is medical devices to administer paracervical blocks during gynaecological procedures. Devices needed for this procedure are usually unavailable or expensive. Without these devices, providing paracervical blocks for women in need is impossible resulting in compromising the quality of care for women requiring gynaecological procedures such as loop electrosurgical excision, treatment of miscarriage, or incomplete abortion. In that perspective, interventions that can be integrated into the healthcare system in low-resource settings to provide women needing paracervical blocks remain urgent. Based on a context-specific approach while leveraging circular economy design principles, this research catalogues the development of a new medical device called Chloe SED® that can be used to support the provision of paracervical blocks. Chloe SED®, priced at US$ 1.5 per device when produced in polypropylene, US$ 10 in polyetheretherketone, and US$ 15 in aluminium, is attached to any 10-cc syringe in low-resource settings to provide paracervical blocks. The device is designed for durability, repairability, maintainability, upgradeability, and recyclability to address environmental sustainability issues in the healthcare domain. Achieving the design of Chloe SED® from a context-specific and circular economy approach revealed correlations between the material choice to manufacture the device, the device's initial cost, product durability and reuse cycle, reprocessing method and cost, and environmental impact. These correlations can be seen as interconnected conflicting or divergent trade-offs that need to be continually assessed to deliver a medical device that provides healthcare for all with limited environmental impact. The study findings are intended to be seen as efforts to make available medical devices to support women's access to reproductive health services.","medical device design; context-driven design; circular economy; health and environment; low-resource settings; sub-Saharan Africa","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:20ae98c5-6eed-4cfa-8ea9-f3e91b774d9b","http://resolver.tudelft.nl/uuid:20ae98c5-6eed-4cfa-8ea9-f3e91b774d9b","Interface bonding properties of polyvinyl alcohol (PVA) fiber in alkali-activated slag/fly ash","Zhang, Shizhe (TU Delft Materials and Environment; Renewi Mineralz & Water); He, S. (TU Delft Materials and Environment); Ghiassi, Bahman (University of Birmingham); van Breugel, K. (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment)","","2023","This paper presents an experimental study on the interface bonding properties of polyvinyl alcohol (PVA) fiber in alkali-activated slag/fly ash (AASF) pastes. Three interface bonding properties (i.e., the chemical bonding energy Gd, the initial frictional bond strength τ0, and slip-hardening behavior) were determined using single-fiber pullout tests. The microstructure and chemical composition of the reaction products in the fiber/matrix interfacial transition zone (ITZ) and the nearby matrix were also characterized to reveal the influence of PVA fiber to its surrounding matrix. It is found that Gd increases primarily with increasing Ca/(Si+Al) ratio of C-(N-)A-S-H gel. Unlike that in cementitious materials, the inclusion of PVA fiber in AASF pastes promotes the formation of a high-Ca C-(N-)A-S-H phase rather than crystalline portlandite near the fiber surface. This study provides useful guidance for tailoring the interface bonding properties of AASF and also the development of high-performance composites such as strain-hardening geopolymer composites.","Bonding; Interface; Fiber pullout; PVA; Alkali-activation; Slag; Fly Ash","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:8883cdfb-b77a-415e-a08a-9969d25877cf","http://resolver.tudelft.nl/uuid:8883cdfb-b77a-415e-a08a-9969d25877cf","Perceived health and productivity when working from home during the COVID-19 pandemic","Appel-Meulenbroek, Rianne (Eindhoven University of Technology); Voulon, Thijs (Eindhoven University of Technology); Bergefurt, Lisanne (Eindhoven University of Technology); Arkesteijn, M.H. (TU Delft Real Estate Management); Hoekstra, B.S. (Center for People and Buildings); der Schaaf, Pity Jongens Van (Aestate Ontrafelexperts)","","2023","BACKGROUND: During the COVID-19 pandemic, office workers were obliged to work from home (WFH). Alongside known positive aspects of home-based telework, it is associated with reduced health and productivity impacts. Its success depends on employee and environmental characteristics. OBJECTIVE: This paper fills the gap in knowledge on the mediating role of health between personal and environmental factors and employee productivity, when obliged to work from home full-time. It covers health in full (physical, mental, and social) unlike other WFH studies. METHODS: Two large survey-based datasets (gathered April 27th - November 20th, 2020) were analysed resp. with a path model and descriptive analyses. The data provide experiences on health and productivity of resp. 25,058 and 18,859 Dutch office workers from different public organisations, who were obliged to work from home during the COVID-19 lockdowns. RESULTS: In general, the workers in the sample perceived their health to be quite good. Path analysis revealed that gender, age, education, the at-home workspace, the presence of children in the household, and perceived organisational support were significantly related to self-perceived productivity. However, most of these effects were found to be mediated by physical, mental, and/or social health indicators. Possible explanations for health issues from the descriptive analyses were sedentary behaviour, unsuitable furniture, having to be at home, social isolation and changed content and frequency of contact with colleagues. CONCLUSION: Findings imply that specifically engagement and organisational support of teleworkers are most relevant to steer on to ensure productivity while WFH.","employee; statistical model; Teleworking; workplace","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-19","","","Real Estate Management","","",""
"uuid:52f4630a-8df4-4f34-b3b7-f678e6de8010","http://resolver.tudelft.nl/uuid:52f4630a-8df4-4f34-b3b7-f678e6de8010","Towards a sustainable approach to reconstruction of residential heritage: Insights from international case studies","Kousa, C. (TU Delft Heritage & Architecture; Technische Hochschule Ostwestfalen-Lippe–University of Applied Sciences and Arts); Lubelli, B. (Technische Hochschule Ostwestfalen-Lippe–University of Applied Sciences and Arts); Pottgiesser, U. (TU Delft Heritage & Architecture; Technische Hochschule Ostwestfalen-Lippe–University of Applied Sciences and Arts)","","2023","Reconstruction and recovery of historic cities after destruction due to conflict or natural disasters have gained increasing relevance in the last decades. The investigation of international examples of recovery after war or natural catastrophic events can provide knowledge for improving guidance and strategies for sustainable reconstruction/ intervention in similar cases in the future. This paper aims to identify and analyze the legal, administrative, social, and economic factors that can favor the reconstruction and recovery processes of the historic city and its residential neighborhoods. An extensive review of international examples and literature on guidance has been conducted to provide insight into best practices and potential solutions. As a result, a set of lessons learned that can be used to address post-conflict and post-disaster recovery and reconstruction in similar situations is given.","Residential heritage; Post-war reconstruction; Post-disaster reconstruction; International guidance; Sustainability","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:96931335-5601-43b7-9f40-1b70e0fbd626","http://resolver.tudelft.nl/uuid:96931335-5601-43b7-9f40-1b70e0fbd626","Comparative transcriptomics reveals human-specific cortical features","Jorstad, Nikolas L. (Allen Institute for Brain Science); Song, Janet H.T. (Boston Children’s Hospital; Harvard Medical School); Exposito-Alonso, David (Boston Children’s Hospital; Harvard Medical School); Suresh, Hamsini (Cold Spring Harbor Laboratory); Castro-Pacheco, Nathan (Cold Spring Harbor Laboratory); Basu, S. (TU Delft Computer Graphics and Visualisation; Leiden University Medical Center); Kroes, T. (Leiden University Medical Center); Höllt, T. (TU Delft Computer Graphics and Visualisation); Lelieveldt, B.P.F. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center)","","2023","The cognitive abilities of humans are distinctive among primates, but their molecular and cellular substrates are poorly understood. We used comparative single-nucleus transcriptomics to analyze samples of the middle temporal gyrus (MTG) from adult humans, chimpanzees, gorillas, rhesus macaques, and common marmosets to understand human-specific features of the neocortex. Human, chimpanzee, and gorilla MTG showed highly similar cell-type composition and laminar organization as well as a large shift in proportions of deep-layer intratelencephalic-projecting neurons compared with macaque and marmoset MTG. Microglia, astrocytes, and oligodendrocytes had more-divergent expression across species compared with neurons or oligodendrocyte precursor cells, and neuronal expression diverged more rapidly on the human lineage. Only a few hundred genes showed human-specific patterning, suggesting that relatively few cellular and molecular changes distinctively define adult human cortical structure.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-13","","","Computer Graphics and Visualisation","","",""
"uuid:05d5de2d-98dc-4355-bcdb-cf949f3d360d","http://resolver.tudelft.nl/uuid:05d5de2d-98dc-4355-bcdb-cf949f3d360d","Climate activists — rethink fossil-fuel subsidy cuts","van den Bergh, Jeroen (Universitat Autònoma de Barcelona); van Beers, Cees (TU Delft Economics of Technology and Innovation); King, Lewis C. (Universitat Autònoma de Barcelona)","","2023","","Climate change; Economics; Society","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-11-16","","","Economics of Technology and Innovation","","",""
"uuid:42273e9e-5b7b-427d-9329-1aa265ca57e7","http://resolver.tudelft.nl/uuid:42273e9e-5b7b-427d-9329-1aa265ca57e7","Extended observer form with vector fields","Mullari, Tanel (Tallinn University of Technology); Kotta, Ülle (Tallinn University of Technology); Kaldmäe, Arvo (Tallinn University of Technology); Kaparin, Vadim (Tallinn University of Technology); Simha, A. (TU Delft Networked Systems)","","2023","This paper addresses the problem of transforming a single-input single-output discrete-time system into the extended observer form which comprises a linear observable component and a nonlinear injection term depending on the input, output and their forward shifts up to a finite order. The necessary and sufficient conditions for the existence of the extended observer form are provided in terms of vector fields. The algorithm is presented to find a parametrised state transformation necessary to transform the system into the extended observer form. The obtained results are applicable also in case of non-reversible systems.","algebraic approach; Discrete-time system; extended observer form; nonlinear control system; parametrised state transformation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-20","","","Networked Systems","","",""
"uuid:3a167b53-1221-41d3-b596-e402bbf75b8c","http://resolver.tudelft.nl/uuid:3a167b53-1221-41d3-b596-e402bbf75b8c","On the characteristics of the wake of a wind turbine undergoing large motions caused by a floating structure: an insight based on experiments and multi-fidelity simulations from the OC6 project Phase III","Cioni, Stefano (University of Florence); Papi, Francesco (University of Florence); Pagamonci, Leonardo (University of Florence); Bianchini, Alessandro (University of Florence); Ramos-García, Néstor (Technical University of Denmark); Pirrung, Georg (Technical University of Denmark); Corniglion, Rémi (EDF R&D-National Laboratory for Hydraulics and Environment (LNHE) and Saint-Venant Laboratory for Hydraulics); Elisbao Martins Amaral, R.P. (TU Delft Wind Energy; Siemens Gamesa Renewable Energy (SGRE)); Viré, A.C. (TU Delft Wind Energy)","","2023","This study reports the results of the second round of analyses of the Offshore Code Comparison, Collaboration, Continued, with Correlation and unCertainty (OC6) project Phase III. While the first round investigated rotor aerodynamic loading, here, focus is given to the wake behavior of a floating wind turbine under large motion. Wind tunnel experimental data from the UNsteady Aerodynamics for FLOating Wind (UNAFLOW) project are compared with the results of simulations provided by participants with methods and codes of different levels of fidelity. The effect of platform motion on both the near and the far wake is investigated. More specifically, the behavior of tip vortices in the near wake is evaluated through multiple metrics, such as streamwise position, core radius, convection velocity, and circulation. Additionally, the onset of velocity oscillations in the far wake is analyzed because this can have a negative effect on stability and loading of downstream rotors. Results in the near wake for unsteady cases confirm that simulations and experiments tend to diverge from the expected linearized quasi-steady behavior when the rotor reduced frequency increases over 0.5. Additionally, differences across the simulations become significant, suggesting that further efforts are required to tune the currently available methodologies in order to correctly evaluate the aerodynamic response of a floating wind turbine in unsteady conditions. Regarding the far wake, it is seen that, in some conditions, numerical methods overpredict the impact of platform motion on the velocity fluctuations. Moreover, results suggest that the effect of platform motion on the far wake, differently from original expectations about a faster wake recovery in a floating wind turbine, seems to be limited or even oriented to the generation of a wake less prone to dissipation.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:f71fe302-04d1-4532-b84f-b5a56f5ac3f5","http://resolver.tudelft.nl/uuid:f71fe302-04d1-4532-b84f-b5a56f5ac3f5","Uncertainty in boundedly rational household adaptation to environmental shocks","Taberna, A. (TU Delft Multi Actor Systems; TU Delft Policy Analysis); Filatova, T. (TU Delft Multi Actor Systems; TU Delft Policy Analysis); Hadjimichael, Antonia (The Pennsylvania State University); Noll, B.L. (TU Delft Multi Actor Systems; TU Delft Policy Analysis)","","2023","Despite the growing calls to integrate realistic human behavior in sustainability science models, the representative rational agent prevails. This is especially problematic for climate change adaptation that relies on actions at various scales: from governments to individuals. Empirical evidence on individual adaptation to climate-induced hazards reveals diverse behavioral and social factors affecting economic considerations. Yet, implications of replacing the rational optimizer by realistic human behavior in nature-society systems models are poorly understood. Using an innovative evolutionary economic agent-based model we explore different framings regarding household adaptation behavior to floods, leveraging on behavioral data from a household survey in Miami, USA. We find that a representative rational agent significantly overestimates household adaptation diffusion and underestimates damages compared to boundedly rational behavior revealed from our survey. This ""adaptation deficit"" exhibited by a population of empirically informed agents is explained primarily by diverse ""soft"" adaptation constraints-awareness, social influences-rather than heterogeneity in financial constraints. Besides initial inequality disproportionally impacting low/medium adaptive capacity households post-flood, our findings suggest that even under a nearly complete adaptation diffusion, adaptation benefits are uneven, with late or less-efficient actions locking households to a path of higher damages, further exacerbating inequalities. Our exploratory modeling reveals that behavioral assumptions shape the uncertainty of physical factors, like exposure and objective effectiveness of flood-proofing measures, traditionally considered crucial in risk assessments. This unique combination of methods facilitates the assessment of cumulative and distributional effects of boundedly rational behavior essential for designing tailored climate adaptation policies, and for equitable sustainability transitions in general.","agent-based model; climate change adaptation; distributional impacts; exploratory modeling; survey","en","journal article","","","","","","","","","","Multi Actor Systems","Policy Analysis","","",""
"uuid:040ad651-c6a7-4de2-bd40-0dca0133e3be","http://resolver.tudelft.nl/uuid:040ad651-c6a7-4de2-bd40-0dca0133e3be","Simplified Micro-Modeling of a Masonry Cross-Vault for Seismic Assessment Using the Distinct Element Method","Oktiovan, Y.P. (TU Delft Applied Mechanics); Davis, L. (McGill University); Wilson, R. (Carleton University); Dell'Endice, A. (ETH Zürich); Mehrotra, A.A. (TU Delft Applied Mechanics); Pulatsu, B. (Carleton University); Malomo, D. (McGill University)","","2023","The assessment of the seismic performance of unreinforced masonry cross-vaults is still a challenge in numerical analysis, due to complex curved geometries and bond patterns, and uncertainties related to the selection of adequate modeling strategies, including but not limited to that of material properties, damping scheme, and unit/joint idealization. This paper presents the results of a collaborative effort to validate, against the shake table test of both unstrengthened and strengthened masonry cross-vault specimens as part of the SERA Project Blind Prediction and Post-diction Competition, various discontinuum-based numerical approaches. First, the geometry of the cross-vault is created using a Python-based computational framework to accurately represent the brick arrangement and the shape of the vault. Then, the geometry is converted into an assemblage of deformable blocks and analyzed using the Distinct Element Method (DEM). An elasto-softening contact model based on fracture energy is implemented in the masonry joints to simulate crushing, tensile, and shear failures. The performance of the proposed strategy, conceived for the unstrengthened configuration of the tested vault specimen and then adapted to include the presence of cementitious repairs, shows satisfactory agreement with both qualitative and quantitative experimental responses, also revealing critical insights and lessons learned through the blind/post-prediction exercise.","Blind prediction; Cross-vault; Distinct element method; Post-diction; Softening contact models; Unreinforced masonry","en","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:84968423-ba1b-45b4-ad83-274585bc30c0","http://resolver.tudelft.nl/uuid:84968423-ba1b-45b4-ad83-274585bc30c0","IMM estimator in multisensor multitarget tracking for air traffic control and autonomous driving","Blom, H.A.P. (TU Delft Air Transport & Operations); Yang, Kaipei (University of Connecticut); Bar-Shalom, Y (University of Connecticut)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-01","","","Air Transport & Operations","","",""
"uuid:cebe0aa1-b8d1-4b70-a094-3d1b9b9b1532","http://resolver.tudelft.nl/uuid:cebe0aa1-b8d1-4b70-a094-3d1b9b9b1532","Metro systems: Construction, operation and impacts","Lin, Dong (University of Aberdeen); Zhou, Zhipeng (Nanjing University of Aeronautics and Astronautics); Weng, Miaocheng (Chongqing University); Broere, W. (TU Delft Geo-engineering); Cui, Jianqiang (Griffith University)","","2023","Metro systems have been in use for over 150 years, and new metro lines are still being constructed, either as new metro systems or as expansions of existing metro networks. In many cities the metro system is an essential form of transport to keep the cities functioning. This overview compares the findings of various international studies on metro construction and operation, and the impact that metro systems have on cities. The uncertainties inherent in underground construction, with sometimes uncertain hydro-geological conditions and impacts from nearby existing construction projects, are often apparent during metro construction, and have been widely studied. Similarly, passenger comfort and safety during operation is a topic that has received widespread attention, with the main focus on fire safety, as fire poses the most dangerous risk during operation. More recently, passenger comfort related to indoor air quality and aerodynamic effects has received increased attention. The vulnerability of the running stock and the metro network is a significant factor when determining the safety and efficiency of the metro system. Metro efficiency and reliability have a major impact on the transport, economic, environmental and social aspects of cities. Even though they are designed as separated own-right-of-way transport systems, metro systems strongly influence urban development and drive spatial changes in land use. The combination of metro systems with other urban functions provides great potential for the development of urban underground space and the development of more resilient and efficient urban areas. This in turn has an impact on housing prices and produces wider economic benefits beyond the city. Metro systems have also been shown to affect travel behaviour and have a positive impact on public health and environmental quality, by reducing pollution and emissions, despite the large concentration of passengers present in the metro, which brings its own problems. After an overview of the leading and more recent research topics in these areas, the key research gaps are discussed and recommendations for future research are made.","","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:3363e4d0-1551-4909-880f-81dbdd478f42","http://resolver.tudelft.nl/uuid:3363e4d0-1551-4909-880f-81dbdd478f42","SpaceWalker enables interactive gradient exploration for spatial transcriptomics data","Li, Chang (Leiden University Medical Center); Thijssen, Julian (Leiden University Medical Center); Kroes, Thomas (Leiden University Medical Center); de Boer, Mitchell (Leiden University Medical Center); Abdelaal, T.R.M. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Höllt, T. (TU Delft Computer Graphics and Visualisation); Lelieveldt, B.P.F. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center)","","2023","In spatial transcriptomics (ST) data, biologically relevant features such as tissue compartments or cell-state transitions are reflected by gene expression gradients. Here, we present SpaceWalker, a visual analytics tool for exploring the local gradient structure of 2D and 3D ST data. The user can be guided by the local intrinsic dimensionality of the high-dimensional data to define seed locations, from which a flood-fill algorithm identifies transcriptomically similar cells on the fly, based on the high-dimensional data topology. In several use cases, we demonstrate that the spatial projection of these flooded cells highlights tissue architectural features and that interactive retrieval of gene expression gradients in the spatial and transcriptomic domains confirms known biology. We also show that SpaceWalker generalizes to several different ST protocols and scales well to large, multi-slice, 3D whole-brain ST data while maintaining real-time interaction performance.","data visualization; visual analytics; spatial transcriptomics; gene expression gradients","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:ad121826-e112-4f32-9316-b5cab4b90fc2","http://resolver.tudelft.nl/uuid:ad121826-e112-4f32-9316-b5cab4b90fc2","Innovative Solid-State Ferroresonance-Suppressing Circuit for Voltage Transformer Protection in Wind Generation Systems","Bakhshi, Ali (Islamic Azad University); Bigdeli, Mehdi (Islamic Azad University); Behdani, B. (TU Delft Intelligent Electrical Power Grids); Hojabri, Mojgan (Lucerne University of Applied Sciences and Arts)","","2023","Ferroresonance, as an undesirable disturbance, leads to significant overvoltage and distorted waveforms. This phenomenon can be highly damaging to voltage transformers and other parallel-connected equipment and can entail catastrophic consequences. This paper aims to design and study a solid-state ferroresonance-suppressing circuit (SSFSC) to protect voltage transformers (VTs) together with other parallel-connected equipment in wind generation systems from the adverse effects of the ferroresonance phenomenon. The proposed structure consists of low-voltage circuits, including power IGBTs. The excellent performance of the proposed SSFSC in suppressing ferroresonance overvoltage in wind generation VTs has been authenticated by analyses conducted utilizing a wind generation system model. In order to validate the performance of the proposed SSFSC, detailed analytical studies and time-domain simulations have been carried out employing a MATLAB/Simulink environment. The results verify that the proposed SSFSC can effectively suppress ferroresonance phenomena in VTs and mitigate their accompanying overvoltages with a high operational speed.","ferroresonance; overvoltage; protection; solid-state circuit; voltage transformer (VT)\; wind generation systems","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:12774924-6503-4202-b3e8-a1410afa909f","http://resolver.tudelft.nl/uuid:12774924-6503-4202-b3e8-a1410afa909f","Neck stabilization through sensory integration of vestibular and visual motion cues","Happee, R. (TU Delft Intelligent Vehicles); Kotian, V. (TU Delft Intelligent Vehicles); de Winkel, K.N. (TU Delft Intelligent Vehicles)","","2023","Background: To counteract gravity, trunk motion, and other perturbations, the human head–neck system requires continuous muscular stabilization. In this study, we combine a musculoskeletal neck model with models of sensory integration (SI) to unravel the role of vestibular, visual, and muscle sensory cues in head–neck stabilization and relate SI conflicts and postural instability to motion sickness.
Method: A 3D multisegment neck model with 258 Hill-type muscle elements was extended with postural stabilization using SI of vestibular (semicircular and otolith) and visual (rotation rate, verticality, and yaw) cues using the multisensory observer model (MSOM) and the subjective vertical conflict model (SVC). Dynamic head–neck stabilization was studied using empirical datasets, including 6D trunk perturbations and a 4 m/s2 slalom drive inducing motion sickness.
Results: Recorded head translation and rotation are well matched when using all feedback loops with MSOM or SVC or assuming perfect perception. A basic version of the model, including muscle, but omitting vestibular and visual perception, shows that muscular feedback can stabilize the neck in all conditions. However, this model predicts excessive head rotations in conditions with trunk rotation and in the slalom. Adding feedback of head rotational velocity sensed by the semicircular canals effectively reduces head rotations at mid-frequencies. Realistic head rotations at low frequencies are obtained by adding vestibular and visual feedback of head rotation based on the MSOM or SVC model or assuming perfect perception. The MSOM with full vision well captures all conditions, whereas the MSOM excluding vision well captures all conditions without vision. The SVC provides two estimates of verticality, with a vestibular estimate SVCvest, which is highly effective in controlling head verticality, and an integrated vestibular/visual estimate SVCint which can complement SVCvest in conditions with vision. As expected, in the sickening drive, SI models imprecisely estimate verticality, resulting in sensory conflict and postural instability.
Conclusion: The results support the validity of SI models in postural stabilization, where both MSOM and SVC provide credible results. The results in the sickening drive show imprecise sensory integration to enlarge head motion. This uniquely links the sensory conflict theory and the postural instability theory in motion sickness causation.","neck; stabilization; sensory integration; sensory conflict; motion sickness","en","journal article","","","","","","","","","","","Intelligent Vehicles","","",""
"uuid:2a0898a0-b072-4adf-9b4e-2e8189a4595e","http://resolver.tudelft.nl/uuid:2a0898a0-b072-4adf-9b4e-2e8189a4595e","Ecoproductoras invisibles. Espacios de la agricultura familiar gallega para el autoconsumo de proximidad","Iglesias, Cristina Botana (Universidade de Santiago de Compostela); Novas, María (TU Delft Teachers of Practice / A; TU Delft History, Form & Aesthetics; University of Seville)","","2023","In the first quarter of the 21st century, we are facing the profound impact of overexploitation of the Earth and the consolidation of a globalized capitalism with deep colonial and patriarchal roots. Two major challenges converge at this crucial point: the climate crisis and growing social inequality. In urban and territorial studies, we tend to produce city-centered analyses that ignore the ecological footprint on the spaces —and bodies— that sustain them, often referred to as emptied territories. However, in Galicia, territorial practices persist which, despite having been historically devalued, have survived to the present day. These include family farming for local consumption. The spaces of Galician family farming allow us to imagine alternative ways of inhabiting the territory that go beyond the rural-urban dichotomy. Many older Galician women continue to support this system of production and reproduction of the land, creating essential networks of cooperation and interdependence. This research article documents the workspaces of some of these agroecological producers of farming descent who have played, and continue to play, a decisive role in the food sovereignty of numerous Galician families, respecting the environment, and caring for biodiversity.","ecofeminisms; family farming; Galicia; self-consumption; subsistence territories","es","journal article","","","","","","","","","","","Teachers of Practice / A","","",""
"uuid:218dcad4-5334-4bf5-9f0e-2c59cf94d304","http://resolver.tudelft.nl/uuid:218dcad4-5334-4bf5-9f0e-2c59cf94d304","Effect of the Graphene Quantum Dot Content on the Thermal, Dynamic-Mechanical, and Morphological Properties of Epoxy Resin","Schneider, Bárbara (Mantova Indústria de Tubos Plásticos; Science and Technology of Rio Grande do Sul); Ornaghi Jr., Heitor Luiz (Science and Technology of Rio Grande do Sul); Monticeli, F.M. (TU Delft Structural Integrity & Composites); Romanzini, Daiane (Science and Technology of Rio Grande do Sul)","","2023","Different amounts of graphene quantum dots (CQDs) (0, 1, 2.5, and 5 wt%) were incorporated into an epoxy matrix. The thermal conductivity, density, morphology, and dynamic mechanical thermal (DMTA) properties were reused from the study of Seibert et al.. The Pearson plot showed a high correlation between mass loading, thermal conductivity, and thermal diffusivity. A poorer correlation with density and heat capacity was observed. At lower CQD concentrations (0.1 wt%), the fracture surface showed to be more heterogeneous, while at higher amounts (2.5 and 5 wt%), a more homogeneous surface was observed. The storage modulus values did not change with the CQD amount. But the extension of the glassy plateau increased with higher CQD contents, with an increase of ~40 °C for the 5 wt% compared to the 2.5 wt% and almost twice compared to the neat epoxy. This result is attributed to the intrinsic characteristics of the filler. Additionally, lower energy dissipation and a higher glass transition temperature were observed with the CQD amount. The novelty and importance are related to the fact that for more rigid matrices (corroborated with the literature), the mechanical properties did not change, because the polymer bridging mechanism was not present, in spite of the excellent CQD dispersion as well as the filler amount. On the other hand, thermal conductivity is directly related to particle size and dispersion.","carbon quantum dots; epoxy nanocomposite; thermal conductivity; structure and property relationship","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:a9e8251a-65d8-47ca-a441-feb690175770","http://resolver.tudelft.nl/uuid:a9e8251a-65d8-47ca-a441-feb690175770","Automated cell counting for Trypan blue-stained cell cultures using machine learning","Kuijpers, L.C. (TU Delft BN/Nynke Dekker Lab; Intravacc B.V.); van Veen, E.N.W. (TU Delft BN/Nynke Dekker Lab); Van der Pol, Leo (Intravacc B.V.); Dekker, N.H. (TU Delft BN/Nynke Dekker Lab)","","2023","Cell counting is a vital practice in the maintenance and manipulation of cell cultures. It is a crucial aspect of assessing cell viability and determining proliferation rates, which are integral to maintaining the health and functionality of a culture. Additionally, it is critical for establishing the time of infection in bioreactors and monitoring cell culture response to targeted infection over time. However, when cell counting is performed manually, the time involved can become substantial, particularly when multiple cultures need to be handled in parallel. Automated cell counters, which enable significant time reduction, are commercially available but remain relatively expensive. Here, we present a machine learning (ML) model based on YOLOv4 that is able to perform cell counts with a high accuracy (>95%) for Trypan blue-stained insect cells. Images of two distinctly different cell lines, Trichoplusia ni (High FiveTM; Hi5 cells) and Spodoptera frugiperda (Sf9), were used for training, validation, and testing of the model. The ML model yielded F1 scores of 0.97 and 0.96 for alive and dead cells, respectively, which represents a substantially improved performance over that of other cell counters. Furthermore, the ML model is versatile, as an F1 score of 0.96 was also obtained on images of Trypan blue-stained human embryonic kidney (HEK) cells that the model had not been trained on. Our implementation of the ML model comes with a straightforward user interface and can image in batches, which makes it highly suitable for the evaluation of multiple parallel cultures (e.g. in Design of Experiments). Overall, this approach for accurate classification of cells provides a fast, bias-free alternative to manual counting.","","en","journal article","","","","","","","","","","","BN/Nynke Dekker Lab","","",""
"uuid:b7f02a0a-b8d5-4284-aa09-b91792586154","http://resolver.tudelft.nl/uuid:b7f02a0a-b8d5-4284-aa09-b91792586154","Interannual land cover and vegetation variability based on remote sensing data in the HTESSEL land surface model: implementation and effects on simulated water dynamics","van Oorschot, F. (TU Delft Water Resources; Institute of Atmospheric Sciences and Climate (CNR-ISAC)); van der Ent, R.J. (TU Delft Water Resources); Hrachowitz, M. (TU Delft Water Resources); Di Carlo, Emanuele (National Research Council of Italy); Catalano, Franco (ENEA - Italian National Agency for New Technologies, Energy and Sustainable Economic Development); Boussetta, Souhail (ECMWF); Balsamo, Gianpaolo (ECMWF); Alessandri, Andrea (Institute of Atmospheric Sciences and Climate (CNR-ISAC))","","2023","Vegetation largely controls land surface–atmosphere interactions. Although vegetation is highly dynamic across spatial and temporal scales, most land surface models currently used for reanalyses and near-term climate predictions do not adequately represent these dynamics. This causes deficiencies in the variability of modeled water and energy states and fluxes from the land surface. In this study we evaluated the effects of integrating spatially and temporally varying land cover and vegetation characteristics derived from satellite observations on modeled evaporation and soil moisture in the Hydrology Tiled ECMWF Scheme for Surface Exchanges over Land (HTESSEL) land surface model. Specifically, we integrated interannually varying land cover from the European Space Agency Climate Change Initiative and interannually varying leaf area index (LAI) from the Copernicus Global Land Services (CGLS). Additionally, satellite data on the fraction of green vegetation cover (FCover) from CGLS were used to formulate and integrate a spatially and temporally varying effective vegetation cover parameterization. The effects of these three implementations on model evaporation fluxes and soil moisture were analyzed using historical offline (land-only) model experiments at the global scale, and model performances were quantified with global observational products of evaporation (E) and near-surface soil moisture (SMs). The interannually varying land cover consistently altered the evaporation and soil moisture in regions with major land cover changes. The interannually varying LAI considerably improved the correlation of SMs and E with respect to the reference data, with the largest improvements in semiarid regions with predominantly low vegetation during the dry season. These improvements are related to the activation of soil moisture–evaporation feedbacks during vegetation-water-stressed periods with interannually varying LAI in combination with interannually varying effective vegetation cover, defined as an exponential function of LAI. The further improved effective vegetation cover parameterization consistently reduced the errors of model effective vegetation cover, and it regionally improved SMs and E. Overall, our study demonstrated that the enhanced vegetation variability consistently improved the near-surface soil moisture and evaporation variability, but the availability of reliable global observational data remains a limitation for complete understanding of the model response. To further explain the improvements found, we developed an interpretation framework for how the model development activates feedbacks between soil moisture, vegetation, and evaporation during vegetation water stress periods.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:1f1a4724-360f-45b0-8184-b244e644b728","http://resolver.tudelft.nl/uuid:1f1a4724-360f-45b0-8184-b244e644b728","AI4SmallFarms: A Dataset for Crop Field Delineation in Southeast Asian Smallholder Farms","Persello, Claudio (University of Twente); Grift, Jeroen (University of Twente); Fan, X. (TU Delft Management Support); Paris, Claudia (University of Twente); Hansch, Ronny (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Koeva, Mila (University of Twente); Nelson, Andrew (University of Twente)","","2023","Agricultural field polygons within smallholder farming systems are essential to facilitate the collection of geo-spatial data useful for farmers, managers, and policymakers. However, the limited availability of training labels poses a challenge in developing supervised methods to accurately delineate field boundaries using Earth observation (EO) data. This letter introduces an open dataset for training and benchmarking machine learning methods to delineate agricultural field boundaries in polygon format. The large-scale dataset consists of 439 001 field polygons divided into 62 tiles of approximately 5× 5 km distributed across Vietnam and Cambodia, covering a range of fields and diverse landscape types. The field polygons have been meticulously digitized from satellite images, following a rigorous multistep quality control process and topological consistency checks. Multitemporal composites of Sentinel-2 (S2) images are provided to ensure cloud-free data. We conducted an experimental analysis testing a state-of-the-art deep learning (DL) workflow based on fully convolutional networks (FCNs), contour closing, and polygonization. We anticipate that this large-scale dataset will enable researchers to further enhance the delineation of agricultural fields in smallholder farms and to support the achievement of the Sustainable Development Goals (SDGs). The dataset can be downloaded from https://doi.org/10.17026/dans-xy6-ngg6.","Cambodia; crop field boundaries; deep learning (DL); Sentinel-2 (S2) data; smallholder farms; Vietnam","en","journal article","","","","","","","","","","","Management Support","","",""
"uuid:7e7ccc2d-b093-4e1e-834c-17e3c7744cc7","http://resolver.tudelft.nl/uuid:7e7ccc2d-b093-4e1e-834c-17e3c7744cc7","Predicting Beta-Lactam Target Non-Attainment in ICU Patients at Treatment Initiation: Development and External Validation of Three Novel (Machine Learning) Models","Wieringa, André (Erasmus MC; Isala Hospital); Ewoldt, Tim (Erasmus MC); Gangapersad, Ravish N. (Erasmus MC); Gijsen, Matthias (Katholieke Universiteit Leuven; UZ Leuven); Parolya, N. (TU Delft Statistics); Kats, Chantal J. A. R. (Haaglanden Medical Center); Spriet, Isabel (Katholieke Universiteit Leuven; UZ Leuven); Endeman, Henrik (Erasmus MC); Haringman, Jasper J. (Isala Hospital)","","2023","In the intensive care unit (ICU), infection-related mortality is high. Although adequate antibiotic treatment is essential in infections, beta-lactam target non-attainment occurs in up to 45% of ICU patients, which is associated with a lower likelihood of clinical success. To optimize antibiotic treatment, we aimed to develop beta-lactam target non-attainment prediction models in ICU patients. Patients from two multicenter studies were included, with intravenous intermittent beta-lactam antibiotics administered and blood samples drawn within 12–36 h after antibiotic initiation. Beta-lactam target non-attainment models were developed and validated using random forest (RF), logistic regression (LR), and naïve Bayes (NB) models from 376 patients. External validation was performed on 150 ICU patients. We assessed performance by measuring discrimination, calibration, and net benefit at the default threshold probability of 0.20. Age, sex, serum creatinine, and type of beta-lactam antibiotic were found to be predictive of beta-lactam target non-attainment. In the external validation, the RF, LR, and NB models confirmed good discrimination with an area under the curve of 0.79 [95% CI 0.72–0.86], 0.80 [95% CI 0.73–0.87], and 0.75 [95% CI 0.67–0.82], respectively, and net benefit in the RF and LR models. We developed prediction models for beta-lactam target non-attainment within 12–36 h after antibiotic initiation in ICU patients. These online-accessible models use readily available patient variables and help optimize antibiotic treatment. The RF and LR models showed the best performance among the three models tested.","target attainment; risk model; beta-lactams; penicillin; cephalosporin; carbapenem; critical illness; intensive care unit","en","journal article","","","","","","","","","","","Statistics","","",""
"uuid:bb27aaff-fc77-4bae-ba20-5f14bc509917","http://resolver.tudelft.nl/uuid:bb27aaff-fc77-4bae-ba20-5f14bc509917","The process of value setting through co-design: the case of La Borda, Barcelona","Dos Santos Vieira Brysch, S.L. (TU Delft Real Estate Management); Garcia i Mateu, Adrià (Universitat Oberta de Catalunya); Czischke, D.K. (TU Delft Real Estate Management)","","2023","Against the increasing commodification of housing, a new kind of housing cooperatives has emerged in Catalonia in the last decade. These cooperatives fall within the wider concept of collaborative housing (CH), i.e. they are collectively self-organised projects based on a collaborative design process, or ‘co-design’. In such a process, residents need to adjust their individual expectations and demands in order to reach a collective set of values to realise their housing project. The aim of this paper is to assess how values are set through co-design and translated into a housing project. To do so, we develop an analytical framework to conduct a longitudinal single case-study that traces back the co-design process of the resident-led housing cooperative La Borda, in Barcelona. Our findings shed light on how co-design unfolds and uncover trade-offs carried out to overcome tensions mostly between individual and collective demands and between building costs and quality.","co-design process; Collaborative housing; cooperative housing; design for values; La Borda","en","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:c123895c-9955-41b9-b9c0-3efbb87cf059","http://resolver.tudelft.nl/uuid:c123895c-9955-41b9-b9c0-3efbb87cf059","Belief Control Barrier Functions for Risk-Aware Control","Vahs, Matti (KTH Royal Institute of Technology); Pek, Christian (TU Delft Robot Dynamics); Tumova, Jana (KTH Royal Institute of Technology)","","2023","Ensuring safety in real-world robotic systems is often challenging due to unmodeled disturbances and noisy sensors. To account for such stochastic uncertainties, many robotic systems leverage probabilistic state estimators such as Kalman filters to obtain a robot's belief, i.e. a probability distribution over possible states. We propose belief control barrier functions (BCBFs) to enable risk-aware control, leveraging all information provided by state estimators. This allows robots to stay in predefined safety regions with desired confidence under these stochastic uncertainties. BCBFs are general and can be applied to a variety of robots that use extended Kalman filters as state estimator. We demonstrate BCBFs on a quadrotor that is exposed to external disturbances and varying sensing conditions. Our results show improved safety compared to traditional state-based approaches while allowing control frequencies of up to 1 kHz.","Robot Safety; Sensor-based Control","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-06","","","Robot Dynamics","","",""
"uuid:80260bd5-b32d-4feb-af51-8097963e1232","http://resolver.tudelft.nl/uuid:80260bd5-b32d-4feb-af51-8097963e1232","Environmental sustainability and gynaecological surgery: Which factors influence behaviour? An interview study","van Nieuwenhuizen, Kim E. (Leiden University Medical Center); Both, Ingena G.I.A. (Leiden University Medical Center); Porte, Petra J. (Erasmus Universiteit Rotterdam); van der Eijk, A.C. (TU Delft Medical Instruments & Bio-Inspired Technology; Leiden University Medical Center); Jansen, F.W. (TU Delft Medical Instruments & Bio-Inspired Technology; Leiden University Medical Center)","","2023","Objective: To assess the various factors that influence environmentally sustainable behaviour in gynaecological surgery and examine the differences between gynaecologists and residents. Design: An interview study. Setting: Academic and non-academic hospitals in the Netherlands. Population: Gynaecologists (n = 10) and residents (n = 6). Methods: Thematic analysis of semi-structured interviews to determine the various factors that influence environmentally sustainable behaviour in gynaecological surgery and to examine the differences between gynaecologists and residents. By using the Desmond framework and the COM-B BCW, both organisational and individual factors related to behaviour were considered. Main outcome measures: Factors that influence environmentally sustainable behaviour. Results: Awareness is increasing but practical knowledge is insufficient. It is crucial to integrate education on the environmental impact of everyday decisions for residents and gynaecologists. Gynaecologists make their own choices but residents’ autonomy is limited. There is the necessity to provide environmentally sustainable surgical equipment without compromising other standards. There is a need for a societal change that encourages safe and open communication about environmental sustainability. To transition to environmentally sustainable practices, leadership, time, collaboration with the industry and supportive regulatory changes are essential. Conclusion: This study lays the groundwork for promoting more environmentally sustainable behaviour in gynaecological surgery. The key recommendations, addressing hospital regulations, leadership, policy revisions, collaboration with the industry, guideline development and education, offer practical steps towards a more sustainable healthcare system. Encouraging environmentally sustainable practices should be embraced to enhance the well-being of both our planet and our population, driving us closer to a more environmentally sustainable future in healthcare.","behaviour; education; environmental sustainability; residents; surgery","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:fd123eec-f8c2-41ab-88e0-dcfefbc639a7","http://resolver.tudelft.nl/uuid:fd123eec-f8c2-41ab-88e0-dcfefbc639a7","Robust Jumping with an Articulated Soft Quadruped via Trajectory Optimization and Iterative Learning","Ding, J. (TU Delft Learning & Autonomous Control); Sels, Mees A.van Loben (Student TU Delft); Angelini, Franco (University of Pisa); Kober, J. (TU Delft Learning & Autonomous Control); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR))","","2023","Quadrupeds deployed in real-world scenarios need to be robust to unmodelled dynamic effects. In this work, we aim to increase the robustness of quadrupedal periodic forward jumping (i.e., pronking) by unifying cutting-edge model-based trajectory optimization and iterative learning control. Using a reduced-order soft anchor model, the optimization-based motion planner generates the periodic reference trajectory. The controller then iteratively learns the feedforward control signal in a repetition process, without requiring an accurate full-body model. When enhanced by a continuous learning mechanism, the proposed controller can learn the control inputs without resetting the system at the end of each iteration. Simulations and experiments on a quadruped with parallel springs demonstrate that continuous jumping can be learned in a matter of minutes, with high robustness against various types of terrain.","Dynamics; Feedforward systems; Legged Robots; Morphology; Motion Control; Optimization and Optimal Control; Quadrupedal robots; Robots; Springs; Trajectory optimization","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-08","","","Learning & Autonomous Control","","",""
"uuid:e71268e0-be9b-4d0d-a5e7-f8790be4409d","http://resolver.tudelft.nl/uuid:e71268e0-be9b-4d0d-a5e7-f8790be4409d","Cutting fluid role in the machinability of AZ91/SiC composite: Tool wear and surface roughness","Asgari, A. (TU Delft Ship and Offshore Structures); Sousanabadi, Amin (Iran University of Science and Technology); Sedighi, Mohammad (Iran University of Science and Technology)","","2023","Metal matrix composites (MMC) introduced special features such as resistance to wear and high strength to weight ratio and these characteristics categorized them as difficult-to-cut materials in the field of machining. In the current paper, a novel study on the cutting fluid emulsion 5% role in the machinability of a magnesium-based metal matrix composite reinforced by silicon carbide (SiC) particles is presented. AZ91 magnesium alloy, with nominal composition Mg-9Al-1Zn, composites were made using stir casting method. Then, the composite samples were machined and the cutting parameters such as cutting speed, feed rate and side cutting edge angle were varied to assess their effects on the wear and surface roughness. To measure and analyze the wear, optical and scanning electron microscope (SEM) were used. Also, elemental analysis through energy-dispersive X-ray spectroscopy (EDS) was accomplished. Surface roughness of machined samples were measured by a profilometer and 3D surface topography. Results of SEM and EDS images indicated that SiC particles included in the composites act as grinders and remove the surface of tool even in a short time because of the severe abrasion. Additionally, surface of machined MMCs contains some defects such as cracks, broken SiC, and unwanted deformations. Using cutting fluid emulsion 5% enhanced the tool life as well as the surface quality remarkably for different cutting speeds, feed rates and cutting edge angles although finished surface of the samples were oxidized. Also, the cutting fluid considerably reduced the amount of adhered materials on the flank face.","AZ91/SiC composite; cutting fluid; machinability; MMC; surface roughness; wear","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-14","","","Ship and Offshore Structures","","",""
"uuid:c46e7ad3-4dbd-4abd-a1b4-8b974bfd6606","http://resolver.tudelft.nl/uuid:c46e7ad3-4dbd-4abd-a1b4-8b974bfd6606","A comparative study on the machinability of Mg-based composites: Cemented carbide and cubic boron nitride tools performance","Asgari, A. (TU Delft Ship and Offshore Structures); Sedighi, Mohammad (Iran University of Science and Technology); Delavar, Hassan (Universiteit Gent)","","2023","Machining of metal matrix composites (MMC) is a challenging process as they are difficult to cut and cutting tools get worn out in a short time. In this paper, the performance of two industrial carbide grades and a cubic boron nitride (CBN) tool are assessed when machining of AZ91/SiC composites. Mg-based composites with different volume fractions and particle sizes are machined at various cutting conditions to evaluate the tools wear resistance and finished surface. The surface of the worn-out tools and machined samples are analyzed by scanning electron microscope (SEM), energy-dispersive X-ray spectroscopy (EDS), and roughness tester. Results revealed that the tool wear increased for composites reinforced by smaller particles regardless of the tool type. Additionally, tool grade TH1000 resulted in longer tool life when machining of Mg-based composites compared to the CP500 grade so that at a cutting speed of 70 m/min and feed rate of 0.1 mm/rev, tool life improved nearly 250%. CBN tools showed the best performance when machining of Mg-based composites as tools became worn out after 255 s which is considerable compared to carbide tools. Also, the finished surface caused by cemented carbide CP500 indicated the worst quality.","cemented carbide; cubic boron nitride; machining; Metal matric composites; roughness; wear","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-14","","","Ship and Offshore Structures","","",""
"uuid:d4bba92d-8015-4f29-9e8a-ea354f78f46e","http://resolver.tudelft.nl/uuid:d4bba92d-8015-4f29-9e8a-ea354f78f46e","Rapid spatio-temporal flood modelling via hydraulics-based graph neural networks","Bentivoglio, Roberto (TU Delft Sanitary Engineering); Isufi, E. (TU Delft Multimedia Computing); Jonkman, Sebastiaan N. (TU Delft Hydraulic Structures and Flood Risk); Taormina, R. (TU Delft Sanitary Engineering)","","2023","Numerical modelling is a reliable tool for flood simulations, but accurate solutions are computationally expensive. In recent years, researchers have explored data-driven methodologies based on neural networks to overcome this limitation. However, most models are only used for a specific case study and disregard the dynamic evolution of the flood wave. This limits their generalizability to topographies that the model was not trained on and in time-dependent applications. In this paper, we introduce shallow water equation–graph neural network (SWE–GNN), a hydraulics-inspired surrogate model based on GNNs that can be used for rapid spatio-temporal flood modelling. The model exploits the analogy between finite-volume methods used to solve SWEs and GNNs. For a computational mesh, we create a graph by considering finite-volume cells as nodes and adjacent cells as being connected by edges. The inputs are determined by the topographical properties of the domain and the initial hydraulic conditions. The GNN then determines how fluxes are exchanged between cells via a learned local function. We overcome the time-step constraints by stacking multiple GNN layers, which expand the considered space instead of increasing the time resolution. We also propose a multi-step-ahead loss function along with a curriculum learning strategy to improve the stability and performance. We validate this approach using a dataset of two-dimensional dike breach flood simulations in randomly generated digital elevation models generated with a high-fidelity numerical solver. The SWE–GNN model predicts the spatio-temporal evolution of the flood for unseen topographies with mean average errors in time of 0.04 m for water depths and 0.004 m2 s−1 for unit discharges. Moreover, it generalizes well to unseen breach locations, bigger domains, and longer periods of time compared to those of the training set, outperforming other deep-learning models. On top of this, SWE–GNN has a computational speed-up of up to 2 orders of magnitude faster than the numerical solver. Our framework opens the doors to a new approach to replace numerical solvers in time-sensitive applications with spatially dependent uncertainties.","","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:7ca78706-f75d-4798-be28-7c671e4255da","http://resolver.tudelft.nl/uuid:7ca78706-f75d-4798-be28-7c671e4255da","Effect of Engine Design Parameters on the Climate Impact of Aircraft: A Case Study Based on Short-Medium Range Mission","Saluja, H.S. (Student TU Delft); Yin, F. (TU Delft Aircraft Noise and Climate Effects); Gangoli Rao, A. (TU Delft Flight Performance and Propulsion); Grewe, V. (TU Delft Aircraft Noise and Climate Effects)","","2023","The climate impact of aviation is considerably different from that of other transport modes. The turbofan engine’s efficiency can be increased by increasing the Operating Pressure Ratio (OPR), bypass ratio (BPR) and Turbine Inlet Temperature (TIT), thereby reducing CO2 and H2O emissions. However, this may have an adverse effect on the secondary emissions, such as NOx, soot, etc. Taking a holistic view in evaluating the climate impact of engine development trends considering all the climate forcers is imperative for design trends in the future. This research investigates the impact of some key engine design parameters on climate. The emission changes due to design variations in the CFM56-5B are estimated using in-house engine performance and emission prediction tools. Accordingly, the changes in the species’ Average Temperature Response for 100 years (ATR100) are analyzed using a climate assessment tool, AirClim. The results show that the overall climate impact increases by 40% when increasing OPR from 25 to 40. Meanwhile, the Twin Annular Premixed Swirler (TAPS-II) combustor reduces the total ATR100 drastically, in the range of 52–58%, due to lean combustion.","","en","journal article","","","","","","","","","","","Aircraft Noise and Climate Effects","","",""
"uuid:2a8da9b3-0d1b-4c90-8f6f-a3fd8f6f654c","http://resolver.tudelft.nl/uuid:2a8da9b3-0d1b-4c90-8f6f-a3fd8f6f654c","Diffusion across particle-laden interfaces in Pickering droplets","Liu, Y. (TU Delft ChemE/Transport Phenomena); Xu, M. (Student TU Delft); Portela, L. (TU Delft ChemE/Transport Phenomena); Garbin, V. (TU Delft ChemE/Transport Phenomena)","","2023","Emulsions stabilized by nanoparticles, known as Pickering emulsions, exhibit remarkable stability, which enables applications ranging from encapsulation, to advanced materials, to chemical conversion. The layer of nanoparticles at the interface of Pickering droplets is a semi-permeable barrier between the two liquid phases, which can affect the rate of release of encapsulates, and the interfacial transfer of reactants and products in biphasic chemical conversion. A gap in our fundamental understanding of diffusion in multiphase systems with particle-laden interfaces currently limits the optimal development of these applications. To address this gap, we developed an experimental approach for in situ, real-time quantification of concentration fields in Pickering droplets in a Hele-Shaw geometry and investigated the effect of the layer of nanoparticles on diffusion of solute across a liquid–liquid interface. The experiments did not reveal a significant hindrance on the diffusion of solute across an interface densely covered by nanoparticles. We interpret this result using an unsteady diffusion model to predict the spatio-temporal evolution of the concentration of solute with a particle-laden interface. We find that the concentration field is only affected in the immediate vicinity of the layer of particles, where the area available for diffusion is affected by the particles. This defines a characteristic time scale for the problem, which is the time for diffusion across the layer of particles. The far-field concentration profile evolves towards that of a bare interface. This localized effect of the particle hindrance is not measurable in our experiments, which take place over a much longer time scale. Our model also predicts that the hindrance by particles can be more pronounced depending on the particle size and physicochemical properties of the liquids and can ultimately affect performance in applications.","","en","journal article","","","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:15b5dbd7-b9e0-4c83-b12d-b9efbf09d383","http://resolver.tudelft.nl/uuid:15b5dbd7-b9e0-4c83-b12d-b9efbf09d383","Students' Perceptions of acoustic comfort in traditional and flexible learning environments: A study in Chile","Ipinza-Olatte, Constanza (University of Bío-Bío; Universidad de Santiago de Chile); Piderit-Moreno, María Beatriz (University of Bío-Bío); Bluyssen, P.M. (TU Delft Environmental & Climate Design); Trebilcock-Kelly, Maureen (University of Bío-Bío)","","2023","The acoustic quality of educational spaces has an important impact on well-being and occupant performance. This study investigates noise perception and hearing ability among primary students in traditional learning environments (TLE) and flexible learning environments (FLE). A survey was conducted in Santiago de Chile, involving 21 teachers and 315 children from 13 schools, to gather responses on acoustic comfort. Additionally, a checklist was used to inspect the physical spaces. The analysis of students' responses revealed that self-reported complaints related to indoor environmental quality (IEQ) predominantly focused on noise discomfort in both types of learning environments. A significant relationship was found between the type of space and children's perception of noise from their peers. Although students in TLE reported greater annoyance with noise, those in FLE experienced slightly more listening problems. Interestingly, in FLE, students with an occupancy density greater than 2.1 m2 per student did not feel bothered by the noise produced by their classmates speaking. Although further research is needed, these findings highlight the crucial role of acoustic conditions in ensuring the comfort and hearing abilities of young students. Adequate acoustic treatment and enough space per student are important to mitigate potential indoor noise issues","","en","journal article","","","","","","","","","","","Environmental & Climate Design","","",""
"uuid:a63f34f8-717c-4731-9102-fd5c1dcf22a1","http://resolver.tudelft.nl/uuid:a63f34f8-717c-4731-9102-fd5c1dcf22a1","Sociaal welzijn op kantoor vraagt om méér dan een goede koffiecorner: Beyond the Coffee Corner","van der Voordt, Theo (TU Delft Real Estate Management)","","2023","Op 4 Oktober promoveerde Susanne Colenberg aan de TU Delft op haar studie BEYOND THE COFFEE CORNER - Workplace design and social well-being. Het proefschrift is helder gestructureerd en zowel theoretisch interessant als relevant voor de praktijk.","","nl","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:ea5715e3-ca03-4451-8e6d-fb9eea908c7e","http://resolver.tudelft.nl/uuid:ea5715e3-ca03-4451-8e6d-fb9eea908c7e","De spreadsheets voorbij: Op weg naar integrale en waardengerichte businesscases","van der Voordt, Theo (TU Delft Real Estate Management); Hoendervanger, Jan Gerard","","2023","Ingrepen in huisvesting gaan vaak gepaard met forse investeringen. Een businesscase moet inzicht geven in een gezonde verhouding tussen kosten en baten. Maar welke kosten en baten worden precies meegenomen? En wat is gezond? Professionalisering van het vakgebied vraagt om verbreding van de scope, waarbij een scala aan invalshoeken, effecten, stakeholdersbelangen en een langere tijdshorizon in de afweging worden meegenomen.","","nl","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:fd17cbeb-620f-45f1-907f-6585342afa38","http://resolver.tudelft.nl/uuid:fd17cbeb-620f-45f1-907f-6585342afa38","Safety Analysis and Condition Assessment of Corroded Energy Pipelines under Landslide Disasters","Zhang, Peng (Southwest Petroleum University); Liu, Wei (Southwest Petroleum University); Liu, Siming (Southwest Petroleum University); Tian, Xu (Southwest Petroleum University); Li, Yimiao (Southwest Petroleum University); Huang, Y. (TU Delft Safety and Security Science)","","2023","Corrosion poses a significant risk to the safety of energy pipelines, while landslide disasters emerge as the primary threat responsible for triggering pipeline failures across mountainous areas. To date, there is limited research focused on the safety of energy pipelines considering the synergistic effect of corrosion and landslides. The present study proposes a finite element (FE)-based model to assess the condition of corroded pipelines under landslides. The effects of corrosion dimensions (length and depth) and location are determined. A novel equation is finally developed to predict the maximum stress and determine the most disadvantageous position for corroded pipelines under various landslide displacements. The results demonstrate that (1) as the landslide progresses, the pipeline’s stress significantly increases; (2) corrosion depth has a more significant impact on the pipeline condition than the corrosion length, and it is positively correlated with the pipe’s stress; (3) the maximum stress exhibits a nonlinear relationship with the landslide-facing position and the corrosion circumferential location; and (4) when the axial position of the corrosion is more than 6.5 m away from the center of the landslide, the location of maximum stress shifts from the corrosion region to the central section of the pipeline within the landslide. This work contributes to helping pipeline owners to understand the applicability of energy pipelines subjected to the combined effects of corrosion and landslides and provides support for future risk assessment efforts in pipeline integrity management.","energy pipelines; corrosion; landslides; safety analysis; condition assessment","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:67be5210-eded-47a6-a24c-d4255147105a","http://resolver.tudelft.nl/uuid:67be5210-eded-47a6-a24c-d4255147105a","Time-dependent reliability assessment of existing concrete bridges with varying knowledge levels by proof load testing","de Vries, R. (TU Delft Concrete Structures; TNO); Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito); Steenbergen, Raphaël D.J.M. (Universiteit Gent; TNO); Fennis, Sonja A.A.M. (Rijkswaterstaat)","","2023","In the evaluation of existing bridges and viaducts, relying solely on a desk study is often inadequate for determining their structural reliability. Performing a proof load test provides valuable field data that offers detailed information about the structural integrity. However, the relation between the magnitude of the load and the structural reliability is not immediately clear. This study addresses the challenges associated with determining the target load and highlights the uncertainties that play a key role. A case study is presented that shows the time-dependent character of the structural reliability and the influence of an informative and a weakly informative prior distribution in a Bayesian context. It is shown how both past traffic loads and a proof load test may contribute to the proven strength of a structure. The described method provides a starting point towards a flexible approach for proof load testing in which structure-specific knowledge levels and requirements are considered.","Existing structures; incomplete knowledge; load testing; proof loading; reliability updating; time-dependence; traffic load","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:7947dbd1-0bfc-4134-9784-b5f7fe086e6d","http://resolver.tudelft.nl/uuid:7947dbd1-0bfc-4134-9784-b5f7fe086e6d","Sito/Luogo","Pimlott, Mark (TU Delft Situated Architecture)","","2023","Why site? Why not place? There is so much attention to place, to its significance, to its character, atmospheres, and authenticity. Place, as a coalescence of diverse factors and presences considered to be meaningful, is the holy grail of phenomenology, the object of fascination of cultural anthropologists. Why site, with its evocations of chaos, and half-made-up-ness? It is always worthwhile to turn to definitions for some measure of each of these words, at least in the English language.","","mul","journal article","","","","","","ISBN 978-88-32072-26-6 Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-01","","","Situated Architecture","","",""
"uuid:202860af-8f0c-46e3-8bf6-0836ab7e60e9","http://resolver.tudelft.nl/uuid:202860af-8f0c-46e3-8bf6-0836ab7e60e9","Seasonal Cooling Effect of Vegetation and Albedo Applied to the LCZ Classification of Three Chinese Megacities","Luo, Yifan (Guangzhou University); Yang, Jinxin (Guangzhou University); Shi, Qian (Sun Yat-sen University); Xu, Yong (Guangzhou University); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Wong, Man Sing (The Hong Kong Polytechnic University)","","2023","The urban heat island effect poses a growing threat to human society, especially in densely populated and developed megacities. With the introduction of the Local Climate Zones (LCZ) framework, new perspectives and findings have been brought to urban heat island studies. This study investigated the cooling effect of vegetation and albedo on the surface urban heat island (SUHI) in the classification system of LCZ during different seasons, using three Chinese megacities as case study areas. Single-factor linear regression and Pearson’s correlation coefficient were applied to analyze the seasonal cooling effect of both albedo and the NDVI on the SUHI within different LCZs. The results show that (1) the variability of the SUHI is reflected in its dominance and intensity within certain LCZs in different cities and in the efficiency of cooling factors; (2) the cooling effect of vegetation is dominant in each season, and the cooling effect produced by albedo within specific seasons can be differentiated by LCZs. This study provides valuable information for the mitigation of the SUHI magnitude in specific regions and at specific times of the year.","local climate zone; albedo; vegetation; heat mitigation","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:892c9b23-8b19-4f07-951b-153607646620","http://resolver.tudelft.nl/uuid:892c9b23-8b19-4f07-951b-153607646620","Open reproducible scientometric research with Alexandria3k","Spinellis, D. (TU Delft Software Engineering; Athens University of Economics and Business)","","2023","Considerable scientific work involves locating, analyzing, systematizing, and synthesizing other publications, often with the help of online scientific publication databases and search engines. However, use of online sources suffers from a lack of repeatability and transparency, as well as from technical restrictions. Alexandria3k is a Python software package and an associated command-line tool that can populate embedded relational databases with slices from the complete set of several open publication metadata sets. These can then be employed for reproducible processing and analysis through versatile and performant queries. We demonstrate the software’s utility by visualizing the evolution of publications in diverse scientific fields and relationships among them, by outlining scientometric facts associated with COVID-19 research, and by replicating commonly-used bibliometric measures and findings regarding scientific productivity, impact, and disruption.","","en","journal article","","","","","","","","","","","Software Engineering","","",""
"uuid:c618a376-e2cd-4198-9d9c-e7141c89af40","http://resolver.tudelft.nl/uuid:c618a376-e2cd-4198-9d9c-e7141c89af40","Proposal for the assessment of thermal indoor climate based on the thermal acceptability, in addition to the thermal (dis)satisfied.","Roelofsen, C.P.G. (TU Delft Emerging Materials); Vink, P. (TU Delft Emerging Materials)","","2023","For the sake of energy and cost savings, it is sometimes necessary to maintain the indoor climate in a room at conditions that deviate from optimal thermal comfort. More important than thermal sensation is how a change in conditions will affect the thermal acceptability of a space and whether the percentage of people who are (dis)satisfied with the environment will change with regard of the acceptability. The aim of this technical note and arithmetic study is to find out to what extent the thermal indoor climate can be assessed on the basis of thermal
acceptability, in addition to the thermal (dis)satisfied, by making use of research that has already been carried out. In addition to the relationship between the percentage of (dis)satisfied and acceptability, attention is paid to how this result relates to current Dutch government building regulations. The paper concerns a proposal for the assessment of thermal indoor climate based on the thermal acceptability, in addition to the thermal (dis)satisfied.","Mathematical modelling; Thermal acceptability; Thermal comfort; Adaptive thermal comfort; Environmental indoor quality","en","journal article","","","","","","","","","","","Emerging Materials","","",""
"uuid:bf44febd-cab5-452f-ac6a-b5a098c011ec","http://resolver.tudelft.nl/uuid:bf44febd-cab5-452f-ac6a-b5a098c011ec","Verwijdering van organische microverontreinigingen uit huishoudelijk afvalwater met AdOx","van der Hoek, J.P. (TU Delft Sanitary Engineering; Waternet); Fausta, N. (TU Delft Sanitary Engineering); Fu, Mingyan (TU Delft Sanitary Engineering); Heijman, Sebastiaan (TU Delft Sanitary Engineering); Rietveld, L.C. (TU Delft Sanitary Engineering)","","2023","Verwijdering van organische microverontreinigingen uit huishoudelijk afvalwater, waaronder medicijnresten, staat sterk in de belangstelling om de oppervlaktewater kwaliteit te verbeteren, de drinkwaterbronnen te beschermen en te voldoen aan toekomstige EU richtlijnen. In minder dan vijf jaar is AdOx, een technologie waarin adsorptie en oxidatie word en gecombineerd, ontwikkeld tot een veelbelovende techniek. Ten opzichte van referentietechnieken zijn de CO2-voetafdruk klein en de kosten laag. De Nederlandse richtlijn van 70% verwijdering wordt gehaald. Ondanks het gebruik van ozon resulteert AdOx niet in bromaat vorming en oxidatiebijproducten in het behandelde afvalwater. Er is nog veel ruimte voor verdere optimalisatie.","Afvalwater; Onderzoek; Afvalwaterzuivering","nl","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:0d8eb689-ed95-48d7-93d2-936f7077c8c4","http://resolver.tudelft.nl/uuid:0d8eb689-ed95-48d7-93d2-936f7077c8c4","A robust-fuzzy multi-objective optimization approach for a supplier selection and order allocation problem: Improving sustainability under uncertainty","Nazari-Shirkouhi, Salman (University of Tehran); Miralizadeh Jalalat, Sepideh (University of Tehran); Sangari, Mohamad Sadegh (Toronto Metropolitan University); Sepehri, A. (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Rezaei Vandchali, Hadi (University of Tasmania)","","2023","Attaining sustainability objectives has received wide attention in the supplier selection and order allocation (SSOA) literature. This paper aims to investigate an SSOA problem under multiple items, multiple suppliers, multiple price levels, and multiple period using a robust-fuzzy multi-objective programming in which: (a) transportation cost, delay penalty cost, and demand are uncertain; (b) four objectives are proposed to minimize total costs and the number of defective items and to maximize environmental and social impacts; and (c) all objectives of the problem have a fuzzy membership degree that is determined by the decision-makers. A robust optimization approach is elaborated as a solution procedure to address the uncertainty of the decision variables. The significance of each objective in practice is discussed based on seven distinct scenarios that produce a specific membership degree to help practitioners make efficient decisions in selecting the suppliers and allocating the orders. Two numerical examples with different sizes are conducted to validate the mathematical model. Thereafter, the sensitivity of each scenario on objectives and total satisfaction degree is analyzed. The results of the numerical solution compare the value of four objective functions under each developed scenario to provide a trade-off insight between different objectives for practitioners. Eventually, the credibility and efficiency of the proposed solution procedure are evaluated to validate the findings.","Supplier selection; Order allocation; Sustainability; Robust optimization; Fuzzy multi-objective programming","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-21","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:8e321a60-2cb6-497a-a173-cc33a371dfcb","http://resolver.tudelft.nl/uuid:8e321a60-2cb6-497a-a173-cc33a371dfcb","Safe System approach for cyclists in the Netherlands: Towards zero fatalities and serious injuries?","Wegman, F.C.M. (TU Delft Transport and Planning); Schepers, Paul (Universiteit Utrecht)","","2023","More than one third of all road deaths in the Netherlands and more than two thirds of seriously injured casualties are cyclists. In recent years these shares have increased, despite the fact that the implementation of Safe System principles has been leading in road safety policy and has been successful in reducing the total number of road deaths. However, the annual number of fatalities among cyclists failed to decline and the number of injuries among cyclists has been increasing, especially in single-bicycle crashes. This raises the question why until now Safe System implementation has failed to contribute to the reduction of the number of casualties among cyclists. This question is urgent because of the goal to reduce the number of road deaths and serious traffic injuries in the Netherlands to (virtually) ZERO by 2050. This ambition is in line with the objectives of the European Union. The causes of the unfavourable developments in road safety for cyclists in the Netherlands and which problems require a solution are examined. This raises two questions: can improved implementation of Safe System measures reverse the negative trend, and can this result in ZERO cycling casualties in the future. The discussion involves investigating three dimensions: exposure, crash risk, and injury risk. The opportunities that technological developments may offer in future decades are also considered. It is concluded that Safe System implementation will include opportunities to make cycling considerably safer in the Netherlands. However, we face too many uncertainties to allow for developing scenarios that show how close the Netherlands will be to ZERO cyclists casualties.","","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:257c4ab1-123c-495a-941f-b79f84295dd9","http://resolver.tudelft.nl/uuid:257c4ab1-123c-495a-941f-b79f84295dd9","Dimethyl carbonate synthesis from CO2 and methanol over CeO2: elucidating the surface intermediates and oxygen vacancy-assisted reaction mechanism","Stoian, Dragos (European Synchrotron Radiation Facility; Barcelona Institute of Science and Technology (BIST); University Rovira i Virgili); Sugiyama, Toshiyuki (Hokkaido University); Bansode, Atul (TU Delft ChemE/Catalysis Engineering; Barcelona Institute of Science and Technology (BIST)); Medina, Francisco (University Rovira i Virgili); van Beek, Wouter (European Synchrotron Radiation Facility); Hasegawa, Jun-ya (Hokkaido University); Nakayama, Akira (Hokkaido University; University of Tokyo); Urakawa, A. (TU Delft ChemE/Catalysis Engineering; Barcelona Institute of Science and Technology (BIST))","","2023","Surface intermediate species and oxygen vacancy-assisted mechanism over CeO2 catalyst in the direct dimethyl carbonate (DMC) synthesis from carbon dioxide and methanol are suggested by means of transient spectroscopic methodologies in conjunction with multivariate spectral analysis. How the two reactants, i.e. CO2 and methanol, interact with the CeO2 surface and how they form decisive surface intermediates leading to DMC are unraveled by DFT-based molecular dynamics simulation by precise statistical sampling of various configurations of surface states and intermediates. The atomistic simulations and uncovered stability of different intermediate states perfectly explain the unique DMC formation profile experimentally observed upon transient operations, strongly supporting the proposed oxygen vacancy-assisted reaction mechanism.","","en","journal article","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:47fe973d-af3f-418e-aa31-9635f3a8c32b","http://resolver.tudelft.nl/uuid:47fe973d-af3f-418e-aa31-9635f3a8c32b","RGO-Based Memristive Sensor for Rapid Hydrogen Detection at Room-Temperature","AbuHamra, Nada (Khalifa University of Science and Technology); Abunahla, H.N. (TU Delft Computer Engineering); Ali, Ashraf (United Arab Emirates University); Waheed, Waqas (Khalifa University of Science and Technology); Mahmoud, Saleh T. (United Arab Emirates University); AlAzzam, Anas (Khalifa University of Science and Technology); Mohammed, Baker (Khalifa University of Science and Technology)","","2023","In recent years, there has been a growing interest in investigating the potential of emerging memristor (MR) devices for gas sensing applications, particularly at room temperature. This article reports on a planar Au/reduced graphene oxide (rGO)/Au memristive hydrogen sensor, fabricated on a cost-effective cyclic olefin copolymer (COC) substrate, and utilizing the rGO green carbon material as its active sensing element. The sensor's performance is evaluated using two different testing modes: conventional chemiresistive testing under a constant voltage bias (CVB) and voltage pulse (VP) modes. The CVB mode demonstrates high repeatability, selectivity, response time, and recovery time, indicating the sensor's reliable gas sensing capabilities. In addition, the VP mode significantly enhances the sensor's relative percentage response, indicating its potential for improved gas sensing performance. To optimize the sensor's response, the impact of hydrogen exposure on the MR resistive switching is studied, revealing that the effect is contingent on the VP amplitude. Specifically, gas-enhanced resistive switching is achieved at lower voltage levels, whereas at higher voltage levels, gas exposure slows down the rate of resistive switching. Consequently, voltage-pulse testing is conducted at two voltage magnitudes, low (2.5 V) and high (4.5 V), and the sensor's response is enhanced from 0.5% under CVB mode to 786% under VP mode.","Fabrication; Gas detectors; Gas Sensing; Hydrogen; Hydrogen Sensor; Memristor; Plasma temperature; Reduced Graphene Oxide; Sensors; Temperature sensors; Voltage","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","Computer Engineering","","",""
"uuid:50d9b3e8-990a-459f-88eb-1274d05a3a4f","http://resolver.tudelft.nl/uuid:50d9b3e8-990a-459f-88eb-1274d05a3a4f","To Spike or Not To Spike: A Digital Hardware Perspective on Deep Learning Acceleration","Ottati, F. (TU Delft Electronic Instrumentation; Politecnico di Torino); Gao, Chang; Chen, Qinyu (University of Zürich); Brignone, Giovanni (Politecnico di Torino); Casu, Mario R. (Politecnico di Torino); Eshraghian, Jason K. (University of California); Lavagno, Luciano (Politecnico di Torino)","","2023","As deep learning models scale, they become increasingly competitive from domains spanning from computer vision to natural language processing; however, this happens at the expense of efficiency since they require increasingly more memory and computing power. The power efficiency of the biological brain outperforms any large-scale deep learning (DL) model; thus, neuromorphic computing tries to mimic the brain operations, such as spike-based information processing, to improve the efficiency of DL models. Despite the benefits of the brain, such as efficient information transmission, dense neuronal interconnects, and the co-location of computation and memory, the available biological substrate has severely constrained the evolution of biological brains. Electronic hardware does not have the same constraints; therefore, while modeling spiking neural networks (SNNs) might uncover one piece of the puzzle, the design of efficient hardware backends for SNNs needs further investigation, potentially taking inspiration from the available work done on the artificial neural networks (ANNs) side. As such, when is it wise to look at the brain while designing new hardware, and when should it be ignored? To answer this question, we quantitatively compare the digital hardware acceleration techniques and platforms of ANNs and SNNs. As a result, we provide the following insights: (i) ANNs currently process static data more efficiently, (ii) applications targeting data produced by neuromorphic sensors, such as event-based cameras and silicon cochleas, need more investigation since the behavior of these sensors might naturally fit the SNN paradigm, and (iii) hybrid approaches combining SNNs and ANNs might lead to the best solutions and should be investigated further at the hardware level, accounting for both efficiency and loss optimization.","Artificial Neural Networks; Biological system modeling; Computational modeling; Deep Learning; Digital Hardware; Energy consumption; Memory management; Neuromorphic Computing; Neurons; Spiking Neural Networks; Task analysis; Training","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-13","","","Electronic Instrumentation","","",""
"uuid:57d44904-e3e6-4813-aad1-9706b308eb25","http://resolver.tudelft.nl/uuid:57d44904-e3e6-4813-aad1-9706b308eb25","A Compact 10-MHz RC Frequency Reference With a Versatile Temperature Compensation Scheme","Pan, S. (TU Delft Electronic Instrumentation); An, Xiaomeng (Student TU Delft); Yu, Zheru (Student TU Delft); Jiang, H. (TU Delft Electronic Instrumentation; Silicon Integrated); Makinwa, K.A.A. (TU Delft Microelectronics)","","2023","This article presents the design and implementation of a compact CMOS RC frequency reference. It consists of a frequency-locked loop (FLL) that locks the period of a voltage-controlled oscillator (VCO) to the time an RC network takes to charge to a reference voltage. Conventionally, an RC time constant with a near-zero temperature coefficient (TC) is realized by using a trimmed network of resistors with different TCs. In this work, such a network is used to realize a temperature-dependent reference voltage whose TC cancels that of a single-resistor RC time constant. Compared with the conventional approach, which requires resistors with TCs of opposite polarity, the proposed approach can be implemented with resistors with TCs of similar polarity, and so it can be implemented in most CMOS processes. To compensate for RC spread, a trimmed capacitor is used to adjust the nominal frequency. Two prototype chips were made, one based on p- /n-polysilicon resistors and other based on silicided/p-diffusion resistors. Fabricated in a standard 180-nm CMOS technology, the polysilicon-based prototype has an active area of 0.01 mm2 and an absolute inaccuracy of ±2800 ppm from -45 °C to 125 °C with a fixed TC-trim and a one-point frequency trim. After one week of accelerated aging at 150 °C, however, significant drift (5000 ppm) was observed. The diffusion-based prototype exhibits greater inaccuracy (±14 400 ppm) but much less drift (600 ppm).","Capacitors; CMOS frequency reference; Frequency locked loops; on-chip trimming; Prototypes; resistor aging; Resistors; temperature compensation; Time-frequency analysis; Voltage; Voltage-controlled oscillators","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-13","","Microelectronics","Electronic Instrumentation","","",""
"uuid:f7472688-0a45-4c27-8048-4e4943d31c54","http://resolver.tudelft.nl/uuid:f7472688-0a45-4c27-8048-4e4943d31c54","Data Science Education: The Signal Processing Perspective [SP Education]","Gannot, Sharon (Bar-Ilan University); Tan, Zheng Hua (Aalborg University); Haardt, Martin (Ilmenau University of Technology); Chen, Nancy F. (Institute for Infocomm Research); Wai, Hoi To (Chinese University of Hong Kong); Tashev, Ivan (Microsoft Research; University of Washington; Technical University of Sofia); Kellermann, Walter (Friedrich-Alexander-Universität Erlangen-Nürnberg); Dauwels, J.H.G. (TU Delft Signal Processing Systems)","","2023","In the last decade, the signal processing (SP) community has witnessed a paradigm shift from model-based to data-driven methods. Machine learning (ML) - more specifically, deep learning - methodologies are nowadays widely used in all SP fields, e.g., audio, speech, image, video, multimedia, and multimodal/multisensor processing, to name a few. Many data-driven methods also incorporate domain knowledge to improve problem modeling, especially when computational burden, training data scarceness, and memory size are important constraints.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-13","","","Signal Processing Systems","","",""
"uuid:e139a76b-9fcf-4527-b49d-2a1b4fcc61ba","http://resolver.tudelft.nl/uuid:e139a76b-9fcf-4527-b49d-2a1b4fcc61ba","Optimizing the balance between heterologous acetate- and CO2-reduction pathways in anaerobic cultures of Saccharomyces cerevisiae strains engineered for low-glycerol production","van Aalst, Aafke C.A. (Student TU Delft); Geraats, Ellen H. (Student TU Delft); Martini, M.L.A. (DSM); Mans, R. (TU Delft BT/Industriele Microbiologie); Pronk, J.T. (TU Delft BT/Biotechnologie)","","2023","In anaerobic Saccharomyces cerevisiae cultures, NADH (reduced form of nicotinamide adenine dinucleotide)-cofactor balancing by glycerol formation constrains ethanol yields. Introduction of an acetate-to-ethanol reduction pathway based on heterologous acetylating acetaldehyde dehydrogenase (A-ALD) can replace glycerol formation as 'redox-sink' and improve ethanol yields in acetate-containing media. Acetate concentrations in feedstock for first-generation bioethanol production are, however, insufficient to completely replace glycerol formation. An alternative glycerol-reduction strategy bypasses the oxidative reaction in glycolysis by introducing phosphoribulokinase (PRK) and ribulose-1,5-bisphosphate carboxylase/oxygenase (RuBisCO). For optimal performance in industrial settings, yeast strains should ideally first fully convert acetate and, subsequently, continue low-glycerol fermentation via the PRK-RuBisCO pathway. However, anaerobic batch cultures of a strain carrying both pathways showed inferior acetate reduction relative to a strain expressing only the A-ALD pathway. Complete A-ALD-mediated acetate reduction by a dual-pathway strain, grown anaerobically on 50 g L-1 glucose and 5 mmol L-1 acetate, was achieved upon reducing PRK abundance by a C-terminal extension of its amino acid sequence. Yields of glycerol and ethanol on glucose were 55% lower and 6% higher, respectively, than those of a nonengineered reference strain. The negative impact of the PRK-RuBisCO pathway on acetate reduction was attributed to sensitivity of the reversible A-ALD reaction to intracellular acetaldehyde concentrations.","acetate; biofuels; fermentation; NADH; redox cofactor balance","en","journal article","","","","","","","","","","BT/Biotechnologie","BT/Industriele Microbiologie","","",""
"uuid:02127509-5061-44d3-a004-e47ad8455011","http://resolver.tudelft.nl/uuid:02127509-5061-44d3-a004-e47ad8455011","Machine-learning-based nowcasting of the Vögelsberg deep-seated landslide: why predicting slow deformation is not so easy","van Natijne, A.L. (TU Delft Optical and Laser Remote Sensing); Bogaard, T.A. (TU Delft Water Resources); Zieher, Thomas (Austrian Academy of Sciences); Pfeiffer, Jan (Austrian Academy of Sciences); Lindenbergh, R.C. (TU Delft Optical and Laser Remote Sensing)","","2023","Landslides are one of the major weather-related geohazards. To assess their potential impact and design mitigation solutions, a detailed understanding of the slope processes is required. Landslide modelling is typically based on data-rich geomechanical models. Recently, machine learning has shown promising results in modelling a variety of processes. Furthermore, slope conditions are now also monitored from space, in wide-area repeat surveys from satellites. In the present study we tested if use of machine learning, combined with readily available remote sensing data, allows us to build a deformation nowcasting model. A successful landslide deformation nowcast, based on remote sensing data and machine learning, would demonstrate effective understanding of the slope processes, even in the absence of physical modelling. We tested our methodology on the Vögelsberg, a deep-seated landslide near Innsbruck, Austria. Our results show that the formulation of such a machine learning system is not as straightforward as often hoped for. The primary issue is the freedom of the model compared to the number of acceleration events in the time series available for training, as well as inherent limitations of the standard quality metrics such as the mean squared error. Satellite remote sensing has the potential to provide longer time series, over wide areas. However, although longer time series of deformation and slope conditions are clearly beneficial for machine-learning-based analyses, the present study shows the importance of the training data quality but also that this technique is mostly applicable to the well-monitored, more dynamic deforming landslides.","","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:535391af-58a0-4882-b2bb-44c21240b22d","http://resolver.tudelft.nl/uuid:535391af-58a0-4882-b2bb-44c21240b22d","Does enforcing glenohumeral joint stability matter?: A new rapid muscle redundancy solver highlights the importance of non-superficial shoulder muscles","Belli, I. (TU Delft Human-Robot Interaction); Joshi, S.D. (TU Delft Learning & Autonomous Control); Prendergast, J.M. (TU Delft Human-Robot Interaction); Beck, I.L.Y. (TU Delft Human-Robot Interaction); Della Santina, C. (TU Delft Learning & Autonomous Control; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Peternel, L. (TU Delft Human-Robot Interaction); Seth, A. (TU Delft Biomechatronics & Human-Machine Control)","","2023","The complexity of the human shoulder girdle enables the large mobility of the upper extremity, but also introduces instability of the glenohumeral (GH) joint. Shoulder movements are generated by coordinating large superficial and deeper stabilizing muscles spanning numerous degrees-of-freedom. How shoulder muscles are coordinated to stabilize the movement of the GH joint remains widely unknown. Musculoskeletal simulations are powerful tools to gain insights into the actions of individual muscles and particularly of those that are difficult to measure. In this study, we analyze how enforcement of GH joint stability in a musculoskeletal model affects the estimates of individual muscle activity during shoulder movements. To estimate both muscle activity and GH stability from recorded shoulder movements, we developed a Rapid Muscle Redundancy (RMR) solver to include constraints on joint reaction forces (JRFs) from a musculoskeletal model. The RMR solver yields muscle activations and joint forces by minimizing the weighted sum of squared-activations, while matching experimental motion. We implemented three new features: first, computed muscle forces include active and passive fiber contributions; second, muscle activation rates are enforced to be physiological, and third, JRFs are efficiently formulated as linear functions of activations. Muscle activity from the RMR solver without GH stability was not different from the computed muscle control (CMC) algorithm and electromyography of superficial muscles. The efficiency of the solver enabled us to test over 3600 trials sampled within the uncertainty of the experimental movements to test the differences in muscle activity with and without GH joint stability enforced. We found that enforcing GH stability significantly increases the estimated activity of the rotator cuff muscles but not of most superficial muscles. Therefore, a comparison of shoulder model muscle activity to EMG measurements of superficial muscles alone is insufficient to validate the activity of rotator cuff muscles estimated from musculoskeletal models.","optimization; muscle redundancy; musculoskeletal modeling; shoulder","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:39e2b424-f514-4f48-a287-77d0800569fc","http://resolver.tudelft.nl/uuid:39e2b424-f514-4f48-a287-77d0800569fc","Environmental Urban Morphology: A Multidisciplinary Methodology for the Analysis of Public Spaces in Dense Urban Fabrics","Maretto, Marco (University of Parma); Gherri, Barbara (University of Parma); Maiullari, D. (TU Delft Environmental Technology and Design); Vernizzi, Chiara (University of Parma); Pitanti, Greta (Sapienza University of Rome); Finizza, Chiara (University of Parma); Monacelli, Alice (Sapienza University of Rome)","","2023","A city is an organism made of social, economic, cultural, and environmental fabrics, the interactions of which determine the form and functioning of city life. Different disciplines are then involved in analyzing the complex processes of the 21st-century city. The aim of this study was to explore the use of an analytical method that can act as a catalyst for the main players involved in the environmental urban morphology (EUM). This multidisciplinary methodology focuses on the study of public space in dense urban fabrics as a key context for understanding a city. Operationally, the work shows the potential of integrating morphological analysis, pedestrian flow analysis, and environmental analysis and applying them in dense and compact urban fabrics. The first of these analyses methods was carried out using urban survey tools and the geographic information system (GIS) in order to detect the physical forms of the city and develop a number of morphological maps. The second, using the global positioning system (GPS) and on-site detectors, maps pedestrian movement within public spaces. The latter mainly focuses on the microclimatic analysis of public spaces and outdoor comfort, carried out using environmental software such as ENVI-met (4.4 version). The ultimate goal of this study was to achieve the definition of a dynamic, multidisciplinary, and multilayer methodology for the analysis of dense urban fabrics which we believe could be very useful for addressing the regenerative processes of the contemporary city.","public open spaces; urban morphology; pedestrian flows; environmental analysis","en","journal article","","","","","","","","","","","Environmental Technology and Design","","",""
"uuid:2520a413-58fb-4028-8ce0-802a30741392","http://resolver.tudelft.nl/uuid:2520a413-58fb-4028-8ce0-802a30741392","The collective realms in the Chinese city: Towards an alternative framework for public space","Sun, W. (TU Delft Urban Design)","","2023","A western discourse of public space, originating in ancient Greece and Rome, has been widely used in architectural and urban research in China and is constantly contested in this geographical and cultural context. The contestation often stems from the cultural differences in understanding and operating the collective realms in Chinese and western cities, which is manifested through the distinctive features of their public spaces. This essay frames an alternative perspective on public space in the Chinese context by exploring the cultural, social, and spatial constructions of collective realms in the Chinese city. Starting from the conceptual origin of the ‘public’ in Chinese philosophy, this paper elaborates on three culturally grounded ideas related to collective patterns of space creation and practice – the relational circle, the realm of strangers, and the marketplace – and examines how these ideas are articulated by architectural and urban archetypes and in urban developments in Chinese cities.","Chinese city; collective realms; realm of strangers; relational circle; marketplace; public space","en","journal article","","","","","","","","","","","Urban Design","","",""
"uuid:30d2949b-c2cf-47c1-8932-bd1b895ec5d2","http://resolver.tudelft.nl/uuid:30d2949b-c2cf-47c1-8932-bd1b895ec5d2","A resilience view on health system resilience: a scoping review of empirical studies and reviews","Copeland, S.M. (TU Delft Ethics & Philosophy of Technology); Hinrichs-Krapels, S. (TU Delft Policy Analysis); Fecondo, Federica (Student TU Delft); Santizo, Esteban Ralon (Student TU Delft); Bal, Roland (Erasmus Universiteit Rotterdam); Comes, M. (TU Delft Transport and Logistics)","","2023","BACKGROUND: Prompted by recent shocks and stresses to health systems globally, various studies have emerged on health system resilience. Our aim is to describe how health system resilience is operationalised within empirical studies and previous reviews. We compare these to the core conceptualisations and characteristics of resilience in a broader set of domains (specifically, engineering, socio-ecological, organisational and community resilience concepts), and trace the different schools, concepts and applications of resilience across the health literature. METHODS: We searched the Pubmed database for concepts related to 'resilience' and 'health systems'. Two separate analyses were conducted for included studies: a total of n = 87 empirical studies on health system resilience were characterised according to part of health systems covered, type of threat, resilience phase, resilience paradigm, and approaches to building resilience; and a total of n = 30 reviews received full-text review and characterised according to type of review, resilience concepts identified in the review, and theoretical framework or underlying resilience conceptualisation. RESULTS: The intersection of health and resilience clearly has gained importance in the academic discourse with most papers published since 2018 in a variety of journals and in response to external threats, or in reference to more frequent hospital crisis management. Most studies focus on either resilience of health systems generally (and thereby responding to an external shock or stress), or on resilience within hospitals (and thereby to regular shocks and operations). Less attention has been given to community-based and primary care, whether formal or informal. While most publications do not make the research paradigm explicit, 'resilience engineering' is the most prominent one, followed by 'community resilience' and 'organisational resilience'. The social-ecological systems roots of resilience find the least application, confirming our findings of the limited application of the concept of transformation in the health resilience literature. CONCLUSIONS: Our review shows that the field is fragmented, especially in the use of resilience paradigms and approaches from non-health resilience domains, and the health system settings in which these are used. This fragmentation and siloed approach can be problematic given the connections within and between the complex and adaptive health systems, ranging from community actors to local, regional, or national public health organisations to secondary care. Without a comprehensive definition and framework that captures these interdependencies, operationalising, measuring and improving resilience remains challenging.","Community resilience; Health systems; Resilience; Resilience engineering; Socio-ecological resilience; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:c74377a0-dc17-4f2d-ae0c-223b1b26e92d","http://resolver.tudelft.nl/uuid:c74377a0-dc17-4f2d-ae0c-223b1b26e92d","Numerical generation of omnistrain failure envelopes","Elalfy, M.H.I. (TU Delft Aerospace Structures & Computational Mechanics; Zewail City of Science and Technology); Abdalla, Mostafa M. (Zewail City of Science and Technology); Abuelfoutouh, Nader (Cairo University)","","2023","Traditional failure criteria for composites are usually formulated in material coordinates and depend on all three inplane stresses, hence failure evaluation depends on the ply angle. The omnistrain failure envelope describes the most critical failure envelope in strain space irrespective of ply orientation. This independence of ply orientation leads to an isotropic failure criterion that depends only on the principal strains. Omnistrain envelopes greatly simplify the task of design and optimisation of composite laminates. This paper proposes a numerical technique to generate omnistrain failure envelopes for different composite failure criteria. The failure index, describing how far a point in strain space is from the failure boundary, is used to describe the failure surface. Assuming convexity of the failure surface, a set of points is generated on the surface, and the convex hull algorithm is used to generate a polygonal approximation of the failure surface. Representing strains in terms of principal strains and the angle between the principal and material coordinates, allows us to eliminate the angle analytically by considering the worst case condition. The omnistrain envelope is thus directly generated from the approximate three-dimensional failure surface. The proposed algorithm does not require analytic expressions of the failure surface. An adaptive algorithm is proposed to generate the omnistrain envelope with relatively small number of points. As demonstration of the proposed algorithm, the omnistrain envelopes for various composite materials are generated for a number of composite failure criteria. The omnistrain envelopes generated for the Tsai-Wu criteria accurately match to existing analytic expressions.","Composite failure; omnistrain envelope","en","journal article","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:9dcfffff-e6e3-46d3-93b4-b6777b3fa334","http://resolver.tudelft.nl/uuid:9dcfffff-e6e3-46d3-93b4-b6777b3fa334","Technical Report: A Comprehensive Comparison between Different Quantification Versions of Nightingale Health’s 1H-NMR Metabolomics Platform","Bizzarri, D. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Reinders, M.J.T. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Beekman, Marian (Leiden University Medical Center); Slagboom, P. Eline (Leiden University Medical Center; Max Planck Institute for Biology of Ageing); van den Akker, E.B. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center)","","2023","1H-NMR metabolomics data is increasingly used to track health and disease. Nightingale Health, a major supplier of 1H-NMR metabolomics, has recently updated the quantification strategy to further align with clinical standards. Such updates, however, might influence backward replicability, particularly affecting studies with repeated measures. Using data from BBMRI-NL consortium (~28,000 samples from 28 cohorts), we compared Nightingale data, originally released in 2014 and 2016, with a re-quantified version released in 2020, of which both versions were based on the same NMR spectra. Apart from two discontinued and twenty-three new analytes, we generally observe a high concordance between quantification versions with 73 out of 222 (33%) analytes showing a mean ρ > 0.9 across all cohorts. Conversely, five analytes consistently showed lower Spearman’s correlations (ρ < 0.7) between versions, namely acetoacetate, LDL-L, saturated fatty acids, S-HDL-C, and sphingomyelins. Furthermore, previously trained multi-analyte scores, such as MetaboAge or MetaboHealth, might be particularly sensitive to platform changes. Whereas MetaboHealth replicated well, the MetaboAge score had to be retrained due to use of discontinued analytes. Notably, both scores in the re-quantified data recapitulated mortality associations observed previously. Concluding, we urge caution in utilizing different platform versions to avoid mixing analytes, having different units, or simply being discontinued.","NMR metabolomics; epidemiology; re-quantification; multivariate risk models; nightingale health","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:9aa45ff6-8de0-455f-903b-2ced8af5857d","http://resolver.tudelft.nl/uuid:9aa45ff6-8de0-455f-903b-2ced8af5857d","Bicycle Data-Driven Application Framework: A Dutch Case Study on Machine Learning-Based Bicycle Delay Estimation at Signalized Intersections Using Nationwide Sparse GPS Data","Yuan, Y. (TU Delft Transport and Planning); Wang, Kaiyi (Universiteit van Amsterdam); Duives, D.C. (TU Delft Transport and Planning); Hoogendoorn, S.P. (TU Delft Transport and Planning); Hoogendoorn-Lanser, S. (TU Delft Corporate Innovations); Lindeman, Rick (Rijkswaterstaat)","","2023","Data-driven approaches are helpful for quantitative justification and performance evaluation. The Netherlands has made notable strides in establishing a national protocol for bicycle traffic counting and collecting GPS cycling data through initiatives such as the Talking Bikes program. This article addresses the need for a generic framework to harness cycling data and extract relevant insights. Specifically, it focuses on the application of estimating average bicycle delays at signalized intersections, as this is an essential variable in assessing the performance of the transportation system. This study evaluates machine learning (ML)-based approaches using GPS cycling data. The dataset provides comprehensive yet incomplete information regarding one million bicycle rides annually across The Netherlands. These ML models, including random forest, k-nearest neighbor, support vector regression, extreme gradient boosting, and neural networks, are developed to estimate bicycle delays. The study demonstrates the feasibility of estimating bicycle delays using sparse GPS cycling data combined with publicly accessible information, such as weather information and intersection complexity, leveraging the burden of understanding local traffic conditions. It emphasizes the potential of data-driven approaches to inform traffic management, bicycle policy, and infrastructure development.","data-driven bicycle applications; GPS cycling data; machine learning; bicycle delays; signalized intersections","en","journal article","","","","","","","","","","Transport and Planning","Transport and Planning","","",""
"uuid:b1f822ad-3f40-4a00-a8dd-765ae0dcbb54","http://resolver.tudelft.nl/uuid:b1f822ad-3f40-4a00-a8dd-765ae0dcbb54","Report on the Dagstuhl Seminar on Frontiers of Information Access Experimentation for Research and Education","Bauer, Christine (Paris Lodron University Salzburg); Carterette, Ben (University of Delaware); Ferro, Nicola (University of Padua); Fuhr, Norbert (Universität Duisburg-Essen); Beel, Joeran (University of Siegen); Breuer, Timo (Technische Hochschule Köln); Clarke, Charles L. A. (University of Waterloo); Dietz, Laura (University of New Hampshire); Urbano, Julián (TU Delft Multimedia Computing)","","2023","This report documents the program and the outcomes of Dagstuhl Seminar 23031 ""Frontiers of Information Access Experimentation for Research and Education"", which brought together 38 participants from 12 countries. The seminar addressed technology-enhanced information access (information retrieval, recommender systems, natural language processing) and specifically focused on developing more responsible experimental practices leading to more valid results, both for research as well as for scientific education.The seminar featured a series of long and short talks delivered by participants, who helped in setting a common ground and in letting emerge topics of interest to be explored as the main output of the seminar. This led to the definition of five groups which investigated challenges, opportunities, and next steps in the following areas: reality check, i.e. conducting real-world studies, human-machine-collaborative relevance judgment frameworks, overcoming methodological challenges in information retrieval and recommender systems through awareness and education, results-blind reviewing, and guidance for authors.Date: 15--20 January 2023.Website: https://www.dagstuhl.de/23031.","","en","journal article","","","","","","","","","","","Multimedia Computing","","",""
"uuid:69d1c212-4882-4909-8f89-f81a1ff80685","http://resolver.tudelft.nl/uuid:69d1c212-4882-4909-8f89-f81a1ff80685","Choices for temporal gravity field modeling for precision orbit determination of CryoSat-2","Schrama, Ernst (TU Delft Astrodynamics & Space Missions); Visser, P.N.A.M. (TU Delft Space Engineering)","","2023","In this paper we review the precision orbit determination (POD) performance of the CryoSat-2 mission where we used all tracking data between June-2010 and Jan-2023; with station and beacon coordinates provided in the ITRF2020 reference system, we use a mean gravity model, and we use spacecraft specific models for modeling drag and radiation pressure. To model time variable gravity (TVG) we distinguish between two components, there is a short term oceanic and atmospheric part for which we use the AOD1B model; for the longer term part we employ GRACE and GRACE-FO monthly potential coefficient solutions. Our experience is that adding TVG information is not necessarily successful during POD, and that attention must be paid to the proper processing of the GRACE and GRACE-FO data. To demonstrate this property we define four runs where we gradually implement TVG information. An evaluation criterion is the level of POD tracking residuals, the level of the empirical accelerations, and a comparison to precision orbit ephemeris provided by the Centre National d'Etudes Spatiales (CNES). Unexplained empirical accelerations found during POD are on the level of 3 nm/s 2 for the along-track component and 13 nm/s 2 for the cross-track component. The laser residuals converge at approximately 1.02 cm and the Doppler residuals are on the level of 0.406 mm/s, the radial orbit difference to the CNES POE-F (Precision Orbit Ephemeris version F) orbits narrows to 6.5 mm. Tracking residuals are not evenly distributed for DORIS (Doppler Orbitography and Radiopositioning Integrated by Satellite) beacons, the South Atlantic Anomaly effect is for instance clearly visible in the first empirical orthogonal function EOF mode of monthly binned DORIS residuals. After consideration of all possible TVG approaches our conclusion is that 3 hourly AOD1B model fields result in a small but visible improvement. The addition of TVG from GRACE and GRACE-FO is implemented in two different ways from which we can select a version that does lead to a reduction in the Doppler tracking residuals and which does reduce the level of solved for empirical accelerations.","Orbit determination; Temporal gravity modelling; Performance analysis","en","journal article","","","","","","","","","","Space Engineering","Astrodynamics & Space Missions","","",""
"uuid:9959e3bc-c3d2-45ab-bd41-3b5b5485a65c","http://resolver.tudelft.nl/uuid:9959e3bc-c3d2-45ab-bd41-3b5b5485a65c","Receptiveness angle: A new surrogate safety measure for monitoring traffic safety","Raju, Narayana (TU Delft Transport and Planning); Arkatkar, Shriniwas (Sardar Vallabhbhai National Institute of Technology); Easa, Said (Toronto Metropolitan University)","","2023","This paper presents a framework for monitoring highway traffic-stream measures using quality trajectory data of mixed (heterogeneous) traffic. The framework includes a new measure that reflects the attentiveness of the follower driver, called receptiveness angle, in the vehicle-following process. This measure is integrated with the traditional measures (distance gap between the leader and follower vehicles and their speeds) to model the probabilistic rear-end collision interactions between the two vehicles. To verify the proposed framework, two road sections in India with mixed traffic conditions, located along the same road, were used. One section has no construction activity (base section) and the other has construction activity. The verification consisted of two tasks. First, to trace the movements of the vehicles, trajectory data over the study sections were developed for three traffic-flow levels, where two flow levels between the two sections were comparable. Second, the trajectory data were used to verify the proposed framework which was evaluated for the traffic streams of the two sections at the three traffic-flow levels. The results showed that smaller vehicles in the traffic stream exhibited a higher receptiveness angle (paid less attention) compared to other vehicle classes. Interestingly, the study revealed variations in safety among the three traffic-flow levels. It was observed that the traffic stream was safer at stop-and-go conditions than at other flow conditions. Furthermore, due to the pre-cautioning measures for the construction section, vehicles in this section were more attentive than those in the base section.","Receptiveness angle; Trajectory data; Mixed traffic; Construction zone; Monitoring; Traffic-flow levels","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:bd7b5385-3f35-4f8a-a8b7-bd0e8acc10da","http://resolver.tudelft.nl/uuid:bd7b5385-3f35-4f8a-a8b7-bd0e8acc10da","Delft: Views on Delft","Tanis, F. (Het Nieuwe Instituut Rotterdam); Sioli, A. (TU Delft Situated Architecture); Staničić, Aleksandar (TU Delft Situated Architecture); Havik, K.M. (TU Delft Situated Architecture); Dale, H.E. (TU Delft Situated Architecture); Vogel, W.C. (TU Delft Situated Architecture); de Wit, S.I. (TU Delft Landscape Architecture); Mejia Hernandez, J.A. (TU Delft Situated Architecture); Pérez Guembe, E. (TU Delft Situated Architecture); Pllumbi, Dorina (TU Delft Situated Architecture); Hawkins, J.A. (Strasbourg School of Architecture)","","2023","Around 1661, Johannes Vermeer painted what has become one of the most famous city views: the View of Delft. The city of Delft is depicted from across the water of the River Schie. We see the city as a collection of brick buildings with lower and higher towers, peaking into the sky, and being reflected in the water of the river. The light looks alive: despite the clouds it is bright, setting the buildings of Delft and the riverbank in the foreground in a palpable warmth. Delft, an intermediate European city in the province of South Holland, between The Hague and Rotterdam, has featured quite prominently in Dutch city narratives, partially thanks to Vermeer’s paintings, which showed fragments of both spatial and social characteristics of the city in the sev-enteenth century. In the same period, biologist Anthoni van Leeuwenhoek experimented with lenses and built a microscope, which led to the discovery of the micro-world of cells and bacteria. The city’s small streets, the canals, the church towers and the market squares still remind us of the times of Vermeer and Van Leeuwenhoek. But Delft today, as a centre of trade, knowl-edge and art, is a very vibrant city, with the University of Technology as one of its most celebrated contemporary inhabitants. The TU Delft is recog-nized around the world for educating progressive thinkers and innovators in varied engineering fields, while its Faculty of Architecture has raised, and keeps raising, inspired generations of architects and designers. As Delft is the city where this Writing Urban Place network originated, and where many members of the network have lived, studied or lectured, or are still doing all the above, we have asked our Delft-related colleagues for their views on Delft, painting for our readers, in words, their accounts of the sociospatial characteristics of this city, their relationship with the water, their favourite urban places, their personal Views of Delft.","","en","journal article","","","","","","","","","","","Situated Architecture","","",""
"uuid:80954628-6b2e-4048-bb97-0cd165c08544","http://resolver.tudelft.nl/uuid:80954628-6b2e-4048-bb97-0cd165c08544","Predicting turbulent dynamics with the convolutional autoencoder echo state network","Racca, Alberto (University of Cambridge; Imperial College London); Doan, Nguyen Anh Khoa (TU Delft Aerodynamics); Magri, Luca (Imperial College London; The Alan Turing Institute; University of Cambridge)","","2023","The dynamics of turbulent flows is chaotic and difficult to predict. This makes the design of accurate reduced-order models challenging. The overarching objective of this paper is to propose a nonlinear decomposition of the turbulent state to predict the flow based on a reduced-order representation of the dynamics. We divide the turbulent flow into a spatial problem and a temporal problem. First, we compute the latent space, which is the manifold onto which the turbulent dynamics live. The latent space is found by a series of nonlinear filtering operations, which are performed by a convolutional autoencoder (CAE). The CAE provides the decomposition in space. Second, we predict the time evolution of the turbulent state in the latent space, which is performed by an echo state network (ESN). The ESN provides the evolution in time. Third, by combining the CAE and the ESN, we obtain an autonomous dynamical system: The CAE-ESN. This is the reduced-order model of the turbulent flow. We test the CAE-ESN on the two-dimensional Kolmogorov flow and the three-dimensional minimal flow unit. We show that the CAE-ESN: (i) finds a latent-space representation of the turbulent flow that has of the degrees of freedom than the physical space; (ii) time-accurately and statistically predicts the flow at different Reynolds numbers; and (iii) takes computational time to predict the flow with respect to solving the governing equations. This work opens possibilities for nonlinear decomposition and reduced-order modelling of turbulent flows from data.","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:df125f34-2ed7-47b8-8b9b-7955eb677054","http://resolver.tudelft.nl/uuid:df125f34-2ed7-47b8-8b9b-7955eb677054","Synergistic Toughening of Epoxy through Layered Poly(ether imide) with Dual-Scale Morphologies","Teuwen, Julie J.E. (TU Delft Aerospace Structures & Materials); Farooq, U. (TU Delft Aerospace Structures & Materials); Alderliesten, R.C. (TU Delft Aerospace Structures & Materials); Dransfeld, C.A. (TU Delft Aerospace Manufacturing Technologies; TU Delft Aerospace Structures & Materials)","","2023","Toughness of epoxies is commonly improved by adding thermoplastic phases, which is achieved through dissolution and phase separation at the microscale. However, little is known about the synergistic effects of toughening phases on multiple scales. Therefore, here, we study the toughening of epoxies with layered poly(ether imide) (PEI) structures at the meso- to macroscale combined with gradient morphologies at the microscale originating from reaction-induced phase separation. Characteristic features of the gradient morphology were controlled by the curing temperature (120–200 °C), while the layered macro structure originates from facile scaffold manufacturing techniques with varying poly(ether imide) layer thicknesses (50–120 μm). The fracture toughness of the modified epoxy system is investigated as a function of varying cure temperature (120–200 °C) and PEI film thickness (50–120 μm). Interestingly, the result shows that the fracture toughness of modified epoxy was mainly controlled by the macroscopic feature, being the final PEI layer thickness, i.e., film thickness remaining after partial dissolution and curing. Remarkably, as the PEI layer thickness exceeds the plastic zone around the crack tip, around 62 μm, the fracture toughness of the dual scale morphology exceeds the property of bulk PEI in addition to a 3 times increase in the property of pure epoxy. On the other hand, when the final PEI thickness was smaller than 62 μm, the fracture toughness of the modified epoxy was lower than pure PEI but still higher than pure epoxy (1.5–2 times) and “bulk toughened” system with the same volume percentage, which indicates the governing mechanism relating to microscale interphase morphology. Interestingly, decreasing the gradient microscale interphase morphology can be used to trigger an alternative failure mode with a higher crack tortuosity. By combining facile scaffold assemblies with reaction-induced phase separation, dual-scale morphologies can be tailored over a wide range, leading to intricate control of fracture mechanisms with a hybrid material exceeding the toughness of the tougher phase.","reaction-induced phase separation; fracture toughness; epoxy; poly(ether imide) (PEI); interphase formation; morphology","en","journal article","","","","","","","","","","Aerospace Structures & Materials","Aerospace Manufacturing Technologies","","",""
"uuid:02b2c374-c7df-44b8-9c9b-a9ac2879257b","http://resolver.tudelft.nl/uuid:02b2c374-c7df-44b8-9c9b-a9ac2879257b","Continued Stabilization of a Cementless 3D-Printed Total Knee Arthroplasty: Five-Year Results of a Randomized Controlled Trial Using Radiostereometric Analysis","van der Lelij, T.J.N. (Leiden University Medical Center); Marang-van de Mheen, P.J. (Leiden University Medical Center); Kaptein, B.L. (Leiden University Medical Center); Toksvig-Larsen, Sören (Hässleholm Hospital; Lund University); Nelissen, R.G.H.H. (Leiden University Medical Center)","","2023","BACKGROUND: Three-dimensional (3D) printing of highly porous orthopaedic implants aims to promote better osseointegration, thus preventing aseptic loosening. However, short-term radiostereometric analysis (RSA) after total knee arthroplasty (TKA) has shown higher initial migration of cementless 3D-printed tibial components compared with their cemented counterparts. Therefore, critical evaluation of longer-term tibial component migration is needed. We investigated migration of a cementless 3D-printed and a cemented tibial component with otherwise similar TKA design during 5 years of follow-up, particularly the progression in migration beyond 2 years postoperatively. METHODS: Seventy-two patients were randomized to a cementless 3D-printed Triathlon Tritanium (Stryker) cruciate-retaining (CR) TKA or a cemented Triathlon CR (Stryker) TKA implant. Implant migration was evaluated with RSA at baseline and postoperatively at 3 months and at 1, 2, and 5 years. The maximum total point motion (MTPM) of the tibial component was compared between the groups at 5 years, and progression in migration was assessed between 2 and 5 years. Individual implants were classified as continuously migrating if the MTPM was ≥0.1 mm/year beyond 2 years postoperatively. Clinical scores were evaluated, and a linear mixed-effects model was used to analyze repeated measurements. RESULTS: At 5 years, the mean MTPM was 0.66 mm (95% confidence interval [CI], 0.56 to 0.78 mm) for the cementless group and 0.53 mm (95% CI, 0.43 to 0.64 mm) for the cemented group (p = 0.09). Between 2 and 5 years, there was no progression in mean MTPM for the cementless group (0.02 mm; 95% CI, -0.06 to 0.10 mm) versus 0.07 mm (95% CI, 0.00 to 0.14) for the cemented group. One implant was continuously migrating in the cementless group, and 4 were continuously migrating in the cemented group. The clinical scores were comparable between the groups across the entire time of follow-up. CONCLUSIONS: No significant difference in mean migration was found at 5 years between the cementless and cemented TKA implants. Progression of tibial component migration was present beyond 2 years for the cemented implant, whereas the cementless implant remained stable after initial early migration. LEVEL OF EVIDENCE: Therapeutic Level I . See Instructions for Authors for a complete description of levels of evidence.","","en","journal article","","","","","","","","","","","","","",""
"uuid:1ade28cb-3a3a-4924-a2c0-068a0f6b44a9","http://resolver.tudelft.nl/uuid:1ade28cb-3a3a-4924-a2c0-068a0f6b44a9","Opkomende technologie met impact","Calvert, S.C. (TU Delft Transport and Planning)","","2023","Automatische voertuigen bieden grote kansen op het gebied
van toegankelijkheid, mobiliteit en verkeersveiligheid, als we
de risico’s op tijd onder ogen zien, zegt Simeon Calvert.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-13","","","Transport and Planning","","",""
"uuid:9063a9c5-ddd6-472d-9923-4a079fe42d07","http://resolver.tudelft.nl/uuid:9063a9c5-ddd6-472d-9923-4a079fe42d07","Wastewater-Based Nutrient Supply for Lettuce Production in the Infulene Valley, Maputo, Mozambique","Niquice, Celma (TU Delft Sanitary Engineering; Eduardo Mondlane University); Marques Arsenio, A. (TU Delft Sanitary Engineering); van Lier, J.B. (TU Delft Sanitary Engineering)","","2023","This research investigated the contribution of wastewater-based nutrient supply, viz., nitrogen (N), phosphorous (P), and potassium (K), for lettuce production in the Infulene Valley, Mozambique, from July to September 2019. The research was conducted in groundwater- and wastewater-irrigated agricultural plots. Water samples were collected weekly, soil samples were collected before planting and after harvest, and lettuce samples were collected at harvest time. The nutrient content (N, P, and K) was measured, and a mass balance method was applied. Wastewater had distinctly higher nutrient contents than groundwater, which guaranteed crop nutrition during the growing stage. Wastewater contributed 88%, 96%, and 97% to the N, P, and K requirements, respectively. The crop yield in the wastewater-irrigated areas was 43,8 ± 16 tons/ha, which was higher than 35 ± 8 tons/ha observed for the groundwater-irrigated areas, but results showed no statistically significant differences. Conclusively, wastewater led to reduced soil-nutrient gap and can be a source of nutrients. Therefore, wastewater is regarded as an alternative nutrient source of interest, and if properly applied, it might reduce environmental health hazards, resulting from run-off or leaching of excess nutrients","wastewater; wastewater nutrients; lettuce production; nutrient balance","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:774bc72d-2d80-4695-8c85-b9efaa0015b6","http://resolver.tudelft.nl/uuid:774bc72d-2d80-4695-8c85-b9efaa0015b6","Universal differential equations for glacier ice flow modelling","Bolibar, J. (TU Delft Physical and Space Geodesy; Universiteit Utrecht); Sapienza, Facundo (University of California); Maussion, Fabien (University of Innsbruck; University of Bristol); Lguensat, Redouane (Sorbonne Université); Wouters, B. (TU Delft Physical and Space Geodesy; Universiteit Utrecht); Perez, Fernando (University of California)","","2023","Geoscientific models are facing increasing challenges to exploit growing datasets coming from remote sensing. Universal differential equations (UDEs), aided by differentiable programming, provide a new scientific modelling paradigm enabling both complex functional inversions to potentially discover new physical laws and data assimilation from heterogeneous and sparse observations. We demonstrate an application of UDEs as a proof of concept to learn the creep component of ice flow, i.e. a nonlinear diffusivity differential equation, of a glacier evolution model. By combining a mechanistic model based on a two-dimensional shallow-ice approximation partial differential equation with an embedded neural network, i.e. a UDE, we can learn parts of an equation as nonlinear functions that then can be translated into mathematical expressions. We implemented this modelling framework as ODINN.jl, a package in the Julia programming language, providing high performance, source-to-source automatic differentiation (AD) and seamless integration with tools and global datasets from the Open Global Glacier Model in Python. We demonstrate this concept for 17 different glaciers around the world, for which we successfully recover a prescribed artificial law describing ice creep variability by solving ∼ 500 000 ordinary differential equations in parallel. Furthermore, we investigate which are the best tools in the scientific machine learning ecosystem in Julia to differentiate and optimize large nonlinear diffusivity UDEs. This study represents a proof of concept for a new modelling framework aiming at discovering empirical laws for large-scale glacier processes, such as the variability in ice creep and basal sliding for ice flow, and new hybrid surface mass balance models.","","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:fc6a556b-6541-40aa-bf1a-6b19c730880a","http://resolver.tudelft.nl/uuid:fc6a556b-6541-40aa-bf1a-6b19c730880a","CryoSat Long-Term Ocean Data Analysis and Validation: Final Words on GOP Baseline-C","Naeije, M.C. (TU Delft Astrodynamics & Space Missions); Di Bella, Alessandro (ESRIN); Geminale, Teresa (Defence & Aerospace Digital Factory); Visser, P.N.A.M. (TU Delft Space Engineering)","","2023","ESA’s Earth explorer mission CryoSat-2 has an ice-monitoring objective, but it has proven to also be a valuable source of observations for measuring impacts of climate change over oceans. In this paper, we report on our long-term ocean data analysis and validation and give our final words on CryoSat-2’s Geophysical Ocean Products (GOP) Baseline-C. The validation is based on a cross comparison with concurrent altimetry and with in situ tide gauges. The highlights of our findings include GOP Baseline-C showing issues with the ionosphere and pole tide correction. The latter gives rise to an east–west pattern in range bias. Between Synthetic Aperture Radar (SAR) and Low-Resolution Mode (LRM), a 1.4 cm jump in range bias is explained by a 0.5 cm jump in sea state bias, which relates to a significant wave height SAR-LRM jump of 10.5 cm. The remaining 0.9 cm is due to a range bias between ascending and descending passes, exhibiting a clear north–south pattern and ascribed to a timing bias of +0.367 ms, affecting both time-tag and elevation. The overall range bias of GOP Baseline-C is established at −2.9 cm, referenced to all calibrated concurrent altimeter missions. The bias drift does not exceed 0.2 mm/yr, leading to the conclusion that GOP Baseline-C is substantially stable and measures up to the altimeter reference missions. This is confirmed by tide gauge comparison with a selected set of 309 PSMSL tide gauges over 2010–2022: we determined a correlation of R = 0.82, a mean standard deviation of (Formula presented.) cm (common reference and GIA corrected), and a drift of 0.17 mm/yr. In conclusion, the quality, continuity, and reference of GOP Baseline-C is exceptionally good and stable over time, and no proof of any deterioration or platform aging has been found. Any improvements for the next CryoSat-2 Baselines could come from sea state bias optimization, ionosphere and pole tide correction improvement, and applying a calibrated value for any timing biases.","altimetry; CAL/VAL; bias; CryoSat-2; GOP Baseline-C; cross-over and tide gauge analyses","en","journal article","","","","","","","","","","Space Engineering","Astrodynamics & Space Missions","","",""
"uuid:53bbf87c-3bfa-4acb-b080-c83530214170","http://resolver.tudelft.nl/uuid:53bbf87c-3bfa-4acb-b080-c83530214170","Big Shifts in Spatial Planning in The Netherlands","Newton, C.E.L. (TU Delft Spatial Planning and Strategy); Yu, Hsinko Cinco (TU Delft Spatial Planning and Strategy); Czischke, D.K. (TU Delft Real Estate Management); Bracken, G. (TU Delft Spatial Planning and Strategy); Goncalves, J. E. (TU Delft Spatial Planning and Strategy); Höller, L. (TU Delft Spatial Planning and Strategy); Dabrowski, M.M. (TU Delft Spatial Planning and Strategy); Qu, L. (TU Delft Spatial Planning and Strategy); Rooij, R.M. (TU Delft Spatial Planning and Strategy); Rocco, Roberto (TU Delft Spatial Planning and Strategy); Viseu Cardoso, Rodrigo (TU Delft Spatial Planning and Strategy); Chereni, S.C. (TU Delft Spatial Planning and Strategy); Balz, Verena Elisabeth (TU Delft Spatial Planning and Strategy)","","2023","Based on the understanding of the built environment as result of competing claims on space that must be resolved via recognition, fair distribution of burdens and benefits of our human association, respect and care for the planet and just procedures to decide on those claims, Spatial Planning and Strategy is a chair in the Department of Urbanism within the Faculty of Architecture and the Built Environment of the Delft University of Technology, committed to helping create sustainability, resilience and spatial justice through the implementation of the New Urban Agenda, the Paris Climate Agreement and the European New Deal, among other frameworks. This commitment is reflected in activities, events, and courses. We are concerned with knowledge about the formulation, implementation, and evaluation of strategic and urban planning tools – visions, strategies, plans and programmes.","Dutch Spatial Planning; Spatial Quality; Governance; Citizen Participation; Territorial Development; Regional Planning & Design; Housing","en","journal article","","","","","","ISBN 978-94-6366-750-0","","","","","Spatial Planning and Strategy","","",""
"uuid:d5c30345-9ddf-46e5-a0d2-9e2f45ce4115","http://resolver.tudelft.nl/uuid:d5c30345-9ddf-46e5-a0d2-9e2f45ce4115","Does the sun shine for all? Inequalities in the energy transition in The Hague","Kraaijvanger, C.W. (TU Delft Policy Analysis); Verma, T. (TU Delft Policy Analysis); Doorn, N. (TU Delft Ethics & Philosophy of Technology); Goncalves, J. E. (TU Delft Spatial Planning and Strategy)","","2023","Over the last decade, solar energy has proven to be a key technology in transitioning to a sustainable energy system. However, current solar energy policies favour affluent households, limiting the participation of disadvantaged households in the energy transition. This leaves disadvantaged households even more vulnerable to increasing energy costs, as the recent unprecedented rise in energy prices has painfully demonstrated. To ensure that transition mechanisms are accessible to all households, solar energy policy needs to consider spatial justice. With this perspective, we go beyond technical analyses of solar energy potential and use a socio-spatial approach to evaluate the adoption of solar energy in The Hague. This policy brief is based on a research study that evaluated the transition to solar energy in the city of The Hague, The Netherlands, from a spatial justice perspective. Through a socio-spatial analysis at the postcode level, the research identified four distinct groups with varying levels of access to solar energy. The results show that these groups are not only strongly segregated across the city but also overlap with existing socio-spatial inequalities. The four levels of access to solar energy are then compared to current solar adoption rates and technical rooftop energy potential in the city. Results show that decreasing levels of access to solar energy align with decreasing adoption rates, revealing that current policies fail to provide equitable access to solar energy, leading to inequalities in adoption rates. Furthermore, the study quantifies how much of the technical potential available in The Hague is in areas where access to solar energy is limited, revealing a significant amount of untapped technical potential with the potential to address existing socio-spatial inequalities. Finally, two groups of interest and related leverage points for future policy interventions to address equity in the transition to solar energy in The Hague were identified.","Solar energy; Energy Transition; Spatial Justice; Low-income Neighborhoods Access to Solar Energy; Solar Adoption Rates; Socio-spatial Inequalities","en","journal article","","","","","","ISBN 978-94-6366-772-2","","","","","Policy Analysis","","",""
"uuid:bc26bb68-a431-4f5c-bd10-7f83e3cc565d","http://resolver.tudelft.nl/uuid:bc26bb68-a431-4f5c-bd10-7f83e3cc565d","Placing Urban Writings: Narrative Technology and Possible Futures for the European City","Mejia Hernandez, J.A. (TU Delft Situated Architecture); Botezat, Onorina (Dimitrie Cantemir Christian University in Bucharest)","","2023","The essay departs from the question: How can stories be used for the development of cities?
In response, a theoretical framework is delineated that recognizes the built environment as a model that is both telic (a vision of a possible future) and technical (the means required to attain that future). By adopting this framework, the essay approaches the city at the scale of everyday, ordinary planning, rather than at the scale of ‘cosmic crisis’.
In line with that approach, the essay shows how stories can be useful to develop cities given their ability to encourage and foster sympatry, understood as the quality of environments where different (even adversarial) species coexist. Different individuals simultaneously use and offer different resources to the environment they share, and some of these resources fall within the category of ‘understanding’. This final category is captured in a series of micro-narratives about the city, which are then evaluated in relation to three distinct technologies that can be seen as common to buildings and stories, namely: sense, sequence and proportion.","","en","journal article","","","","","","","","","","","Situated Architecture","","",""
"uuid:99da2857-bc83-4cf5-8486-afc71fe32ba0","http://resolver.tudelft.nl/uuid:99da2857-bc83-4cf5-8486-afc71fe32ba0","Cable Robots as Conventional Linear Stage Alternatives for the Investigation of Complex-Shaped Objects via Macroscopic X-ray Fluorescence Imaging","Alfeld, M.W.E.M. (TU Delft Team Matthias Alfeld); Tempel, P. (TU Delft Mechatronic Systems Design; Ecole Centrale Nantes); van der Wijk, V. (TU Delft Mechatronic Systems Design)","","2023","The acquisition of elemental and chemical distribution images on the surface of cultural heritage objects has provided us new insights into our past. The techniques commonly employed, such as macroscopic X-ray fluorescence imaging (MA-XRF), in general require pointwise or whisk-broom scanning of an object under constant measurement geometry for optimal results. Most scanners in this field use stacked linear motorized stages, which are a proven solution for 2D sample positioning. Instead of these serial systems, we propose the use of a parallel cable robot to position the measurement head relative to the object investigated. In this article, we illustrate the significance of the issue and present our own cable robot prototype and test its capabilities, but also discuss the current shortcomings of the concept. With this, we demonstrate the potential of cable robots as platforms for MA-XRF and similar imaging techniques.","XRF imaging; MA-XRF; cable robot; whisk-broom scanning; cultural heritage analysis","en","journal article","","","","","","","","","","","Team Matthias Alfeld","","",""
"uuid:caadb01b-a462-43c6-9967-be872cf30cb3","http://resolver.tudelft.nl/uuid:caadb01b-a462-43c6-9967-be872cf30cb3","Rural Infrastructure Lifecycle Inclusiveness Impact Path Analysis: Combining Logical Framework and Structural Equation Modeling","Jiang, Aichun (Chengdu University of Information Technology); Ao, Yibin (Chengdu University of Technology); Yang, Ruo (Chengdu University of Information Technology); Wang, T. (TU Delft Design & Construction Management)","","2023","The rural infrastructures require inclusiveness in the whole lifecycle (WLC) for the benefits of the society. The theory of inclusive growth has been widely studied since its introduction in the infrastructure system research. However, the majority of the related studies has focused on macro level measurements and no systematic research has been carried out on the microlevel for inclusiveness formation and impact path discovery so that detailed recommendations regarding the process can be formulated. The WLC of infrastructure is a dynamic process, reflected in different stages with various activities and multiple factor groups that connect and influence each other. To address and analyze this dynamic and interdependent process from a micro perspective, this study applies the logical framework method in constructing nine impact paths of rural infrastructure inclusiveness for the WLC, which revealed the influence mechanism of rural infrastructure inclusiveness at the microlevel. According to the results, ""project quality""has the most significant influence on the rural infrastructure inclusiveness.","","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:077f4ba4-cf29-4334-9fe2-b2329ecfe4c4","http://resolver.tudelft.nl/uuid:077f4ba4-cf29-4334-9fe2-b2329ecfe4c4","Should the milkman return? The effect of a reusable packaging on product perceptions and behavioural intentions","Magnier, L.B.M. (TU Delft Industrial Design Engineering; TU Delft Marketing and Consumer Research); Gil-Pérez, Ignacio (Universidad de Zaragoza)","","2023","This research investigates how consumers evaluate returnable packaging for fast-moving consumer goods. Through three online studies, we analysed how returnable packaging influences consumer behavioural intentions (intention to recommend and repurchase intention), overall attitudes and product perceptions (attitude towards the product, perceived product quality, packaging convenience and perceived contamination), and the perceived environment-friendliness of the packaging. The results demonstrate that consumers, overall, rate returnable packaging more positively than single-use packaging, regardless of the type of single-use packaging (conventional or recycled plastic), the appearance of the returnable packaging (identical or different to the single-use packaging), and whether the type of product inside is non-sensitive or sensitive (a bottle of all-purpose cleaner vs. a pot of Greek-style yoghurt). Finally, the relevance and limitations of these results are discussed and their practical implications for managers, packaging designers and retailers are highlighted.","Circular economy; Consumer behaviour; Design; Returnable packaging; Reuse; Waste","en","journal article","","","","","","","","","Industrial Design Engineering","","Marketing and Consumer Research","","",""
"uuid:9dd5f6ae-0186-401b-bbe1-77c8284aeb6b","http://resolver.tudelft.nl/uuid:9dd5f6ae-0186-401b-bbe1-77c8284aeb6b","Machine Learning Approach for Pitch Type Classification Based on Pelvis and Trunk Kinematics Captured with Wearable Sensors","Gomaz, L. (TU Delft Statistics; TU Delft Biomechanical Engineering); Bouwmeester, Celine (Student TU Delft); van der Graaff, Erik (PitchPerfect); van Trigt, B. (TU Delft Biomechatronics & Human-Machine Control; TU Delft Biomechanical Engineering); Veeger, H.E.J. (TU Delft Biomechatronics & Human-Machine Control)","","2023","The large stream of data from wearable devices integrated with sports routines has changed the traditional approach to athletes’ training and performance monitoring. However, one of the challenges of data-driven training is to provide actionable insights tailored to individual training optimization. In baseball, the pitching mechanics and pitch type play an essential role in pitchers’ performance and injury risk management. The optimal manipulation of kinematic and temporal parameters within the kinetic chain can improve the pitcher’s chances of success and discourage the batter’s anticipation of a particular pitch type. Therefore, the aim of this study was to provide a machine learning approach to pitch type classification based on pelvis and trunk peak angular velocity and their separation time recorded using wearable sensors (PITCHPERFECT). The Naive Bayes algorithm showed the best performance in the binary classification task and so did Random Forest in the multiclass classification task. The accuracy of Fastball classification was 71%, whilst the accuracy of the classification of three different pitch types was 61.3%. The outcomes of this study demonstrated the potential for the utilization of wearables in baseball pitching. The automatic detection of pitch types based on pelvis and trunk kinematics may provide actionable insight into pitching performance during training for pitchers of various levels of play.","baseball; pitching; wearables; classification; pitch types","en","journal article","","","","","","","","","","Biomechanical Engineering","Statistics","","",""
"uuid:02b10fa5-53b1-4994-b709-2d5fffe21532","http://resolver.tudelft.nl/uuid:02b10fa5-53b1-4994-b709-2d5fffe21532","Correction: Aeroacoustic Benchmarking of Trailing-edge Noise from a NACA 633–018 Airfoil with Trailing-edge Serrations","Luesutthiviboon, S. (TU Delft Control & Simulation; TU Delft Reflection & Lifestyle); Meirelles Pereira, L. (TU Delft Wind Energy); Ragni, D. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy); Snellen, M. (TU Delft Control & Operations)","","2023","The authors would like to provide the following corrections and clarifications to the article titled “Aeroacoustic Benchmarking of Trailing-edge Noise from a NACA 633–018 Airfoil with Trailing-edge Serrations” which has been published in the AIAA Journal Vol. 61, No. 1, and can be accessed online via https://doi.org/10.2514/1.J061630. The first correction provides clarity in the abstract. Although the main text and Appendices A and B of the original paper provide a thorough analysis of the varying signal-to-noise levels and clearly state that some data points with inherently high noise levels should be excluded in further analysis, the statement in the abstract could lead to misunderstanding that all data points will directly be included in the benchmark activities. It indeed is up to a broader benchmarking team, after considering results among different institutions, to decide which parts of the present dataset will eventually be included. Therefore, for clarity, the text “. . . The present data are to be included in the framework of the Benchmark Problems for Airframe Noise Computation . . . ” should be replaced by “. . . The present data are to be considered among participating institutions and may partially be included in the framework of the Benchmark Problems for Airframe Noise Computation . . . ”. The second correction pertains to the manufacturer of the so-called High-Reynolds Model (HRM) airfoil and a reference mentioned in the second paragraph of Section II.A. The text “. . . manufactured by Deharde . . . [23]"" should be “. . . manufactured by RIVAL . . . [23]”. The part of the model considered in this paper was manufactured by RIVAL and Deharde later produced the spanwise extensions for this model to fit in other larger wind tunnels. The authors apologize for this miscommunication. Besides, Ref. [23] in the original paper should be replaced by Ref. [1] of this correction. During the publication process of our paper, this new reference was published and the original Ref. [23] was updated. Therefore, Ref. [1] of this correction provides up-to-date information about the model and is therefore worth referring to. The final correction pertains to the plots in Figs. 13 and 15 in the original article. The legends went missing during the production process. Figures 13 and 15 in the original article should appear as Figs. 1 and 2 in this correction, respectively, with the legends on the right side. The authors apologize for this error.","","en","journal article","","","","","","","","","","Control & Operations","Control & Simulation","","",""
"uuid:0c8db69d-8eb6-4c6d-a0dd-edc0f053313d","http://resolver.tudelft.nl/uuid:0c8db69d-8eb6-4c6d-a0dd-edc0f053313d","Extreme-oriented sensitivity analysis using sparse polynomial chaos expansion: Application to train–track–bridge systems","Shang, Y. (TU Delft Integral Design & Management); Nogal Macho, M. (TU Delft Integral Design & Management); Teixeira, Rui (University College Dublin); Wolfert, A.R.M. (TU Delft Engineering Structures)","","2023","The use of sensitivity analysis is essential in model development for the purposes of calibration, verification, factor prioritization, and mechanism reduction. While most contributions to sensitivity methods focus on the average model response, this paper proposes a new sensitivity method focusing on the extreme response and structural limit states, which combines an extreme-oriented sensitivity method with polynomial chaos expansion. This enables engineers to perform sensitivity analysis near given limit states and visualize the relevance of input factors to different design criteria and corresponding thresholds. The polynomial chaos expansion is used to approximate the model output and alleviate the computational cost in sensitivity analysis, which features sparsity and adaptivity to enhance efficiency. The accuracy and efficiency of the method are verified in a truss structure, which is then illustrated on a dynamic train–track–bridge system. The role of the input factors in response variability is clarified, which differs in terms of the design criteria chosen for sensitivity analysis. The method incorporates multi-scenarios and can thus be useful to support decision-making in design and management of engineering structures.","Extreme value; Global sensitivity analysis; Limit state; Optimization; Polynomial chaos expansion; Train–track–bridge system","en","journal article","","","","","","","","","","Engineering Structures","Integral Design & Management","","",""
"uuid:12c74666-d177-4c31-b208-1c20abec7340","http://resolver.tudelft.nl/uuid:12c74666-d177-4c31-b208-1c20abec7340","Double-Fourier engineering of Josephson energy-phase relationships applied to diodes","Bozkurt, A.M. (TU Delft QRD/Wimmer Group; Kavli institute of nanoscience Delft; QuTech); Brookman, Jasper (Student TU Delft; Kavli institute of nanoscience Delft); Fatemi, Valla (Cornell University); Akhmerov, A.R. (TU Delft QN/Akhmerov Group; Kavli institute of nanoscience Delft)","","2023","We present a systematic method to design arbitrary energy-phase relations using parallel arms of two series Josephson tunnel junctions each. Our approach employs Fourier engineering in the energy-phase relation of each arm and the position of the arms in real space. We demonstrate our method by engineering the energy-phase relation of a near-ideal superconducting diode, which we find to be robust against the imperfections in the design parameters. Finally, we show the versatility of our approach by designing various other energy-phase relations.","","en","journal article","","","","","","","","","","","QRD/Wimmer Group","","",""
"uuid:091cf07d-0689-48e3-a21d-cc4dcf95ecc4","http://resolver.tudelft.nl/uuid:091cf07d-0689-48e3-a21d-cc4dcf95ecc4","Osteoimmunomodulatory GelMA/liposome coatings to promote bone regeneration of orthopedic implants","Jahanmard, F. (University Medical Center Utrecht; Universiteit Utrecht); Khodaei, A. (University Medical Center Utrecht); Flapper, Jasper (Universiteit Utrecht; University Medical Center Utrecht); Dogan, O. (University Medical Center Utrecht; Universiteit Utrecht); Roohi, K. (TU Delft Team Peyman Taheri); Taheri, P. (TU Delft Team Peyman Taheri); Weinans, Harrie (University Medical Center Utrecht); Storm, G. (Universiteit Utrecht); Croes, M. (University Medical Center Utrecht); Mastrobattista, E. (Universiteit Utrecht); Amin Yavari, S. (Universiteit Utrecht; University Medical Center Utrecht)","","2023","Despite being the most widely used biomaterials in orthopedic surgery, metallic implants do not induce new bone growth because they are bioinert. Surface biofunctionalization of implants with immunomodulatory mediators is a recent approach to promote osteogenic factors that facilitate bone regeneration. Liposomes (Lip) can be used as a low-cost, efficient and simple immunomodulator to stimulate immune cells in favor of bone regeneration. Even though liposomal coating systems have been reported previously, their main disadvantage is their limited ability to preserve liposome integrity after drying. In order to address this issue, we developed a hybrid system in which liposomes could be embedded in a polymeric hydrogel namely gelatin methacryloyl (GelMA). Specifically, we have developed a novel versatile coating strategy using electrospray technology to coat implants with GelMA/Liposome without using adhesive intermediate layer. The two differently charged Lip (i.e., anionic and cationic) were blended with GelMA and coated via electrospray technology on the bone-implant surfaces. The results showed that the developed coating withstood mechanical stress during surgical replacement, and Lip inside GelMA coating stayed intact in different storage conditions for a minimum of 4 weeks. Surprisingly, bare Lip, either cationic or anionic, improved the osteogenesis of human Mesenchymal Stem Cells (MSCs) by inducing pro-inflammatory cytokines, even at a low dosage of Lip released from the GelMA coating. More importantly, we showed that the inflammatory response could be fine-tuned by selecting the Lip concentration, Lip/hydrogel ratio, and coating thickness to determine the timing of the release such that we can accommodate different clinical needs. These promising results pave the way to use these Lip coatings to load different types of therapeutic cargo for bone-implant applications.","Liposomes; Immune stimulation; Electrospray coating; Hydrogel; Bone regeneration","en","journal article","","","","","","","","","","","Team Peyman Taheri","","",""
"uuid:e51a5094-acb2-401f-af8a-45d89dbce6f3","http://resolver.tudelft.nl/uuid:e51a5094-acb2-401f-af8a-45d89dbce6f3","The smashHitCore ontology for GDPR-compliant sensor data sharing in smart cities","Kurteva, A.K. (TU Delft Design for Sustainability; Universitat Innsbruck); Chhetri, Tek Raj (Universitat Innsbruck); Tauqeer, Amar (Wageningen University & Research; Universitat Innsbruck); Hilscher, Rainer (Universitat Innsbruck; RTI International); Fensel, Anna (Wageningen University & Research; University of Innsbruck); Nagorny, Kevin (Institut für Angewandte Systemtechnik Bremen GmbH (ATB)); Correia, Ana (Institut für Angewandte Systemtechnik Bremen GmbH (ATB)); Zilverberg, Albert (Institut für Angewandte Systemtechnik Bremen GmbH (ATB)); Schstakov, Stefan (Leibniz University of Hannover)","","2023","The adoption of the General Data Protection Regulation (GDPR) has resulted in a significant shift in how the data of European Union citizens is handled. A variety of data sharing challenges in scenarios such as smart cities have arisen, especially when attempting to semantically represent GDPR legal bases, such as consent, contracts and the data types and specific sources related to them. Most of the existing ontologies that model GDPR focus mainly on consent. In order to represent other GDPR bases, such as contracts, multiple ontologies need to be simultaneously reused and combined, which can result in inconsistent and conflicting knowledge representation. To address this challenge, we present the smashHitCore ontology. smashHitCore provides a unified and coherent model for both consent and contracts, as well as the sensor data and data processing associated with them. The ontology was developed in response to real-world sensor data sharing use cases in the insurance and smart city domains. The ontology has been successfully utilised to enable GDPR-complaint data sharing in a connected car for insurance use cases and in a city feedback system as part of a smart city use case.","ontology; knowledge graph; consent; contract; sensor data; smart city; mobility; insurance; compliance; data sharing","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:dab68e95-0add-4f69-85ef-847e4e74522c","http://resolver.tudelft.nl/uuid:dab68e95-0add-4f69-85ef-847e4e74522c","DeepEgo: Deep Instantaneous Ego-Motion Estimation Using Automotive Radar","Zhu, S. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems)","","2023","The problem of instantaneous ego-motion estimation with mm-wave automotive radar is studied. DeepEgo, a deep learning-based method, is proposed for achieving robust and accurate ego-motion estimation. A hybrid approach that uses neural networks to extract complex features from input point clouds and applies weighted least squares (WLS) for motion estimation is utilized in DeepEgo. Additionally, a novel loss function, Doppler loss, is proposed to locate “inlier points” originating from detected stationary objects without human annotation. Finally, a challenging real-world automotive radar dataset is selected for extensive performance evaluation. Compared to other methods selected from the literature, significant improvements in estimation accuracy, long-term stability, and runtime performance of DeepEgo in comparison to other methods are demonstrated.","Ego-motion estimation; radar odometry; automotive radar; radar point cloud; deep learning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-21","","","Microwave Sensing, Signals & Systems","","",""
"uuid:7d3e6717-b7e6-4985-8c5b-e5fdd02e39f0","http://resolver.tudelft.nl/uuid:7d3e6717-b7e6-4985-8c5b-e5fdd02e39f0","Biosynthesis of Copper Oxide and Silver Nanoparticles by Bacillus Spores and Evaluation of the Feasibility of Their Use in Antimicrobial Paints","Alali, Arkan (University of Isfahan); Hosseini-Abari, Afrouzossadat (University of Isfahan); Bahrami, A. (Isfahan University of Technology); Yazdan Mehr, M. (TU Delft Electronic Components, Technology and Materials)","","2023","Modification of paint with nanoparticles (NPs) provides self-cleaning, water/dirt-repellent, and other properties. Therefore, the aim of the present study was to biosynthesize silver (Ag) and copper oxide (CuO) NPs and to prepare NP-modified paint. To this end, AgNPs and CuONPs were biosynthesized using Bacillus atrophaeus spores and commercial and crude dipicolinic acid (DPA) extracted from the spore of this bacterium. The synthesized NPs were characterized using electron microscopy, Fourier-transform infrared (FTIR), X-ray diffraction analysis (XRD), and energy-dispersive X-ray spectroscopy (EDS) methods. A minimum inhibitory concentration (MIC) assay of NPs against Escherichia coli ATCC8739 and Staphylococcus aureus ATCC6538 was carried out. The antibacterial effects of prepared NP–paint complexes were assessed using an optical density (OD) comparison before and after adding metal sheets coated with NP–paint complexes into the nutrient broth medium. Four different types of NPs were synthesized in this research: AgNPs synthesized by spore (A), AgNPs synthesized by commercial DPA (B), AgNPs synthesized by crude DPA (C), and CuONPs synthesized by spore (D). SEM analysis confirmed the spherical shape of NPs. According to the results, NPs A, B, and D showed higher antibacterial activity against S. aureus compared to E. coli. Furthermore, the analysis of the antibacterial effects of NP–paint complexes suggested that paint–NPs A, B, and C displayed higher activity on E. coli compared to S. aureus. Moreover, the antibacterial effect of paint–NP D was significantly lower than other NPs. According to this robust antibacterial effect on pathogenic bacteria, it seems that these NP–paint complexes could be useful in public places such as hospitals, airports, dormitories, schools, and office buildings, where the rate of transmission of infection is high.","silver nanoparticles; copper oxide (CuO) nanoparticles; biosynthesis; NP-modified paints","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:358c3200-c5ef-4457-89f8-a3cadc3bc6a0","http://resolver.tudelft.nl/uuid:358c3200-c5ef-4457-89f8-a3cadc3bc6a0","Compound Memory Models","Goens, Andrés (University of Edinburgh); Chakraborty, S.S. (TU Delft Programming Languages); Sarkar, Susmit (University of St Andrews); Agarwal, Sukarn (University of Edinburgh); Oswald, Nicolai (Nvidia Corporation); Nagarajan, Vijay (University of Edinburgh)","","2023","Today's mobile, desktop, and server processors are heterogeneous, consisting not only of CPUs but also GPUs and other accelerators. Such heterogeneous processors are starting to expose a shared memory interface across these devices.Given that each of these individual devices typically supports a distinct instruction set architecture and a distinct memory consistency model, it is not clear what the memory consistency model of the heterogeneous machine should be. In this paper, we answer this question by formalizing ""compound""memory models: we present a compositional operational model describing the resulting model when devices with distinct consistency models are fused together. We instantiate our model with the compound x86TSO/PTX model-a CPU enforcing x86TSO and a GPU enforcing the PTX model. A key result is that the x86TSO/PTX compound model retains compiler mappings from the language-based (scoped) C memory model. This means that threads mapped to the x86TSO device can continue to use the already proven C-To-x86TSO compiler mapping, and the same for PTX.","coherence protocols; compound memory models; consistency models","en","journal article","","","","","","","","","","","Programming Languages","","",""
"uuid:25716e03-e968-47fe-b1fe-f79306854fa2","http://resolver.tudelft.nl/uuid:25716e03-e968-47fe-b1fe-f79306854fa2","Comparing Circular Kitchens: A Study of the Dutch Housing Sector","Jansen, B. (TU Delft Real Estate Management; Amsterdam Institute for Advanced Metropolitan Solutions (AMS)); Duijghuisen, J.A.K. (TU Delft Support Management in the Built Environment); van Bortel, G.A. (TU Delft Real Estate Management); Gruis, V.H. (TU Delft Real Estate Management)","","2023","The built environment can become more sustainable by gradually replacing building components with circular ones. Kitchens are a logical component to be made circular, given their relatively short lifespan, product-based nature, and affordable prototypes. Since various designs for circular kitchens can be developed, understanding the feasibility of these designs is crucial for their successful implementation. This knowledge, however, remains limited. Therefore, this article aimed to determine which types of circular kitchens are feasible. Circular kitchens available or announced in the Dutch housing sector within the past five years were compared using an adapted version of the CBC generator, a comprehensive design framework for circular building components. The comparison included the Circular Kitchen (CIK), developed as part of an international research project. Data were sourced from manufacturers’ websites and online publications supplemented by interviews with two outliers to verify the results. The analysis encompassed seven circular kitchens, with two developed by established manufacturers and five by start-ups. The manufacturers mostly communicated about their kitchen’s physical design. The established manufacturers’ circular kitchens were found to be more similar to their non-circular kitchens, while start-ups applied more radical innovations. Furthermore, the kitchens that had a frame structure using technical materials or a panel-based structure using biological materials were more likely to be feasible. These findings can facilitate future circular kitchen development by improving these kitchens’ feasibility, thus aiding the transition to a more circular built environment. Furthermore, this research contributes scientifically by adapting a comprehensive design framework (the CBC generator) to compare circular designs.
The comprehensive low-level cloud data set will help us to better understand the role of clouds and their thermodynamic phase in the Arctic radiation budget and to assess the performance of global climate models in a region of the world with the strongest anthropogenic climate change.","","en","journal article","","","","","","","","","","","Aircraft Noise and Climate Effects","","",""
"uuid:9d9e3a8d-aa79-4ce4-b635-ad2c795326f9","http://resolver.tudelft.nl/uuid:9d9e3a8d-aa79-4ce4-b635-ad2c795326f9","Label Correlation in Deep Learning-Based Side-Channel Analysis","Wu, L. (TU Delft Cyber Security); Weissbart, L.J.A. (TU Delft Cyber Security; Radboud Universiteit Nijmegen); Krcek, M. (TU Delft Cyber Security); Li, H. (TU Delft Cyber Security); Perin, G. (TU Delft Cyber Security; Radboud Universiteit Nijmegen); Batina, Lejla (Radboud Universiteit Nijmegen); Picek, S. (TU Delft Cyber Security; Radboud Universiteit Nijmegen)","","2023","The efficiency of the profiling side-channel analysis can be significantly improved with machine learning techniques. Although powerful, a fundamental machine learning limitation of being data-hungry received little attention in the side-channel community. In practice, the maximum number of leakage traces that evaluators/attackers can obtain is constrained by the scheme requirements or the limited accessibility of the target. Even worse, various countermeasures in modern devices increase the conditions on the profiling size to break the target. This work demonstrates a practical approach to dealing with the lack of profiling traces. Instead of learning from a one-hot encoded label, transferring the labels to their distribution can significantly speed up the convergence of guessing entropy. By studying the relationship between all possible key candidates, we propose a new metric, denoted Label Correlation (LC), to evaluate the generalization ability of the profiling model. We validate LC with two common use cases: early stopping and network architecture search, and the results indicate its superior performance.","Side-channel analysis; profiling analysis; deep learning; label distribution; profiling model fitting","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-19","","","Cyber Security","","",""
"uuid:e94a5b41-cbfc-49f8-ae3d-2cc894c8ee28","http://resolver.tudelft.nl/uuid:e94a5b41-cbfc-49f8-ae3d-2cc894c8ee28","Road Surface Conditions Identification via H α A Decomposition and Its Application to mm-Wave Automotive Radar","Bouwmeester, W. (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","A novel approach based on the entropy-alpha-anisotropy decomposition, also known as the $H\alpha A$ decomposition, for the recognition of road surface conditions using automotive radar is presented. To apply the $H\alpha A$ decomposition to automotive radar data, a dedicated signal processing pipeline has been developed. To investigate its effectiveness, fully polarimetric measurements of surface scattering were performed in lab conditions as well as outdoors on actual road surface material under various conditions. A high-level analysis using the Euclidean distances between cluster centroids and the standard deviations of the $H$ , $\alpha $ , and $A$ features is performed, and it is shown that the proposed pipeline can provide an opportunity for classification of road surfaces, leading to enhanced road safety. Finally, the effect of neglecting the cross-polar components of the fully polarimetric measurements is considered. It is shown that in this case, the $A$ feature cannot be used anymore. Despite this, the $H$ and $\alpha $ features can still be used and several road surface conditions can still be distinguished from each other at the cost of less separation between the classes, thus leading to a trade-off between classification accuracy and radar system cost/complexity.","Automotive; radar; polarimetry; road surface condition; recognition; classification; HαA decomposition","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-14","","","Microwave Sensing, Signals & Systems","","",""
"uuid:1e77d46d-ea88-4c11-8ffd-d078d360afb1","http://resolver.tudelft.nl/uuid:1e77d46d-ea88-4c11-8ffd-d078d360afb1","Show us the data: global COVID-19 wastewater monitoring efforts, equity, and gaps","Naughton, Colleen C. (University of California); Roman, Fernando A. (University of California); Alvarado, Ana Grace F. (University of California); Tariqi, Arianna Q. (University of California); Deeming, Matthew A. (University of California); Kadonsky, Krystin F. (University of California); Bibby, Kyle (University of Notre Dame); Bivins, Aaron (University of Notre Dame); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute; Michigan State University)","","2023","A year since the declaration of the global coronavirus disease 2019 (COVID-19) pandemic, there were over 110 million cases and 2.5 million deaths. Learning from methods to track community spread of other viruses such as poliovirus, environmental virologists and those in the wastewater-based epidemiology (WBE) field quickly adapted their existing methods to detect SARS-CoV-2 RNA in wastewater. Unlike COVID-19 case and mortality data, there was not a global dashboard to track wastewater monitoring of SARS-CoV-2 RNA worldwide. This study provides a 1-year review of the “COVIDPoops19” global dashboard of universities, sites, and countries monitoring SARS-CoV-2 RNA in wastewater. Methods to assemble the dashboard combined standard literature review, Google Form submissions, and daily, social media keyword searches. Over 200 universities, 1400 sites, and 55 countries with 59 dashboards monitored wastewater for SARS-CoV-2 RNA. However, monitoring was primarily in high-income countries (65%) with less access to this valuable tool in low- and middle-income countries (35%). Data were not widely shared publicly or accessible to researchers to further inform public health actions, perform meta-analysis, better coordinate, and determine equitable distribution of monitoring sites. For WBE to be used to its full potential during COVID-19 and beyond, show us the data.","COVIDPoops19 dashboard; Geographic Information Systems (GIS); open data; public health; SARS-CoV-2; wastewater-based epidemiology","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:c692e514-c69c-4ceb-9b3e-35eb20a03e78","http://resolver.tudelft.nl/uuid:c692e514-c69c-4ceb-9b3e-35eb20a03e78","Field evaluation of low-cost electrochemical air quality gas sensors under extreme temperature and relative humidity conditions","Papaconstantinou, Roubina (The Cyprus Institute); Demosthenous, Marios (The Cyprus Institute); Bezantakos, Spyros (The Cyprus Institute); Hadjigeorgiou, Neoclis (The Cyprus Institute); Costi, Marinos (The Cyprus Institute); Stylianou, Melina (Medisell Co Ltd); Symeou, Elli (Medisell Co Ltd); Savvides, Chrysanthos (Ministry of Labour Welfare and Social Insurance); Biskos, G. (TU Delft Atmospheric Remote Sensing; The Cyprus Institute)","","2023","Modern electrochemical gas sensors hold great potential for improving practices in air quality (AQ) monitoring as their low cost, ease of operation and compact design can enable dense observational networks and mobile measurements. Despite that, however, numerous studies have shown that the performance of these sensors depends on a number of factors (e.g. environmental conditions, sensor quality, maintenance and calibration), thereby adding significant uncertainties in the reported measurements and large discrepancies from those recorded by reference-grade instruments. In this work we investigate the performance of electrochemical sensors, provided by two manufacturers (namely Alphasense and Winsen), for measuring the concentrations of CO, NO2, O3 and SO2. To achieve that we carried out collocated yearlong measurements with reference-grade instruments at a traffic AQ monitoring station in Nicosia, Cyprus, where temperatures ranged from ca. 0 ∘C in the winter to almost 45 ∘C in the summer. The CO sensors exhibit the best performance among all the ones we tested, having minimal mean relative error (MRE) compared to reference instruments (ca. −5 %), although a significant difference in their response was observed before and after the summer period. At the other end of the spectrum, the SO2 sensors reported concentration values that were at least 1 order of magnitude higher than the respective reference measurements (with MREs being more than 1000 % for Alphasense and almost 400 % for Winsen throughout the entire measurement period), which can be justified by the fact that the concentrations of SO2 at our measuring site were below their limit of detection. In general, variabilities in the environmental conditions (i.e. temperature and relative humidity) appear to significantly affect the performance of the sensors. When compared with reference instruments, the CO and NO2 electrochemical sensors provide measurements that exhibit increasing errors and decreasing correlations as temperature increases (from below 10 to above 30 ∘C) and RH decreases (from >75 % to below 30 %). Interestingly, the performance of the sensors was affected irreversibly during the hot summer period, exhibiting different responses before and after that, resulting in a signal deterioration that was more than twice that reported by the manufacturers. With the exception of the Alphasense NO2 sensor, all low-cost sensors (LCSs) exhibited measurement uncertainties that were much higher, even at the beginning of our measurement period, compared to those required for qualifying the sensors for indicative air quality measurements according to the respective European Commission (EC) Directive. Overall, our results show that the response of all LCSs is strongly affected by the environmental conditions, warranting further investigations on how they are manufactured, calibrated and employed in the field.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:3f153c64-024e-487a-b2f7-6fd48151e2dd","http://resolver.tudelft.nl/uuid:3f153c64-024e-487a-b2f7-6fd48151e2dd","A synchromodal maturity model illustrated","Alons-Hoen, Kristel (Fontys Hogescholen); Somers, Guy (Fontys Hogescholen); van Duin, Ron (TU Delft Transport and Logistics; Rotterdam University of Applied Sciences)","","2023","Pressure on more sustainable transport and increased congestion provide
opportunities for intermodal transport, but it suffers from unreliable transport times due to a lack of coordination at the operational level. Synchromodal transport can mitigate this effect by taking an integral perspective. It appears that the majority of research to date has focused on the technical and operational challenges of synchromodal transport such as planning and supporting IT systems and platforms.
In this article, a synchromodal maturity model has been applied to 41 cases to gauge the current state of synchromodal transport from a complete perspective.","Synchromodal freight transport; Maturity model","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:0d0917bf-f446-46b3-9a35-d537fbe15e60","http://resolver.tudelft.nl/uuid:0d0917bf-f446-46b3-9a35-d537fbe15e60","Impact of Junction Length on Supercurrent Resilience against Magnetic Field in InSb-Al Nanowire Josephson Junctions","Levajac, V. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Mazur, G.P. (TU Delft QRD/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); van Loo, N. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Borsoi, F. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Badawy, Ghada (Eindhoven University of Technology); Gazibegovic, Sasa (Eindhoven University of Technology); Bakkers, Erik P.A.M. (Eindhoven University of Technology); Heedt, S. (TU Delft BUS/Quantum Delft; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wang, J. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2023","Semiconducting nanowire Josephson junctions represent an attractive platform to investigate the anomalous Josephson effect and detect topological superconductivity. However, an external magnetic field generally suppresses the supercurrent through hybrid nanowire junctions and significantly limits the field range in which the supercurrent phenomena can be studied. In this work, we investigate the impact of the length of InSb-Al nanowire Josephson junctions on the supercurrent resilience against magnetic fields. We find that the critical parallel field of the supercurrent can be considerably enhanced by reducing the junction length. Particularly, in 30 nm long junctions supercurrent can persist up to 1.3 T parallel field─approaching the critical field of the superconducting film. Furthermore, we embed such short junctions into a superconducting loop and obtain the supercurrent interference at a parallel field of 1 T. Our findings are highly relevant for multiple experiments on hybrid nanowires requiring a magnetic-field-resilient supercurrent.","","en","journal article","","","","","","","","","","","QRD/Kouwenhoven Lab","","",""
"uuid:0d32cf45-1ccd-4ee0-91e2-e0906b2ca1b0","http://resolver.tudelft.nl/uuid:0d32cf45-1ccd-4ee0-91e2-e0906b2ca1b0","Optical and morphological characterization of nanostructured AgO thin films","Rad, Adeleh Granmayeh (Islamic Azad University); Abbasi, H. (TU Delft ImPhys/Menzel group; Erasmus MC)","","2023","Silver oxide (AgO) thin films were prepared by using a cylindrical direct current reactive magnetron sputtering system at 10−5 torr initial pressure on BK7 glass substrate. Samples deposited for 3, 5 and 7 minutes. Surface characterization of AgO thin films in the nanometer scale can be accurately determined using the atomic force microscopy (AFM) and X-ray diffraction (XRD). The average roughness (Ravg), maximum peak to valley height (Rt) and root mean square (Rrms) roughness are used to analyze the surface morphology of AgO films. The linear optical absorption data were measured in the visible-near infrared spectral regions and the nonlinear refractive index (n2) of thin films is evaluated by the moiré deflectometery technique. The investigation indicates that, increase in AgO thickness leads to reduction in nonlinear refractive index.","Moiré deflectometry; Nonlinear refractive index; Optical property; Silver oxide thin film; Surface morphology","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-15","","","ImPhys/Menzel group","","",""
"uuid:cfa718fc-0e3d-44ac-8217-2d0b1d325e2c","http://resolver.tudelft.nl/uuid:cfa718fc-0e3d-44ac-8217-2d0b1d325e2c","U-Space Utilisation of Airspace under Various Layer Function Assignments and Allocations","Morfin Veytia, A. (TU Delft Control & Simulation); Badea, C. (TU Delft Control & Simulation); Patrinopoulou, Niki (University of Patras); Daramouskas, Ioannis (University of Patras); Ellerbroek, Joost (TU Delft Control & Simulation); Lappas, Vaios (University of Athens); Kostopoulos, Vassilios (University of Patras); Hoekstra, J.M. (TU Delft Control & Simulation)","","2023","The interest in urban air mobility as a potential solution for urban congestion is steadily growing. Air operations in urban areas can present added complexity as compared with traditional air traffic management. As a result, it is necessary to test and develop novel airspace designs and rules. As airspace in urban areas is a scarce resource, creating structures and rules that effectively utilise the airspace is an important challenge. This work specifically focuses on layered airspace design in urban operations constrained to fly between the existing buildings. Two design parameters of airspace design are investigated with two sub-experiments. Sub-experiment 1 investigates layer function assignment by comparing concepts from previous research with different layer assignment distributions. Sub-experiment 2 investigates the flight rules of vertical distribution of traffic within the airspace, to determine whether this is best achieved in a static (pre-allocated) or dynamic manner. Both sub-experiments analyse the overall system safety, route duration, and route distance under increasing traffic demand. Results reveal that the importance of cruising airspace is apparent at high densities. Results also shows that the safest layer allocation flight rule depends on the traffic density. At lower densities dynamic rules help to spread traffic locally. However, when the airspace is saturated it is safer to pre-allocate flight heights if achieved uniformly.","U-space; UTM; airspace design; airspace management; urban air mobility; BlueSky","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:757a66c0-7a89-433c-8caf-7cf4d11ff6cc","http://resolver.tudelft.nl/uuid:757a66c0-7a89-433c-8caf-7cf4d11ff6cc","Cross-Sectional Validation of a Novel Computed Tomography-Based Carotid Mean Calcium Density Measurement","Cahalane, R.M.E. (Erasmus MC); Akyildiz, A.C. (TU Delft Medical Instruments & Bio-Inspired Technology; Erasmus MC); Kavousi, Maryam (Erasmus MC); Vernooij, Meike W. (Erasmus MC); Ikram, M. Kamran (Erasmus MC); Gijsen, F.J.H. (TU Delft Medical Instruments & Bio-Inspired Technology; Erasmus MC); Bos, Daniel (Erasmus MC)","","2023","Background The purpose of this study was to validate a technique for measuring mean calcium density and to determine associations of cardiovascular risk factors with carotid calcium density. Methods and Results We performed a cross-sectional study in a random sample of 100 stroke-free participants from the population-based Rotterdam Study. The mean calcium density of the combined left and right carotid bifurcations was quantified with a threshold of 130 Hounsfield Units (HU) using a novel density technique. To validate the methodology, carotid calcium volumes acquired using the technique in the current study were compared with measurements computed using dedicated clinical software (semiautomatic technique based on a threshold of ≥130 HU). Next, we investigated the associations of participant demographics, total calcium volume, and known cardiovascular risk factors (hypertension, diabetes, hypercholesterolemia, obesity, and smoking status) with the newly derived mean carotid calcium density measurement using linear regression analyses. Calcium volumes obtained with the 2 methods showed a high agreement (intraclass correlation coefficient=0.99, P<0.001), underlining the validity of the density technique. The total calcium volume was statistically significantly associated with the mean calcium density (cardiovascular risk factors adjusted model (B: 0.48 [95% CI, 0.30-0.66], P<0.001). We also found an association between hypercholesterolemia and mean calcium density (0.46 [0.09-0.83], P=0.017). No other significant associations were found between participant demographics or cardiovascular risk factors and mean carotid calcium density. Conclusions We demonstrated the feasibility of a carotid calcium density measurement technique. The data warrant a subsequent longitudinal study to determine the association between carotid calcium density and the risk of cerebrovascular events.","calcium; carotid; computed tomography; density; ischemic stroke","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:857d7ee1-770e-4e58-86d0-be5b51fde55d","http://resolver.tudelft.nl/uuid:857d7ee1-770e-4e58-86d0-be5b51fde55d","Multivariate Weighted Total Least Squares Based on the Standard Least-Squares Theory","Gholinejad, Saeid (University of Isfahan); Amiri Simkooei, A. (TU Delft Optical and Laser Remote Sensing)","","2023","The weighted total least squares (WTLS) has been widely used in many geodetic problems to solve the error-in-variable (EIV) models in which both the observation vector and the design matrix contain random errors. This method is widely applied in its univariate form, where the observations and unknown coefficients appear in vector forms. However, in some geodetic problems, data sets appear in more than one dimension, and the vector representation of the univariate model may not be suitable to efficiently solve the problem. The observation and unknown parameter vectors can then be replaced with their counterparts in matrix representations in a multivariate model. In this paper, we propose a simple, fast, and flexible procedure for solving the multivariate WTLS (MWTLS) problem using the standard least squares theory. The method has the capability of applying to large-size and high-dimensional data sets. Our numerical experiments on both simulated and real datasets demonstrate the high performance of the proposed method for solving multivariate WTLS problems. In terms of computational complexity, our method outperforms the existing state-of-the-art methods, both numerically and analytically.","Error-in-variable (EIV) model; High-dimensional data; Multivariate problem; Weighted total least squares (WTLS)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-16","","","Optical and Laser Remote Sensing","","",""
"uuid:e743c73f-2c60-43a6-85fa-7c9531347405","http://resolver.tudelft.nl/uuid:e743c73f-2c60-43a6-85fa-7c9531347405","NextGEM: Next-Generation Integrated Sensing and Analytical System for Monitoring and Assessing Radiofrequency Electromagnetic Field Exposure and Health","Petroulakis, Nikolaos (Foundation for Research and Technology - Hellas (FORTH)); Mattsson, Mats-Olof (SciProof International AB, Ostersund); Chatziadam, Panos (Foundation for Research and Technology - Hellas (FORTH)); Simko, Myrtill (SciProof International AB, Ostersund); Gavrielides, Andreas (eBOS Technologies Limited, Nicosia); Yiorkas, Andrianos (eBOS Technologies Limited, Nicosia); Zeni, Olga (Consiglio Nazionale delle Ricerche (CNR-IREA), Napoli); Scarfi, MR (Consiglio Nazionale delle Ricerche (CNR-IREA), Napoli); Spirito, M. (TU Delft Electronics)","","2023","The evolution of emerging technologies that use Radio Frequency Electromagnetic Field (RF-EMF ) has increased the interest of the scientific community and society regarding the possible adverse effects on human health and the environment. This article provides NextGEM’s vision to
assure safety for EU citizens when employing existing and future EMF-based telecommunication technologies. This is accomplished by generating relevant knowledge that ascertains appropriate prevention and control/actuation actions regarding RF-EMF exposure in residential, public, and occupational settings. Fulfilling this vision, NextGEM commits to the need for a healthy living
and working environment under safe RF-EMF exposure conditions that can be trusted by people and be in line with the regulations and laws developed by public authorities. NextGEM provides a framework for generating health-relevant scientific knowledge and data on new scenarios of exposure to RF-EMF in multiple frequency bands and developing and validating tools for evidence-based risk assessment. Finally, NextGEM’s Innovation and Knowledge Hub (NIKH) will offer a standardized way for European regulatory authorities and the scientific community to store and assess project outcomes and provide access to findable, accessible, interoperable, and reusable (FAIR) data.
i,j] with ai,j<0 if i and j are connected by an even edge, ai,j>0 if i and j are connected by an odd edge, ai,j∈R if i and j are connected by both an even and an odd edge, ai,j=0 if i≠j and i and j are non-adjacent, and ai,i∈R for all vertices i. The maximum nullity M(G,Σ) of a signed graph (G,Σ) is the maximum nullity attained by any A∈S(G,Σ). Arav et al. gave a combinatorial characterization of 2-connected signed graphs (G,Σ) with M(G,Σ)=2. In this paper, we give a complete combinatorial characterization of the signed graphs (G,Σ) with M(G,Σ)=2.","Nullity; Signed graph; Symmetric","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-29","","","Network Architectures and Services","","",""
"uuid:d34a0466-1ae4-4fa1-b9c8-abd87d0ec3e1","http://resolver.tudelft.nl/uuid:d34a0466-1ae4-4fa1-b9c8-abd87d0ec3e1","Incompressible squeeze-film levitation","Atalla, M.A.A. (TU Delft Medical Instruments & Bio-Inspired Technology); van Ostayen, R.A.J. (TU Delft Mechatronic Systems Design); Sakes, A. (TU Delft Medical Instruments & Bio-Inspired Technology); Wiertlewski, M. (TU Delft Human-Robot Interaction)","","2023","Transverse vibrations can induce the non-linear compression of a thin film of air to levitate objects, via the squeeze-film effect. This phenomenon is well captured by the Reynolds' lubrication theory; however, the same theory fails to describe this levitation when the fluid is incompressible. In this case, the computation predicts no steady-state levitation, contradicting the documented experimental evidence. In this Letter, we uncover the main source of the time-averaged pressure asymmetry in the incompressible fluid thin film, leading the levitation phenomenon to exist. Furthermore, we reveal the physical law governing the steady-state levitation height, which we confirm experimentally.","","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:2ff28db8-28ea-4a8d-a545-e235ce1356f7","http://resolver.tudelft.nl/uuid:2ff28db8-28ea-4a8d-a545-e235ce1356f7","Optimal Selection and Tracking of Generalized Nash Equilibria in Monotone Games","Benenati, E. (TU Delft Team Sergio Grammatico); Ananduta, W. (TU Delft Team Sergio Grammatico); Grammatico, S. (TU Delft Team Sergio Grammatico; TU Delft Team Bart De Schutter)","","2023","A fundamental open problem in monotone game theory is the computation of a specific generalized Nash equilibrium (GNE) among all the available ones, e.g. the optimal equilibrium with respect to a system-level objective. The existing GNE seeking algorithms have in fact convergence guarantees toward an arbitrary, possibly inefficient, equilibrium. In this paper, we solve this open problem by leveraging results from fixed-point selection theory and in turn derive distributed algorithms for the computation of an optimal GNE in monotone games. We then extend the technical results to the time-varying setting and propose an algorithm that tracks the sequence of optimal equilibria up to an asymptotic error, whose bound depends on the local computational capabilities of the agents.","Convergence; Couplings; Games; Heuristic algorithms; Multi-agent systems; Nash equilibrium; Nash equilibrium seeking; Optimization; Peer-to-peer computing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-21","","","Team Sergio Grammatico","","",""
"uuid:97d9741e-6d76-4c27-93bc-ac4a8cf5cf30","http://resolver.tudelft.nl/uuid:97d9741e-6d76-4c27-93bc-ac4a8cf5cf30","Biogeochemical networks in the abandoned historical gold mines affecting mobilization and transport of arsenic in Kolar","Ghosh, D. (TU Delft Sanitary Engineering; Indian Institute of Science); Kavil, Sarath Pullyottum (Indian Institute of Science); Arya, Prakash C. (Indian Institute of Science); Das, Bhaskar (VIT University)","","2023","Enormous water-logging in ancient abandoned mining shafts of Kolar Gold Fields (KGFs), has largely induced the leaching of sulfide-rich gold minerals contaminating the aquifer system with hazardous elements. Transport of these contaminant has posed threat to the health of the urban population of Kolar township. A detailed survey of borewells, covering radius of 10 km of the KGF was carried out during pre and post-monsoon seasons and various parameters were assessed. Almost 80% of the water samples exceeded the regulatory limits of potable water criteria with excess arsenic (As; 12–127 μg/L), fluoride (F; <0.005 μg/L), dissolved salts (>500 mg/L). Water Quality Index (WQI) was used to understand the overall urban groundwater quality. At the centre of sampling circle core, mineral dissolution was found to be the function of pH, induced by acidophilic sulfur oxidizing bacteria. Modelling of predicted microbial metabolic pathways in metagenomics libraries using PICRUSt, indicated complex functional networks. High expression of siderophore proteins (> 2 cm halo in the chrome azurol test) caused Fe-sequestration, secondary Fe-mineral formation and subsequent release of As. Sulfide bearing Au-rich minerals (Arsenopyrite, Scorodite, Jarosite) were bio-weathered leading to release of H3AsO3+ at low pH, resulted in groundwater composition of Ca–HCO3 type and Ca–Na–HCO3 or Ca–Mg–Cl type.","Arsenic; Geogenic-anthropogenic contaminants; Gold mines; Microbial weathering; Water quality","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:5ae02f6d-75c3-477c-bec4-c075adb3c36c","http://resolver.tudelft.nl/uuid:5ae02f6d-75c3-477c-bec4-c075adb3c36c","Erratum: Molecular Outflows in z > 6 Unobscured QSO Hosts Driven by Star Formation (Astrophysical Journal (2023) 944 (134) ","Butler, Kirsty M. (Institut de Radioastronomie Millimétrique (IRAM); Universiteit Leiden); van der Werf, Paul P. (Universiteit Leiden); Topkaras, Theodoros (Universiteit Leiden); Rybak, M. (TU Delft Tera-Hertz Sensing; Universiteit Leiden); Venemans, Bram P. (Universiteit Leiden); Walter, Fabian (Max-Planck-Institut für Astronomie); Decarli, Roberto (INAF Istituto di Astrofisica Spaziale e Fisica Cosmica, Bologna)","","2023","In this erratum, we correct a mistake in the derivation of OH 119 µm equivalent width in two sources: J2310+1855 and P183+05. Consequently, we also correct the molecular gas outflow mass, mass outflow rate (MOFR), momentum flux, kinetic energy flux, and depletion times as the derivation of these values involves the equivalent width. We provide an updated version of Table 3 from the published article, and of Figures 3, 5, and 6. We no longer find significantly larger OH 119 µm absorption EWs in our unobscured QSO sources with respect to the high-z DSFGs from the literature Spilker et al. (2020a, 2020b). Furthermore, the MOFR, momentum flux, and kinetic energy of the molecular outflows in J2310+1855 and P183+05, as traced by the blueshifted OH 119 µm absorption, are now all significantly offset to lower values with respect to the trends with far-infrared (FIR) luminosity seen in high-z DSFGs (Spilker et al. 2020a, 2020b). Even with an assumed 50% contribution to the FIR luminosity from the central active nucleus, both galaxies appear to have suppressed outflow properties. The star formation rate (SFR) exceeds the MOFR in both sources and is therefore the dominant mechanism responsible for depleting the molecular gas reservoir in these systems. The original conclusion of the published article is therefore unchanged and even reinforced. We would like to thank Tom Bakx for bringing this error to our attention.","","en","journal article","","","","","","Erratum van 10.3847/1538-4357/acad03","","","","","Tera-Hertz Sensing","","",""
"uuid:3f5836ff-df75-4d4e-b262-e9707efe61cd","http://resolver.tudelft.nl/uuid:3f5836ff-df75-4d4e-b262-e9707efe61cd","The acceptance of density: Conflicts of public and private interests in public debate on urban densification","Herdt, T. (TU Delft Urban Design); Jonkman, Arend (TU Delft Real Estate Management)","","2023","Urban densification is crucial for sustainable urban growth. Yet, its implementation often leads to local conflicts. To understand the interplay between private and public interests, we analysed media reports on densification policies and projects in Switzerland from 2009 and 2019, a period when Switzerland revised its Spatial Planning Act, limiting land take and promoting densification. Our results reveal a disconnect between private and public interests. Residents and other established stakeholders tend to dominate the public debate. Arguments in the face of impending building often reflect conflicting social values related to distributive justice, such as rising housing costs, loss of identity of place, and erosion of social cohesion. NIMBYism, the “Not In My Backyard” phenomenon, is insufficient to explain criticism or the rejection of urban densification measures. Other factors, such as ecological concerns, have gained impact. Moreover, our study highlights that the Swiss direct democratic instrument of popular initiatives tends to stimulate public debate and, thereby, has the potential to better bridge public interests with the effects of densification policies on residents and communities.","Acceptance; Public and private interests; Sustainable land use; Urban densification; Urban planning","en","journal article","","","","","","","","","","","Urban Design","","",""
"uuid:48045b15-2c25-4973-bc2f-914421577946","http://resolver.tudelft.nl/uuid:48045b15-2c25-4973-bc2f-914421577946","Configurations of digital platforms for manufacturing: An analysis of seven cases according to platform functions and types","den Hartigh, Erik (Özyeğin University); Stolwijk, Claire C.M. (TNO); Ortt, J.R. (TU Delft Economics of Technology and Innovation); Punter, L. Matthijs (TNO)","","2023","We analyze organizational configurations of digital platforms for manufacturing according to two dimensions: platform functions and platform types. Platform functions refer to the organizational functions of platforms: manufacturing, data sharing, market making, and innovation. Platform types refer to a typology of how platforms are organized: as internal, supply chain, or industry type. We combine those dimensions into a framework and use that to analyze seven cases of digital platforms from the manufacturing sector. Our research answers calls for conceptual clarity and scoping of the digital platform concept and mends relative lack of attention toward digital platforms for the manufacturing sector. We find that digital platforms for manufacturing come in different, partly unexpected, configurations: (1) not all functions are necessarily organizationally part of the platform, (2) not all functions are necessarily organized according to the same platform type, but (3) also not all random configurations of platform types and functions seem to be possible. This complexity highlights the importance of the innovation function for exploring effective configurations of digital platforms for manufacturing.","Digital platforms; Digitalization; Manufacturing; Platform innovation; Platforms","en","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:9cedf931-608e-4d03-9eb0-8287de456528","http://resolver.tudelft.nl/uuid:9cedf931-608e-4d03-9eb0-8287de456528","Community narratives on Facebook regarding mobile phone use while driving and road policing technologies","Stefanidis, K. B. (USC – University of the Sunshine Coast); Schiemer, C. (USC – University of the Sunshine Coast); Freeman, J. (USC – University of the Sunshine Coast); Mulgrew, K. (USC – University of the Sunshine Coast); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science); Truelove, V. (USC – University of the Sunshine Coast)","","2023","Objective: Mobile phone use while driving is a major cause of distraction and area for concern. Numerous factors have been shown to be associated with engagement in mobile phone use while driving, including peer influences and social media content encouraging the behavior. Phone detection cameras are being increasingly utilized to enforce the hand-held mobile phone use while driving ban in Australia, yet this has been accompanied by an emergence of camera location pages on social media platforms such as Facebook. Research is clearly needed to explore the nature and extent of this online content, since such information can be used to counteract any negative effects on enforcement. Methods: Accordingly, this exploratory study analyzed content on Facebook pertaining to mobile phone use while driving, enforcement of the behavior and legal penalties associated with the behavior. Results: A total of 167 public Facebook posts shared within Australia in January to October 2021 were examined. Overall, it was found that: (a) a large proportion (74%) of posts focused on the location of mobile phone cameras, (b) whilst most discussions centered around discouraging mobile phone use while driving, a large number also aimed to facilitate avoiding detection for the behavior. Finally, very few posts/comments acknowledged the risks associated with the behavior, including the risk of being caught. Conclusions: This research provides preliminary evidence that social media platforms appear to provide an avenue to reduce the likelihood of apprehension. Avenues for future research are discussed.","content analysis; cyberpsychology; misuse of technology; phone detection cameras; Phone use while driving","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:0d2f9578-b76b-47aa-aebb-a9e499713e00","http://resolver.tudelft.nl/uuid:0d2f9578-b76b-47aa-aebb-a9e499713e00","Secure expansion of energy storage and transmission lines considering bundling option under renewable penetration","Moradi Sepahvand, M. (TU Delft Intelligent Electrical Power Grids); Amraee, Turaj (K.N. Toosi University of Technology)","","2023","This paper presents a multi-stage expansion model for the co-planning of transmission lines, battery energy storage (ES), and wind power plants (WPP). High penetration of renewable energy sources (RES) is integrated into the proposed model concerning renewable portfolio standard (RPS) policy goals. The possibility of bundling existing transmission lines to uprate power flow capacity is considered. Renewable energy curtailment and load shedding are included in the model to assess the system operation more precisely. Battery ES devices are co-planned to defer transmission expansion and renewable management. To make the time complexity of the problem tractable and capture the uncertainties of load and RES in an hourly resolution, a chronological time-period clustering algorithm is used to extract the representative hours of each planning stage. Additionally, the flexible ramp reserve is utilized to handle the uncertainty of RES. An accelerated Benders dual decomposition (BDD) algorithm is developed to solve the proposed model mixed-integer linear programming (MILP) formulation. The N-1 security criterion is evaluated by considering a designed contingency screening (CS) algorithm to identify higher risk contingencies. The effectiveness of the proposed co-planning model is evaluated using IEEE RTS 24-bus and IEEE 118-bus test systems.","Transmission Expansion Planning; Bundling; Renewable Portfolio Standard; Contingency Screening algorithm; Energy Storage","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:1c9c1568-ff4e-447a-a800-3212bcf55929","http://resolver.tudelft.nl/uuid:1c9c1568-ff4e-447a-a800-3212bcf55929","Recent advancements of landslide hydrology","Greco, Roberto (Università degli Studi della Campania “Luigi Vanvitelli”); Marino, Pasquale (Università degli Studi della Campania “Luigi Vanvitelli”); Bogaard, T.A. (TU Delft Water Resources)","","2023","Occurrence of rainfall-induced landslides is increasing worldwide, owing to land use and climate changes. Although the connection between hydrology and rainfall-induced landslides might seem obvious, hydrological processes have been only marginally considered in landslide research for decades. In 2016, an advanced review paper published in WIREs Water [Bogaard and Greco (2016), WIREs Water, 3(3), 439–459] pointed out several challenging issues for landslide hydrology research: considering large-scale hydrological processes in the assessment of slope water balance; including antecedent hydrological information in landslide hazard assessment; understanding and quantifying the feedbacks between deformation and infiltration/drainage processes; overcoming the conceptual mismatch of soil mechanics models and hydrological models. While little progress has been made on the latter two issues, a variety of studies have been published, focusing on the role of hydrological processes in landslide initiation and prediction. The importance of the identification of the origin of water to understand the processes leading to landslide activation is largely acknowledged. Techniques and methodologies for the definition of landslide catchments and for the assessment of landslide water balance are progressing fast, often considering the hydraulic effect of vegetation. The use of hydrological information in landslide prediction models has also progressed enormously. Empirical predictive tools, to be implemented in early warning systems for shallow landslides, benefit from the inclusion of antecedent soil moisture, extracted from different sources depending on the scale of the prediction, leading to significant improvement of their predictive skill. However, this kind of information is generally still missing in operational LEWS. This article is categorized under: Science of Water > Hydrological Processes.","hydrology; lab and field experiments; landslide; landslide early warning systems; machine learning","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:c2ff8f7c-c6c3-419e-a718-45c5aca926b0","http://resolver.tudelft.nl/uuid:c2ff8f7c-c6c3-419e-a718-45c5aca926b0","Robust 4D climate-optimal flight planning in structured airspace using parallelized simulation on GPUs: ROOST V1.0","Simorgh, Abolfazl (Carlos III University of Madrid); Soler, Manuel (Carlos III University of Madrid); González-Arribas, Daniel (Carlos III University of Madrid); Linke, Florian (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR); Hamburg University of Technology); Lührs, Benjamin (Hamburg University of Technology; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Yin, F. (TU Delft Aircraft Noise and Climate Effects); Castino, F. (TU Delft Aircraft Noise and Climate Effects); Grewe, V. (TU Delft Aircraft Noise and Climate Effects; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Meuser, Maximilian M. (Hamburg University of Technology; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR))","","2023","The climate impact of non-CO2 emissions, which are responsible for two-thirds of aviation radiative forcing, highly depends on the atmospheric chemistry and weather conditions. Hence, by planning aircraft trajectories to reroute areas where the non-CO2 climate impacts are strongly enhanced, called climate-sensitive regions, there is a potential to reduce aviation-induced non-CO2 climate effects. Weather forecast is inevitably uncertain, which can lead to unreliable determination of climate-sensitive regions and aircraft dynamical behavior and, consequently, inefficient trajectories. In this study, we propose robust climate-optimal aircraft trajectory planning within the currently structured airspace considering uncertainties in standard weather forecasts. The ensemble prediction system is employed to characterize uncertainty in the weather forecast, and climate-sensitive regions are quantified using the prototype algorithmic climate change functions. As the optimization problem is constrained by the structure of airspace, it is associated with hybrid decision spaces. To account for discrete and continuous decision variables in an integrated and more efficient manner, the optimization is conducted on the space of probability distributions defined over flight plans instead of directly searching for the optimal profile. A heuristic algorithm based on the augmented random search is employed and implemented on graphics processing units to solve the proposed stochastic optimization computationally fast. An open-source Python library called ROOST (V1.0) is developed based on the aircraft trajectory optimization technique. The effectiveness of our proposed strategy to plan robust climate-optimal trajectories within the structured airspace is analyzed through two scenarios: a scenario with a large contrail climate impact and a scenario with no formation of persistent contrails. It is shown that, for a nighttime flight from Frankfurt to Kyiv, a 55ĝ€¯% reduction in climate impact can be achieved at the expense of a 4ĝ€¯% increase in the operating cost.","","en","journal article","","","","","","","","","","","Aircraft Noise and Climate Effects","","",""
"uuid:d7e28986-5728-4c64-a11e-f2d22f6c29ad","http://resolver.tudelft.nl/uuid:d7e28986-5728-4c64-a11e-f2d22f6c29ad","Modelling Aero-Structural Deformation of Flexible Membrane Kites","Poland, J.A.W. (TU Delft Wind Energy); Schmehl, R. (TU Delft Wind Energy)","","2023","Airborne wind energy systems using flexible membrane wings have the advantages of a low weight, small packing volume, high mobility and rapid deployability. This paper investigates the aero-structural deformation of a leading edge inflatable kite for airborne wind energy harvesting. In the first step, a triangular two-plate representation of the wing is introduced, leading to an analytical description of the wing geometry depending on the symmetric actuation state. In the second step, this geometric constraint-based model is refined to a multi-segment wing representation using a particle system approach. Each wing segment consists of four point masses kept at a constant distance along the tubular frame by linear spring-damper elements. An empirical correlation is used to model the billowing of the wing’s trailing edge. The linear spring-damper elements also the model line segments of the bridle line system, with each connecting two point masses. Three line segments can also be connected by a pulley model. The aerodynamic force acting on each wing segment is determined individually using the lift equation with a constant lift coefficient. The particle system model can predict the symmetric deformation of the wing in response to a symmetric actuation of the bridle lines used for depowering the kite (i.e., changing the pitch angle). The model also reproduces the typical twist deformation of the wing in response to an asymmetric line actuation used for steering the kite. The simulated wing geometries are compared with photogrammetric information taken by the onboard video camera of the kite control unit, focusing on the wing during flight. The results demonstrate that a particle system model can accurately predict the geometry of a soft wing at a low computational cost, making it an ideal structural building block for the next generation of soft wing kite models.","airborne wind energy; kites; membrane structures; fluid–structure interaction; wing morphing; particle system model","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:3ac44b4f-e76a-4c53-88e3-a007df9df587","http://resolver.tudelft.nl/uuid:3ac44b4f-e76a-4c53-88e3-a007df9df587","A Survey of Optimal Control Allocation for Aerial Vehicle Control","Blaha, T.M. (TU Delft Control & Simulation); Smeur, E.J.J. (TU Delft Control & Simulation); Remes, B.D.W. (TU Delft Control & Simulation)","","2023","In vehicle control, control allocation is often used to abstract control variables from actuators, simplifying controller design and enhancing performance. Surveying available literature reveals that explicit solutions are restricted to strong assumptions on the actuators, or otherwise fail to exploit the capabilities of the actuator constellation. A remedy is to formulate hierarchical minimization problems that take into account the limits of the actuators at the expense of a longer computing time. In this paper, we compared the most common norms of the objective functions for linear or linearized plants, and show available numeric solver types. Such a comparison has not been found in the literature before and indicates that some combinations of linear and quadratic norms are not sufficiently researched. While the bulk of the review is restricted to control-affine plant models, some extensions to dynamic and nonlinear allocation problems are shown. For aerial vehicles, a trend toward linearized incremental control schemes is visible, which forms a compromise between real-time capabilities and the ability to resolve some nonlinearities common in these vehicles.","","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:3942e458-b311-45ef-ae60-ffec30a70dab","http://resolver.tudelft.nl/uuid:3942e458-b311-45ef-ae60-ffec30a70dab","Assessing the Long-Term Performance of Adhesive Joints in Space Structures during Interplanetary Exploration","Charpentier, G.V.M. (TU Delft Structural Integrity & Composites); Lafont, Ugo (European Space Agency (ESA)); Teixeira De Freitas, S. (TU Delft Structural Integrity & Composites)","","2023","Spacecraft experience minimal mechanical loads in space, but with the development of reusable spacecraft for interplanetary exploration and repeated landings, structures will be subjected to increased mechanical stress. The impact of the space environment on the aging of adhesive materials used in space structures over long-term applications is not well understood. This study investigates two commonly used adhesives in spacecraft assembly, namely Scotch-Weld™ EC-2216 and Scotch-Weld™ EC-9323-2, under two aging conditions: (1) high-energy electron irradiation using a Van de Graaf accelerator, and (2) thermal vacuum cycling. The research evaluates the evolution of intrinsic adhesive properties and adhesion to CFRP (carbon fiber-reinforced polymer) and aluminum adherents before and after exposure to these environmental conditions through tensile tests, peel tests, double-cantilever beam (DCB) tests, and dynamic mechanical analysis (DMA).","","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:f6e8c929-e3d7-4cd6-8a49-1e56c9ef9b40","http://resolver.tudelft.nl/uuid:f6e8c929-e3d7-4cd6-8a49-1e56c9ef9b40","EuroGOOS roadmap for operational coastal downstream services","El Serafy, G.Y.H. (TU Delft Mathematical Physics; Deltares); Mészáros, L. (TU Delft Statistics; Deltares); Fernández, Vicente (European Global Ocean Observing System); Capet, Arthur (Royal Belgian Institute of Natural Sciences); She, Jun (Danish Meteorological Institute); Sotillo, Marcos Garcia (Nologin Consulting S.L); Melet, Angelique (Mercator Ocean International (MOi)); Legrand, Sebastien (Royal Belgian Institute of Natural Sciences); Mourre, Baptiste (SOCIB, Palma de Mallorca)","","2023","The EuroGOOS Coastal working group examines the entire coastal value chain from coastal observations to services for coastal users. The main objective of the working group is to review the status quo, identify gaps and future steps needed to secure and improve the sustainability of the European coastal service provision. Within this framework, our white paper defines a EuroGOOS roadmap for sustained “community coastal downstream service” provision, provided by a broad EuroGOOS community with focus on the national and local scale services. After defining the coastal services in this context, we describe the main components of coastal service provision and explore community benefits and requirements through sectoral examples (aquaculture, coastal tourism, renewable energy, port, cross-sectoral) together with the main challenges and barriers to user uptake. Technology integration challenges are outlined with respect to multiparameter observations, multi-platform observations, the land-coast-ocean continuum, and multidisciplinary data integration. Finally, the technological, financial, and institutional sustainability of coastal observing and coastal service provision are discussed. The paper gives special attention to the delineation of upstream and downstream services, public-private partnerships and the important role of Copernicus in better covering the coastal zone. Therefore, our white paper is a policy and practice review providing a comprehensive overview, in-depth discussion and actionable recommendations (according to key short-term or medium-term priorities) on the envisaged elements of a roadmap for sustained coastal service provision. EuroGOOS, as an entity that unites European national operational oceanography centres, research institutes and scientists across various domains within the broader field of operational oceanography, offers to be the engine and intermediary for the knowledge transfer and communication of experiences, best practices and information, not only amongst its members, but also amongst the different (research) infrastructures, institutes and agencies that have interests in coastal oceanography in Europe.","EuroGOOS; operational oceanography; coastal services; roadmap; copernicus","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:3489ec77-08da-4ced-a81e-2388034aee5e","http://resolver.tudelft.nl/uuid:3489ec77-08da-4ced-a81e-2388034aee5e","Internal shear layer and vortex shedding development of a structured porous coated cylinder using tomographic particle image velocimetry","Arcondoulis, E.J.G. (University of Bristol); Liu, Y. (Southern University of Science and Technology); Ragni, D. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy); Rubio Carpio, A. (TU Delft Wind Energy); Sedaghatizadeh, N. (University of Adelaide); Yang, Y. (Southern University of Science and Technology); Li, Z. (Southern University of Science and Technology)","","2023","Vortex shedding in the wake of a cylinder in uniform flow can be suppressed via the application of a porous coating; however, the suppression mechanism is not fully understood. The internal flow field of a porous coated cylinder (PCC) can provide a deeper understanding of how the flow within the porous medium affects the wake development. A structured PCC (SPCC) was three-dimensionally printed using a transparent material and tested in water tunnel facilities using flow visualisation and tomographic particle image velocimetry at outer-diameter Reynolds numbers of and, respectively. The internal and near-wall flow fields are analysed at the windward and mid-circumference regions. Flow stagnation is observed in the porous layer on the windward side and its boundary is shown to fluctuate with time in the outermost porous layer. This stagnation region generates a quasi-aerodynamic body that influences boundary layer development on the SPCC inner diameter, that separates into a shear layer within the porous medium. For the first time via experiment, spectral content within the separated shear layer reveals vortex shedding processes emanating through single pores at the outer diameter, providing strong evidence that SPCC vortex shedding originates from the inner diameter. Velocity fluctuations linked to this vortex shedding propagate through the porous layers into the external flow field at a velocity less than that of the free stream. The Strouhal number linked to this velocity accurately predicts the SPCC vortex shedding frequency.","","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:6fab90fe-c63b-4628-b435-9e634a4ffa62","http://resolver.tudelft.nl/uuid:6fab90fe-c63b-4628-b435-9e634a4ffa62","Reverse Recovery Optimization of Multiepi Superjunction MOSFET Based on Tunable Doping Profile","Liu, Ke (Southern University of Science and Technology); Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Li, Shizhen (Southern University of Science and Technology); Yuan, Wucheng (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); French, P.J. (TU Delft Bio-Electronics); Ye, H. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology)","","2023","This paper proposes and simulates research on the reverse recovery characteristics of two novel superjunction (SJ) MOSFETs by adjusting the doping profile. In the manufacturing process of the SJ MOSFET using multilayer epitaxial deposition (MED), the position and concentration of each Boron bubble can be adjusted by designing different doping profiles to adjust the resistance of the upper half P-pillar. A higher P-pillar resistance can slow down the sweep out speed of hole carriers when the body diode is turned off, thus resulting in a smoother reverse recovery current and reducing the current recovery rate (d (Formula presented.) /d (Formula presented.)) from a peak to zero. The simulation results show that the reverse recovery peak current (I (Formula presented.)) of the two proposed devices decreased by 5% and 3%, respectively, compared to the conventional SJ. Additionally, the softness factor (S) increased by 64% and 55%, respectively. Furthermore, this study also demonstrates a trade-off relationship between static and reverse recovery characteristics with the adjustable doping profile, thus providing a guideline for actual application scenarios.","superjunction; MOSFET; doping profile; reverse recovery; body diode","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:21cdc4f3-0abc-423b-b715-4ecdab2ba11c","http://resolver.tudelft.nl/uuid:21cdc4f3-0abc-423b-b715-4ecdab2ba11c","Data-driven Abstractions for Verification of Linear Systems","Coppola, R. (TU Delft Team Manuel Mazo Jr); Peruffo, A. (TU Delft Team Manuel Mazo Jr); Mazo, M. (TU Delft Team Manuel Mazo Jr)","","2023","We introduce a novel approach for the construction of symbolic abstractions - simpler, finite-state models - which mimic the behaviour of a system of interest, and are commonly utilized to verify complex logic specifications. Such abstractions require an exhaustive knowledge of the concrete model, which can be difficult to obtain in real-world applications. To overcome this, we propose to sample finite length trajectories of an unknown system and build an abstraction based on the concept of ℓ -completeness. To this end, we introduce the notion of probabilistic behavioural inclusion. We provide probably approximately correct (PAC) guarantees that such an abstraction, constructed from experimental symbolic trajectories of finite length, includes all behaviours of the concrete system, for both finite and infinite time horizon. Finally, our method is displayed with numerical examples.","Automata; Computational modeling; Extraterrestrial measurements; Modeling; Optimization; Picture archiving and communication systems; Statistical learning; Symbols; Trajectory; Uncertainty","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-22","","","Team Manuel Mazo Jr","","",""
"uuid:4268d2ac-5297-41be-a72d-aec7dbc1cdd1","http://resolver.tudelft.nl/uuid:4268d2ac-5297-41be-a72d-aec7dbc1cdd1","Advanced Digital Methods for Analysing and Optimising Accessibility and Visibility of Water for Designing Sustainable Healthy Urban Environments","Zhang, H. (TU Delft Landscape Architecture); Nijhuis, S. (TU Delft Landscape Architecture); Newton, C.E.L. (TU Delft Spatial Planning and Strategy)","","2023","Water, a vital element of human existence, shapes cities and benefits human health through daily exposure. This study delves into advanced digital methods to describe blue space exposure effectively, aiming to optimise spatial accessibility and visibility of water for designing sustainable healthy urban environments. Rotterdam is utilised as a test case, demonstrating the role of these methods in evaluating the performance of blue space exposure in urban environments, specifically in terms of spatial morphology and physical characteristics. Eight distinct methods are discussed, addressing exposure type, scale levels, and design interactions, subsequently offering a novel flowchart for their integration into cross-scale spatial design and policymaking. The findings underscore the need to select appropriate methods to analyse and optimise blue exposure in spatial planning or design assignments. The selection should be based on design intentions and data availability. The biggest potential is found in combining these methods to handle the complexity of urban issues. The research reveals the importance of blue space accessibility and visibility in promoting sustainable healthy urban environments while also emphasising the need to go beyond them, factoring in the quality, function, and usage of blue spaces.
Methods: We investigated the influence of a color themed HMI on the trust and take-over performance in automated vehicles. Using a driving simulator, we tested 45 participants divided in three groups with a baseline auditory HMI and two advanced color themed HMIs consisting of a display and ambient lighting with the colors red and blue. Trust in automation was assessed using questionnaires while take-over performance was assessed through response time and success rate.
Results: Compared to the baseline HMI, the color themed HMI is more trustworthy, and participants understood their driving tasks better. Results show that the color themed HMI is perceived as more pleasant compared to the baseline HMI and leads to shorter reaction times. Red ambient lighting is seen as more urging than blue, but HMI color did not significantly affect the general HMI perception and TOR performance.
Discussion: Further research can explore the use of color and other modalities to express varying urgency levels and validate findings in complex on road driving conditions.","take-over request; trust; automated driving; HMI; driving simulator","en","journal article","","","","","","","","","","","Intelligent Vehicles","","",""
"uuid:fcb82a39-87a3-4478-80f8-adcbd21b69cb","http://resolver.tudelft.nl/uuid:fcb82a39-87a3-4478-80f8-adcbd21b69cb","Reinforcement Learning for Intelligent Healthcare Systems: A Review of Challenges, Applications, and Open Research Issues","Abdellatif, Alaa Awad (Qatar University); Mhaisen, N. (TU Delft Networked Systems); Mohamed, Amr (Qatar University); Erbad, Aiman (Hamad Bin Khlifa University); Guizani, Mohsen (Mohamed Bin Zayed University of Artificial Intelligence)","","2023","The rise of chronic disease patients and the pandemic pose immediate threats to healthcare expenditure and mortality rates. This calls for transforming healthcare systems away from one-on-one patient treatment into intelligent health systems, leveraging the recent advances of Internet of Things and smart sensors. Meanwhile, reinforcement learning (RL) has witnessed an intrinsic breakthrough in solving a variety of complex problems for distinct applications and services. Thus, this article presents a comprehensive survey of the recent models and techniques of RL that have been developed/used for supporting Intelligent-healthcare (I-health) systems. It can guide the readers to deeply understand the state-of-the-art regarding the use of RL in the context of I-health. Specifically, we first present an overview of the I-health systems' challenges, architecture, and how RL can benefit these systems. We then review the background and mathematical modeling of different RL, deep RL (DRL), and multiagent RL models. We highlight important guidelines on how to select the appropriate RL model for a given problem, and provide quantitative comparisons, showing the results of deploying key RL models in two scenarios that can be followed in monitoring applications. After that, we conduct an in-depth literature review on RL's applications in I-health systems, covering edge intelligence, smart core network, and dynamic treatment regimes. Finally, we highlight emerging challenges and future research directions to enhance RL's success in I-health systems, which opens the door for exploring some interesting and unsolved problems.","Computer architecture; Deep learning; distributed machine learning; edge computing; Internet of Things; Internet of Things (IoT); Mathematical models; Medical services; Optimization; Remote monitoring; remote monitoring; Surveys","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-02","","","Networked Systems","","",""
"uuid:45e8b467-ec1f-4e45-a330-b6544e395dcd","http://resolver.tudelft.nl/uuid:45e8b467-ec1f-4e45-a330-b6544e395dcd","The role of epidemic spreading in seizure dynamics and epilepsy surgery","Millán, Ana P. (Vrije Universiteit Amsterdam); van Straaten, Elisabeth C. W. (Vrije Universiteit Amsterdam; Amsterdam Neuroscience, Systems and Network Neurosciences; Amsterdam Neuroscience, Neurodegeneration); Stam, Cornelis J. (Vrije Universiteit Amsterdam; Amsterdam Neuroscience, Brain Imaging; Amsterdam Neuroscience, Neurodegeneration); Nissen, Ida A. (Vrije Universiteit Amsterdam); Idema, Sander (Vrije Universiteit Amsterdam; Amsterdam Neuroscience, Systems and Network Neurosciences; Amsterdam Neuroscience, Cancer Biology and Immonology); Baayen, Johannes C. (Vrije Universiteit Amsterdam; Amsterdam Neuroscience, Systems and Network Neurosciences; Amsterdam Neuroscience, Cancer Biology and Immonology; Amsterdam Neuroscience, Imaging and Biomarkers); Van Mieghem, P.F.A. (TU Delft Network Architectures and Services); Hillebrand, Arjan (Vrije Universiteit Amsterdam; Amsterdam Neuroscience, Brain Imaging; Amsterdam Neuroscience, Systems and Network Neurosciences)","","2023","Epilepsy surgery is the treatment of choice for drug-resistant epilepsy patients, but only leads to seizure freedom for roughly two in three patients. To address this problem, we designed a patient-specific epilepsy surgery model combining large-scale magnetoencephalography (MEG) brain networks with an epidemic spreading model. This simple model was enough to reproduce the stereo-tactical electroencephalography (SEEG) seizure propagation patterns of all patients (N = 15), when considering the resection areas (RA) as the epidemic seed. Moreover, the goodness of fit of the model predicted surgical outcome. Once adapted for each patient, the model can generate alternative hypothesis of the seizure onset zone and test different resection strategies in silico. Overall, our findings indicate that spreading models based on patient-specific MEG connectivity can be used to predict surgical outcomes, with better fit results and greater reduction on seizure propagation linked to higher likelihood of seizure freedom after surgery. Finally, we introduced a population model that can be individualized by considering only the patient-specific MEG network, and showed that it not only conserves but improves the group classification. Thus, it may pave the way to generalize this framework to patients without SEEG recordings, reduce the risk of overfitting and improve the stability of the analyses.","Epilepsy surgery; MEG brainn networks; Seizure modeling; Epidemic spreading model; Personalized medicine","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:dfb73129-d3ca-434c-9501-8a84e7bc7f47","http://resolver.tudelft.nl/uuid:dfb73129-d3ca-434c-9501-8a84e7bc7f47","Gaps in intervals of N-expansions","de Jonge, C.J. (TU Delft Applied Probability; Korteweg-de Vries Institute for Mathematics); Kraaikamp, Cor (Universiteit van Amsterdam)","","2023","For N ∈ N≥2 and α ∈ R such that 0 < α ≤ N − 1, the continued fraction map Tα: [α, α+1] → [α, α+1) is defined as Tα (x):= N/x−d(x), where d: [α, α+1] → N is defined by d(x):= ⌊N/x − α⌋. A maximal open interval (a, b) ⊂ Iα is called a gap of Iα if for almost every x ∈ Iα there is an n0 (x) ∈ N such that xn /∈ (a, b) for all n ≥ n0 . In this paper, all conditions are given in which Iα is gapless. For α =√N − 1 it is shown that the number of gaps is a finite, monotonically non-decreasing and unbounded function of N.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-18","","","Applied Probability","","",""
"uuid:a2efd12e-b077-4683-bec2-c46c8104b187","http://resolver.tudelft.nl/uuid:a2efd12e-b077-4683-bec2-c46c8104b187","A cyclostratigraphic framework of the Upper Carboniferous Westoe and Cleaver formations in the southern North Sea Basin as a methodology for stratigraphic reservoir characterisation","Baars, T.F. (TU Delft Applied Geology); Huis in ‘t Veld, Richard (Argo Geological Consultants B.V.); Zhang, Linzhi (Student TU Delft); Koopmans, Maaike (Wintershall Noordzee B.V.); McLean, Duncan (MB Stratigraphy Limited); Martinius, A.W. (TU Delft Applied Geology); Abels, H.A. (TU Delft Applied Geology)","","2023","Orbital driven climate control on sedimentation produces regional, stratigraphically repetitive characters and so cyclostratigraphic correlation can improve correlation and identify stratigraphic trends in borehole sections. This concept is commonly used to correlate marine and lacustrine strata. However, in the alluvial domain, its use is more challenging because internal, local dynamics controlling sedimentation may interfere with the expression of cyclic climate forcing. Intervals of low net-to-gross may be important for successful application in this domain as they tend to better document regional changes. This study applies climate-based stratigraphic correlation concepts to improve well correlations, characterise vertical sand distribution, and identify potential reservoir targets in a generally low net-to-gross interval. Coarsening upward sedimentary repetitions (cyclothems) are identified and correlated with high certainty in nineteen well sections in the upper Carboniferous Westoe and Cleaver formations of the Silverpit Basin. Local sedimentary dynamics provide variability in the character of the cyclothems and several types of cyclothem are classified. Correlation of sections using cyclothems recognised on wireline logs is done twice: once manually and once semi-automatically. The semi-automated correlation is based on calculation of deviation curves which depict stratigraphic changes that are less dependent on absolute wireline values and follow vertical trends more clearly. The correlations provide composite stratigraphies that are analysed using vertical proportions curves. Both approaches yield similar results in terms of stratigraphic trends. However, for detailed correlation of wells, the manual correlation is better at accounting for any local variability within the system. The same two zones of higher net-to-gross ratios are found using both correlation methods. These are linked to palaeoclimatic changes driven by long eccentricity and the proposed climate stratigraphic model has predictive value for identifying sandstone occurrence. The climate-based stratigraphic correlation improves the assessment reservoir distribution and properties on small (10–20 m thickness) and large (100–200 m thickness) stratigraphical scales.","Allogenic and autogenic processes; Cyclothem; Fluvial architecture; Orbital climate change; Stratigraphic predictive models; Reservoir characterisation","en","journal article","","","","","","","","","","","Applied Geology","","",""
"uuid:ef6439f8-937a-4559-8c77-70c1c7650932","http://resolver.tudelft.nl/uuid:ef6439f8-937a-4559-8c77-70c1c7650932","Numerical simulation of a managed aquifer recharge system designed to supply drinking water to the city of Amsterdam, The Netherlands","Pokhrel, Pranisha (Universiteit Utrecht; IHE Delft Institute for Water Education); Zhou, Yangxiao (Hebei University; IHE Delft Institute for Water Education); Smits, F.J.C. (TU Delft Water Resources; Waternet); Kamps, Pierre (Waternet); Olsthoorn, T.N. (Waternet)","","2023","Managed aquifer recharge (MAR) is increasingly used to secure drinking water supply worldwide. The city of Amsterdam (The Netherlands) depends largely on the MAR in coastal dunes for water supply. A new MAR scheme is proposed for the production of 10 × 106 m3/year, as required in the next decade. The designed MAR system consists of 10 infiltration ponds in an artificially created sandbank, and 25 recovery wells placed beneath the ponds in a productive aquifer. Several criteria were met for the design, such as a minimum residence time of 60 days and maximum drawdown of 5 cm. Steady-state and transient flow models were calibrated. The flow model computed the infiltration capacity of the ponds and drawdowns caused by the MAR. A hypothetical tracer transport model was used to compute the travel times from the ponds to the wells and recovery efficiency of the wells. The results demonstrated that 98% of the infiltrated water was captured by the recovery wells which accounted for 65.3% of the total abstraction. Other sources include recharge from precipitation (6.7%), leakages from surface water (13.1%), and natural groundwater reserve (14.9%). Sensitivity analysis indicated that the pond conductance and hydraulic conductivity of the sand aquifer in between the ponds and wells are important for the infiltration capacity. The temperature simulation showed that the recovered water in the wells has a stable temperature of 9.8–12.5 °C which is beneficial for post-treatment processes. The numerical modelling approach is useful and helps to gain insights for implementation of the MAR.","Infiltration capacity; Managed aquifer recharge; Numerical modelling; Recovery efficiency; The Netherlands","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:a3519f06-fb67-49d2-8211-ba77695bb9bb","http://resolver.tudelft.nl/uuid:a3519f06-fb67-49d2-8211-ba77695bb9bb","Estimating hydraulic conductivity correlation lengths of an aquitard by inverse geostatistical modelling of a pumping test","van Leer, Martijn D. (Universiteit Utrecht); Zaadnoordijk, Willem (TU Delft Water Resources; TNO); Zech, Alraune (Universiteit Utrecht); Griffioen, Jasper (Universiteit Utrecht; TNO); Bierkens, Marc F.P. (Universiteit Utrecht; Deltares)","","2023","Aquitards are common hydrogeological features in the subsurface. Typically, pumping tests are used to parameterize the hydraulic conductivity of heterogeneous aquitards. However, they do not take spatial variability and uncertainty into account. Alternatively, core-scale measurements of hydraulic conductivity are used in geostatistical upscaling methods, for which their correlation lengths are needed, but this information is extremely difficult to obtain. This study investigates whether a pumping test can be used to obtain the correlation lengths needed for geostatistical upscaling and account for the uncertainty about heterogeneous aquitard conductivity. Random realizations are generated from core-scale data with varying correlation lengths and inserted into a groundwater flow model which simulates the outcome of an actual pumping test. The realizations yielded a better fit to the pumping test data than the traditional pumping test result, assuming homogeneous layers are selected. Ranges of horizontal and vertical correlation lengths that fit the pumping-test well are found. However, considerable uncertainty regarding the correlation lengths remains, which should be considered when parameterizing a regional groundwater flow model.","Aquitards; Geostatistics; Heterogeneity; Hydraulic properties","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:e56b713c-a2eb-4c76-93a8-f3a15b01839e","http://resolver.tudelft.nl/uuid:e56b713c-a2eb-4c76-93a8-f3a15b01839e","Beyond Nyquist in Frequency Response Function Identification: Applied to Slow-Sampled Systems","Van Haren, Max (Eindhoven University of Technology); Mirkin, Leonid (Technion); Blanken, Lennart (Eindhoven University of Technology; Sioux Technologies); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2023","Fast-sampled models are essential for control design, e.g., to address intersample behavior. The aim of this letter is to develop a non-parametric identification technique for fast-sampled models of systems that have relevant dynamics and actuation above the Nyquist frequency of the sensor, such as vision-in-the-loop systems. The developed method assumes smoothness of the frequency response function, which allows to disentangle aliased components through local models over multiple frequency bands. The method identifies fast-sampled models of slowly-sampled systems accurately in a single identification experiment. Finally, an experimental example demonstrates the effectiveness of the technique.","Frequency response function; sampled-data systems; system identification","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-08","","","Team Jan-Willem van Wingerden","","",""
"uuid:2fb494ee-24ad-4c7d-ba00-4f9e87b55da3","http://resolver.tudelft.nl/uuid:2fb494ee-24ad-4c7d-ba00-4f9e87b55da3","Assessing the failure of Open Government Data initiatives in Brazil","Wiedenhöft, Guilherme Costa (Universidade Federal do Rio Grande); Alexopoulos, Charalampos (University of the Aegean); Saxena, Stuti; Rizun, Nina (Politechnika Gdanska); Matheus, R. (TU Delft Information and Communication Technology)","","2023","While assessing the potential of a particular digital innovation initiative, especially when it has implications for a range of societal stakeholders, it becomes pertinent to understand the possible bottlenecks in its acceptability as well. In this regard, the present study seeks to understand how the Open Government Data (OGD) initiatives in Brazil are being confronted with bottlenecks in terms of their execution and acceptability. This exploratory study adopts a qualitative cross-sectional research approach wherein interviews are being conducted with 11 managers working in public organizations and are directly associated with the OGD initiatives. Findings from the interview responses delineate internal and external factors, resource availability, data maintenance, and lack of knowledge as the key determinants for the bottlenecks associated with the execution and acceptability of OGD initiatives by the societal stakeholders. The study's originality lies in its theoretical contribution towards an understanding of how a novel digital innovation-OGD, in the present case- is fraught with impediments in terms of its execution and acceptability. The study concludes with directions for further research and practitioner implications.","Brazil; failure; flaws; OGD; Open Government Data","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-30","","","Information and Communication Technology","","",""
"uuid:90caa891-0702-4cc2-8f6a-deae124593ea","http://resolver.tudelft.nl/uuid:90caa891-0702-4cc2-8f6a-deae124593ea","Moving out of the Human Vivarium: Live-in Laboratories and the Right to Withdraw","Mollen, J.K. (TU Delft Ethics & Philosophy of Technology)","","2023","Homes are increasingly being built as sensor-laden living environments to test the performance of novel technologies in interaction with real people. When people’s homes are turned into the site of experiments, the inhabitants become research subjects. This paper employs findings from biomedical research ethics to evaluate live-in laboratories and argues that when live-in laboratories function as a participant’s main residence, they constrain an individual’s so-called ‘right to withdraw’. Withdrawing from the live-in laboratory as a participant’s main residence means losing one’s home, which creates negative financial and psychological consequences for participants. I will argue that such costs conflict with a participants’ right to withdraw on two counts. First, that the exit costs from the live-in laboratory constitute a penalty, and second, that the costs of withdrawing from the live-in laboratory function as a constraint on a participant’s liberty. The paper concludes that (i) the right to withdraw is a necessary condition for the ethical permissibility of modern live in lab experiments and conclude (ii) the practice of making an experimental home as a participant’s main residence is ethically problematic.","research ethics; right to withdraw; live-in laboratories; smart homes; human experimentation","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:98178a67-e71c-418b-8cc6-48ea3fef78eb","http://resolver.tudelft.nl/uuid:98178a67-e71c-418b-8cc6-48ea3fef78eb","Correction to: Enhanced Oxygen Volumetric Mass Transfer in a Geometrically Constrained Vortex (Water, (2022), 14, 5, (771), 10.3390/w14050771)","Agostinho, Luewton L.F. (Wetsus, Centre for Sustainable Water Technology; NHL University of Applied Science); Pecnik, Rene (TU Delft Energy Technology); Woisetschläger, Jakob (Graz University of Technology); de Kroon, Esther (Wetsus, Centre for Sustainable Water Technology; NHL University of Applied Science); Şişcanu, Nicolae (Wetsus, Centre for Sustainable Water Technology; Wageningen University & Research); van de Griend, Maarten V. (Wetsus, Centre for Sustainable Water Technology; BOKU-University of Natural Resources and Life Sciences); Loiskandl, Willibald (BOKU-University of Natural Resources and Life Sciences); Fuchs, Elmar C. (Wetsus, Centre for Sustainable Water Technology; University of Twente)","","2023","There was an omission in the original publication [1]. The authors showed SOTR values in a figure but did not mention how these values were calculated. As a consequence, the calculations based upon the SOTR also need to be more specific, which is why “the twisted regime of” has been added in front of “the hyperbolic funnel”. An addition has been made to the paper as Supplementary Information where this information has been added, Section 3, Paragraph 5: Figure 4 shows KLa and SOTR values (see Supplementary Information for details) dependent also as a function of flow rate. Average KLa values (for 20 °C) for air jet, impellers and paddle aerators [12] are shown for comparison. The plot shows that the KLa values obtained with the free surface vortex in a hyperbolically shaped funnel are considerably higher than those of the three commercial systems used for comparison, especially in the twisted regime. These high oxygen transfer rates are a consequence of the aforementioned combination of high area-to-volume ratios and possibly enhanced turbulence close to the interface. On the other hand, the SOTR values (up to 0.5 kg/h) [12] are comparable to air jet system and lower than those reported for impeller and paddle systems (between 1.0 and 2.5 kg/h) [12]. This is due to the short hydraulic retention times in the hyperbolic funnel (typically less than a minute). A possibility to increase the SOTR value is the application of a cascade of funnels which would multiply the HRT with a factor representing the number of funnels, whilst maintaining the desired flow regime and thus the KLa value. For practical applications the specific energy demand of such a cascade can be estimated as follows: The twisted regime of the hyperbolic funnel tested in this work has a specific energy demand of 0.01 kWh/kg O2 (see Appendix A for calculation details). In comparison, the specific energy demands of mechanical aerators range from 0.42 to 0.83 kWh/kg O2 [13] and the specific energy demands of air jets range from 0.74 to 1.0 kWh/kg O2 [12]. However, the industrial applicability of this system depends on its scalability to higher flow rates in larger funnels on the one hand, and on the possibility to achieve the described regimes with liquids of different viscosities as found in wastewater streams on the other. Thus, while the potential improvements in the energy efficiency of the aeration process seem promising, further work is required for confirmation and to exclude negative impacts on the sludge characteristics and WWTP performances. The authors state that the scientific conclusions are unaffected. This correction was approved by the Academic Editor. The original publication has also been updated. Supplementary Materials: The following supporting information can be downloaded at: https://www.mdpi.com/article/10.3390/w14050771/s1, The calculation method of SOTR values.","","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:c15db5a9-d825-466b-960c-7a73b6fb34ef","http://resolver.tudelft.nl/uuid:c15db5a9-d825-466b-960c-7a73b6fb34ef","Conversion of a Single-Frequency X-Band EPR Spectrometer into a Broadband Multi-Frequency 0.1–18 GHz Instrument for Analysis of Complex Molecular Spin Hamiltonians","Hagen, W.R. (TU Delft BT/Biocatalysis)","","2023","A broadband EPR spectrometer is an instrument that can be tuned to many microwave frequencies over several octaves. Its purpose is the collection of multi-frequency data, whose global analysis affords interpretation of complex spectra by means of deconvolution of frequency-dependent and frequency-independent interaction terms. Such spectra are commonly encountered, for example, from transition-metal complexes and metalloproteins. In a series of previous papers, I have described the development of broadband EPR spectrometers around a vector network analyzer. The present study reports on my endeavor to start from an existing X-band spectrometer and to reversibly re-build it into a broadband machine, in a quest to drastically reduce design effort, building costs, and operational complexity, thus bringing broadband EPR within easy reach of a wide range of researchers.","broadband; EPR; ESR; free radicals; metal complex; metalloprotein; strip line; wire micro strip","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:6be05639-080c-48a2-bf07-dba6320279f3","http://resolver.tudelft.nl/uuid:6be05639-080c-48a2-bf07-dba6320279f3","Limits of realizing irradiance distributions with shift-invariant illumination systems and finite etendue sources","Heemels, A.N.M. (TU Delft ImPhys/Adam group); Adam, A.J.L. (TU Delft ImPhys/Adam group); Urbach, Paul (TU Delft ImPhys/Urbach group)","","2023","When redistributing the light emitted by a source into a prescribed irradiance distribution, it is not guaranteed that, given the source and optical constraints, the desired irradiance distribution can be achieved.We analyze the problem by assuming an optical black box that is shift-invariant, meaning that a change in source position does not change the shape of the irradiance distribution, only its position. The irradiance distribution we can obtain is then governed by deconvolution. Using positive-definite functions and Bochner s theorem, we provide conditions such that the irradiance distribution can be realized for finite etendue sources.We also analyze the problem using optimization, showing that the result heavily depends on the chosen source distribution.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-06","","","ImPhys/Adam group","","",""
"uuid:9aa427d9-f634-46ef-bab8-186dac3af2d7","http://resolver.tudelft.nl/uuid:9aa427d9-f634-46ef-bab8-186dac3af2d7","Anisotropic proximity-induced superconductivity and edge supercurrent in Kagome metal, K1-xV3Sb5","Wang, Y. (TU Delft QN/Ali Lab; Max Planck Institute of Microstructure Physics; Kavli institute of nanoscience Delft); Yang, Shuo Ying (Max Planck Institute of Microstructure Physics); Sivakumar, Pranava K. (Max Planck Institute of Microstructure Physics); Ortiz, Brenden R. (University of California); Teicher, Samuel M.L. (University of California); Wu, H. (TU Delft QN/Ali Lab; Max Planck Institute of Microstructure Physics; Kavli institute of nanoscience Delft); Srivastava, Abhay K. (Max Planck Institute of Microstructure Physics); Garg, Chirag (Max Planck Institute of Microstructure Physics; IBM Almaden Research Center); Ali, M.N. (TU Delft QN/Ali Lab; Max Planck Institute of Microstructure Physics; Kavli institute of nanoscience Delft)","","2023","Materials with Kagome nets are of particular importance for their potential combination of strong correlation, exotic magnetism, and electronic topology. KV3Sb5 was discovered to be a layered topological metal with a Kagome net of vanadium. Here, we fabricated Josephson Junctions of K1-xV3Sb5 and induced superconductivity over long junction lengths. Through magnetoresistance and current versus phase measurements, we observed a magnetic field sweeping direction-dependent magnetoresistance and an anisotropic interference pattern with a Fraunhofer pattern for in-plane magnetic field but a suppression of critical current for out-of-plane magnetic field. These results indicate an anisotropic internal magnetic field in K1-xV3Sb5 that influences the superconducting coupling in the junction, possibly giving rise to spin-triplet superconductivity. In addition, the observation of long-lived fast oscillations shows evidence of spatially localized conducting channels arising from edge states. These observations pave the way for studying unconventional superconductivity and Josephson device based on Kagome metals with electron correlation and topology.","","en","journal article","","","","","","","","","","","QN/Ali Lab","","",""
"uuid:6b8c4ca5-8148-4cb3-95ec-8086c93c2f99","http://resolver.tudelft.nl/uuid:6b8c4ca5-8148-4cb3-95ec-8086c93c2f99","Gaia Data Release 3: The Galaxy in your preferred colours: Synthetic photometry from Gaia low-resolution spectra","Schultheis, M. (Université Côte d'Azur); Zhao, H. (Université Côte d'Azur); Zwitter, T. (University of Ljubljana); Hladczuk, N.A. (TU Delft Astrodynamics & Space Missions; European Space Astronomy Centre (ESAC)); Guerra, R. (European Space Astronomy Centre (ESAC)); Lammers, U. L. (European Space Astronomy Centre (ESAC)); Bakker, J. (European Space Astronomy Centre (ESAC)); Teodoro, P. de (European Space Astronomy Centre (ESAC)); García-Lario, P. (European Space Astronomy Centre (ESAC))","","2023","Gaia Data Release 3 provides novel flux-calibrated low-resolution spectrophotometry for '220 million sources in the wavelength range 330 nm ≤ λ ≤ 1050 nm (XP spectra). Synthetic photometry directly tied to a flux in physical units can be obtained from these spectra for any passband fully enclosed in this wavelength range. We describe how synthetic photometry can be obtained from XP spectra, illustrating the performance that can be achieved under a range of different conditions - for example passband width and wavelength range - as well as the limits and the problems affecting it. Existing top-quality photometry can be reproduced within a few per cent over a wide range of magnitudes and colour, for wide and medium bands, and with up to millimag accuracy when synthetic photometry is standardised with respect to these external sources. Some examples of potential scientific application are presented, including the detection of multiple populations in globular clusters, the estimation of metallicity extended to the very metal-poor regime, and the classification of white dwarfs. A catalogue providing standardised photometry for 2.2×108sources in several wide bands of widely used photometric systems is provided (Gaia Synthetic Photometry Catalogue; GSPC) as well as a catalogue of '105 white dwarfs with DA/non-DA classification obtained with a Random Forest algorithm (Gaia Synthetic Photometry Catalogue for White Dwarfs; GSPC-WD).","","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:31c120f5-a467-4cd9-9722-b4a7649c17e1","http://resolver.tudelft.nl/uuid:31c120f5-a467-4cd9-9722-b4a7649c17e1","Gaia Data Release 3: Chemical cartography of the Milky Way","Schultheis, M. (Université Côte d'Azur); Zhao, H. (Université Côte d'Azur); Zwitter, T. (University of Ljubljana); Hladczuk, N.A. (TU Delft Astrodynamics & Space Missions; European Space Astronomy Centre (ESAC)); Guerra, R. (European Space Astronomy Centre (ESAC)); Lammers, U. L. (European Space Astronomy Centre (ESAC)); Bakker, J. (European Space Astronomy Centre (ESAC)); Teodoro, P. de (European Space Astronomy Centre (ESAC)); García-Lario, P. (European Space Astronomy Centre (ESAC))","","2023","Context. The motion of stars has been used to reveal details of the complex history of the Milky Way, in constant interaction with its environment. Nevertheless, to reconstruct the Galactic history puzzle in its entirety, the chemo-physical characterisation of stars is essential. Previous Gaia data releases were supported by a smaller, heterogeneous, and spatially biased mixture of chemical data from ground-based observations. Aims. Gaia Data Release 3 opens a new era of all-sky spectral analysis of stellar populations thanks to the nearly 5.6 million stars observed by the Radial Velocity Spectrometer (RVS) and parametrised by the GSP-Spec module. In this work, we aim to demonstrate the scientific quality of Gaia s Milky Way chemical cartography through a chemo-dynamical analysis of disc and halo populations. Methods. Stellar atmospheric parameters and chemical abundances provided by Gaia DR3 spectroscopy are combined with DR3 radial velocities and EDR3 astrometry to analyse the relationships between chemistry and Milky Way structure, stellar kinematics, and orbital parameters. Results. The all-sky Gaia chemical cartography allows a powerful and precise chemo-dynamical view of the Milky Way with unprecedented spatial coverage and statistical robustness. First, it reveals the strong vertical symmetry of the Galaxy and the flared structure of the disc. Second, the observed kinematic disturbances of the disc seen as phase space correlations and kinematic or orbital substructures are associated with chemical patterns that favour stars with enhanced metallicities and lower [α/Fe] abundance ratios compared to the median values in the radial distributions. This is detected both for young objects that trace the spiral arms and older populations. Several α, iron-peak elements and at least one heavy element trace the thin and thick disc properties in the solar cylinder. Third, young disc stars show a recent chemical impoverishment in several elements. Fourth, the largest chemo-dynamical sample of open clusters analysed so far shows a steepening of the radial metallicity gradient with age, which is also observed in the young field population. Finally, the Gaia chemical data have the required coverage and precision to unveil galaxy accretion debris and heated disc stars on halo orbits through their [α/Fe] ratio, and to allow the study of the chemo-dynamical properties of globular clusters. Conclusions. Gaia DR3 chemo-dynamical diagnostics open new horizons before the era of ground-based wide-field spectroscopic surveys. They unveil a complex Milky Way that is the outcome of an eventful evolution, shaping it to the present day.","","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:5ca3cbe2-60a1-45f2-8f3d-230e2b45a236","http://resolver.tudelft.nl/uuid:5ca3cbe2-60a1-45f2-8f3d-230e2b45a236","Gaia Data Release 3: The extragalactic content","Schultheis, M. (Université Côte d'Azur); Zhao, H. (Université Côte d'Azur); Zwitter, T. (University of Ljubljana); Hladczuk, N.A. (TU Delft Astrodynamics & Space Missions; European Space Astronomy Centre (ESAC)); Guerra, R. (European Space Astronomy Centre (ESAC)); Lammers, U. L. (European Space Astronomy Centre (ESAC)); Bakker, J. (European Space Astronomy Centre (ESAC)); Teodoro, P. de (European Space Astronomy Centre (ESAC)); García-Lario, P. (European Space Astronomy Centre (ESAC))","","2023","The Gaia Galactic survey mission is designed and optimized to obtain astrometry, photometry, and spectroscopy of nearly two billion stars in our Galaxy. Yet as an all-sky multi-epoch survey, Gaia also observes several million extragalactic objects down to a magnitude of G 21 mag. Due to the nature of the Gaia onboard-selection algorithms, these are mostly point-source-like objects. Using data provided by the satellite, we have identified quasar and galaxy candidates via supervised machine learning methods, and estimate their redshifts using the low resolution BP/RP spectra. We further characterise the surface brightness profiles of host galaxies of quasars and of galaxies from pre-defined input lists. Here we give an overview of the processing of extragalactic objects, describe the data products in Gaia DR3, and analyse their properties. Two integrated tables contain the main results for a high completeness, but low purity (50-70%), set of 6.6 million candidate quasars and 4.8 million candidate galaxies. We provide queries that select purer sub-samples of these containing 1.9 million probable quasars and 2.9 million probable galaxies (both 95% purity). We also use high quality BP/RP spectra of 43 thousand high probability quasars over the redshift range 0.05-4.36 to construct a composite quasar spectrum spanning restframe wavelengths from 72 1000 nm.","","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:bee57047-3cff-4408-b222-27784d215ee6","http://resolver.tudelft.nl/uuid:bee57047-3cff-4408-b222-27784d215ee6","Foehn winds at Pine Island Glacier and their role in ice changes","Francis, Diana (Khalifa University); Fonseca, Ricardo (Khalifa University); Mattingly, Kyle S. (University of Wisconsin-Madison); Lhermitte, S.L.M. (TU Delft Mathematical Geodesy and Positioning; Katholieke Universiteit Leuven); Walker, Catherine (Woods Hole Oceanographic Institution)","","2023","Pine Island Glacier (PIG) has recently experienced increased ice loss that has mostly been attributed to basal melt and ocean ice dynamics. However, atmospheric forcing also plays a role in the ice mass budget, as besides lower-latitude warm air intrusions, the steeply sloping terrain that surrounds the glacier promotes frequent Foehn winds. An investigation of 41 years of reanalysis data reveals that Foehn occurs more frequently from June to October, with Foehn episodes typically lasting about 5 to 9 h. An analysis of the surface mass balance indicated that their largest impact is on the surface sublimation, which is increased by about 1.43 mm water equivalent (w.e.) per day with respect to no-Foehn events. Blowing snow makes roughly the same contribution as snowfall, around 0.34–0.36 mm w.e. d−1, but with the opposite sign. The melting rate is 3 orders of magnitude smaller than the surface sublimation rate. The negative phase of the Antarctic oscillation and the positive phase of the Southern Annular Mode promote the occurrence of Foehn at PIG. A particularly strong event took place on 9–11 November 2011, when 10 m winds speeds in excess of 20 m s−1 led to downward sensible heat fluxes higher than 75 W m−2 as they descended the mountainous terrain. Surface sublimation and blowing-snow sublimation dominated the surface mass balance, with magnitudes of up to 0.13 mm w.e. h−1. Satellite data indicated an hourly surface melting area exceeding 100 km2. Our results stress the importance of the atmospheric forcing on the ice mass balance at PIG.","","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:a68bf1a7-a4e0-40c7-9d0d-1060bb298c88","http://resolver.tudelft.nl/uuid:a68bf1a7-a4e0-40c7-9d0d-1060bb298c88","RISE-UP: Resilience in urban planning for climate uncertainty: Empirical insights and theoretical reflections from case studies in Amsterdam and Mumbai","Krishnan, S. (TU Delft System Engineering); Aydin, N.Y. (TU Delft System Engineering); Comes, M. (TU Delft Transport and Logistics)","","2023","Climate change is one of the main drivers of uncertainty in urban planning, but only a few studies systematically address these uncertainties, especially in the long term. Urban resilience theory presents principles to manage uncertainty but largely focuses on individual urban systems rather than complex interdependent dynamics. Further, most planning and resilience theory originates from the Global North and is unsuitable for capturing the dynamics of the Global South. This study uses an exploratory multi-case analysis towards developing an enhanced understanding of urban planning for climate uncertainty. We argue that long-term urban planning for climate uncertainty can benefit from systematically integrating resilience principles. We use a two-step qualitative research approach: (1) To propose a conceptual framework connecting urban resilience principles, approaches to urban planning under uncertainty and planning responses in urban systems. (2) To use the conceptual framework to analyse climate-related planning responses in two contrasting case studies in the Global North (GN) and Global South (GS) (Amsterdam and Mumbai). We conclude with four propositions towards an enhanced understanding of urban planning for climate uncertainty by drawing upon the empirical insights from the two case studies.","urban resilience; Climate change; Urban planning; Cities","en","journal article","","","","","","","","","","","System Engineering","","",""
"uuid:1f2ad684-f792-456d-958e-f159f32c9073","http://resolver.tudelft.nl/uuid:1f2ad684-f792-456d-958e-f159f32c9073","Effects of downstream environmental flow release on enhancing the groundwater recharge and restoring the groundwater/surface-water connectivity in Yongding River, Beijing, China","Liu, S. (TU Delft Water Resources; IHE Delft Institute for Water Education); Zhou, Yangxiao (IHE Delft Institute for Water Education; Hebei University of Geosciences); Zang, Yining (IHE Delft Institute for Water Education); McClain, M.E. (TU Delft Water Resources; IHE Delft Institute for Water Education); Wang, Xu sheng (China University of Geosciences)","","2023","The Yongding River (Beijing, China) was dry most times of the year, and groundwater storage was severely depleted. To address this issue, a river rehabilitation project was initiated. A downstream environmental flow release (EFR) project from upstream reservoirs has been implemented since 2019. This study evaluated the impact of EFR by constructing transient groundwater-flow and numerical tracer transport models to simulate the hydrogeological responses to the water release events in 2019–2020. The study identified two factors that significantly influence the river leakage rate, which are operational factors (i.e., water release rate and duration) and physical factors (i.e., hydraulic properties of the riverbed, regional hydraulic gradients, and groundwater depth) that determine the maximum water availability for groundwater recharge and maximum infiltration capacity, respectively. Predictive modelling was performed to assess the long-term effects of the proposed EFR scheme from 2021 to 2050, which showed that groundwater levels along the river will increase by 10–20 m by 2050. Groundwater storage is expected to be largely recovered and groundwater/surface-water connectivity in the middle reach of the river will be restored. This restoration will not only maintain the environmental flow for the benefit of ecosystems but also enhance groundwater recharge, promoting sustainable groundwater development in the region. Overall, this study provides valuable insights into the effectiveness of the proposed EFR scheme in achieving sustainable groundwater development in the region.","China; Environmental flow release; Groundwater recharge; Groundwater/surface-water relations; Numerical simulations","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:a06478a8-f7fe-4a05-b4ea-7652a4a3b079","http://resolver.tudelft.nl/uuid:a06478a8-f7fe-4a05-b4ea-7652a4a3b079","Extended Glivenko–Cantelli theorem for simple random sampling without replacement from a finite population","Motoyama, Hitoshi (TU Delft Statistics; Aoyama Gakuin University)","","2023","In this study, we consider the rate of uniform almost sure convergence ofthe empirical distribution function for simple random sampling withoutreplacement from a finite population. Utilizing Hoeffding’s inequalityfor simple random sampling without replacement, this study extendsthe classical Glivenko—Cantelli theorem for the empirical distributionfunction for samples from a finite population. Our numerical simulationresults are consistent with theoretical results","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-15","","","Statistics","","",""
"uuid:7681f39a-98bf-47d9-8e02-65b8426679b0","http://resolver.tudelft.nl/uuid:7681f39a-98bf-47d9-8e02-65b8426679b0","Visualising and reverging: Understanding the intersection between creativity and visual thinking","Jansen, A.B.P.A. (TU Delft Marketing and Consumer Research; Flatland Agency); Heijne, K.G. (TU Delft Methodologie en Organisatie van Design); Van Oosterom, Iren (Flatland Agency); Gonçalves, M. (TU Delft Methodologie en Organisatie van Design)","","2023","We investigate reverging - the phase between the diverging and converging steps in a creative process - in the context of a visual thinking agency. Creative facilitation literature advocates for such a phase, aimed at revisiting and rearranging ideas generated during diverging, to prepare for converging. However, in practice this step is often neglected or not performed well, resulting into a sense of increased complexity or lack of client ownership. Two studies were used to investigate reverging in context: a preliminary study consisted of interviews and observations to better understand reverging in current visual thinking practices. The follow-up study focused on co-creating a tool to solve the problem identified in the preliminary study. While the preliminary study revealed the need to involve clients in both diverging and reverging phases, the follow-up study resulted in the creation of the Whiteboard Canvas. The tool was tested in practice and several benefits of reverging in visual thinking practices emerged. The tool empowers visual thinking practitioners to involve their clients more actively in reverging, resulting into a more deliberate creative process and an increased sense of client ownership.","Creative Facilitation; Creativity; Design practice; Reverging; Visualisation","en","journal article","","","","","","","","","","","Marketing and Consumer Research","","",""
"uuid:77241b9e-0d2c-4595-8e7d-95d61ccf5860","http://resolver.tudelft.nl/uuid:77241b9e-0d2c-4595-8e7d-95d61ccf5860","Multi-Objective Optimization of Hybrid Heat Sinks with Phase Change Materials","Nedumaran, Muthamil Selvan (National Institute of Technology Karnataka); Trilok, Govindappa (National Institute of Technology Karnataka); Gnanasekaran, Nagarajan (National Institute of Technology Karnataka); Hooman, K. (TU Delft Process and Energy)","","2023","A passive method with phase change material (PCM) is an appropriate technique in electronic cooling. But, due to its poor thermal conductivity, many enhancers are employed to reduce the thermal resistance offered by the PCM. A partial filling strategy to reduce the cost and weight of foams with fins is used in this study. A hybrid heat sink with a combination of fins placed at the sidewalls of the enclosure and foams filled at certain heights such as 10, 20, and 30 mm is considered in this present work. A two-dimensional numerical model with n-eicosane as PCM is developed in ANSYS Fluent 19. A multi-objective optimization is carried out using a reliable multi criteria decision making approach. Different weightage is distributed to the objective functions in this method depending on the choice of the user. The pore size and density vary for various filling heights, and 60 cases are investigated for both charging and discharging cycles. The pore size of 0.8-0.95 and pore density of 5-25 pores per inch with a broad range is considered. From the discussions, guidelines for selecting a preferable pore size and pore density can be determined based on the filling height and applied weightage.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-17","","Process and Energy","","","",""
"uuid:3211024d-9e4b-4935-bcc3-01de3a897008","http://resolver.tudelft.nl/uuid:3211024d-9e4b-4935-bcc3-01de3a897008","Distributed Dynamic Coordination Control for Offshore Platform Transportation Under Ocean Environmental Disturbances","Du, Zhe (TU Delft Transport Engineering and Logistics); Negenborn, R.R. (TU Delft Transport Engineering and Logistics); Reppa, V. (TU Delft Transport Engineering and Logistics)","","2023","Transportation of a large offshore platform from inland waters to the open sea is a hazardous and challenging mission. With the development of the autonomous surface vessel (ASV), the problem of large floating object transportation has a chance to be solved by applying multiple physical-connected autonomous tugboats. This article proposes a distributed dynamic coordination control scheme for a multivessel autonomous towing system to transport an offshore platform under environmental disturbances. Where the dynamic coordination decision mechanism is based on the relative position of the two neighbor waypoints, the controllers are designed based on the multilayer model-predictive control (MPC) strategy with several specific cost functions, and the distributed control architecture is built based on the alternating direction method of multipliers (ADMM) with augmented Lagrangian function. The simulation experiment indicates that the proposed control scheme can achieve better consensus for the distributed control architecture accomplishment and more efficiently transport an offshore platform under environmental disturbances.","Distributed model-predictive control (MPC); dynamic coordination control; environmental disturbances; Force; Hydrodynamics; multivessel system; Offshore installations; offshore platform transportation; Predictive control; Sea surface; Trajectory; Transportation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-18","","","Transport Engineering and Logistics","","",""
"uuid:b25e77b6-5ad2-48f3-a11d-d152b829c286","http://resolver.tudelft.nl/uuid:b25e77b6-5ad2-48f3-a11d-d152b829c286","Performance Comparison between Data Centers with Different Airflow Management Technologies","Li, Xueqiang (Tianjin University of Commerce); Zhang, Zhongyao (Tianjin University of Commerce); Wang, Qihui (Tianjin University of Commerce); Yang, Xiaohu (Xi’an Jiaotong University); Hooman, K. (TU Delft Process and Energy); Liu, Shengchun (Tianjin University; Tianjin University of Commerce)","","2023","Air cooling systems are widely used in current data centers owing to their low capital costs and high reliability. To satisfy the increasing rack power density, the optimal air-cooling technology and an economic analysis should be carefully discussed. Therefore, this study discusses four airflow management technologies: Case 1: raised floor and cold aisle containment supply/computer room air conditioning (CRAC) direct return; Case 2: CRAC direct supply/hot aisle containment (HAC) return; Case 3: overhead duct supply/CRAC direct return; and Case 4: overhead duct supply/HAC return. Using a validated model, the thermal and economic performances of each case were compared. Results showed that Case 4 exhibited the best thermal performance, followed by Cases 3, 2, and 1. Case 1 cannot satisfy the heat dissipation requirement when the rack power density is larger than 12.5 kW; whereas only Case 4 can be used when the power density is larger than 15 kW. Regarding location within China, owing to the high ambient temperature, Shenzhen showed the highest annual cost value and power usage effectiveness, followed by Shanghai, Xi’an, Beijing, and Harbin. Finally, Cases 3 and 4 are recommended for application when the rack power density is greater than 10 kW.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-15","","Process and Energy","","","",""
"uuid:1b6988e7-b883-495d-be96-9cbfeefe0ce2","http://resolver.tudelft.nl/uuid:1b6988e7-b883-495d-be96-9cbfeefe0ce2","Chessboard Focal Plane Array for a CMOS-Integrated Terahertz Camera","Hoogelander, M. (TU Delft Tera-Hertz Sensing); van Berkel, S.L. (TU Delft Tera-Hertz Sensing; California Institute of Technology); Malotaux, E.S. (TU Delft Electronics; Tusk IC); Alonso Del Pino, M. (TU Delft Tera-Hertz Sensing); Cavallo, D. (TU Delft Tera-Hertz Sensing); Spirito, M. (TU Delft Electronics); Llombart, Nuria (TU Delft Tera-Hertz Sensing)","","2023","This article presents the development of a focal plane array (FPA) for terahertz imaging applications with a near diffraction-limited resolution achieved through a very tight sampling of the focal plane. The antenna array is integrated with direct detectors in a 22-nm CMOS technology and operates from 200 to 600 GHz. The tight sampling of the focal plane is realized by using a combination of leaky-wave radiation and a dual-polarized connected array configuration that closely resembles a chessboard. By utilizing both the polarizations in the chessboard design, the number of array elements per unit area is effectively doubled. The geometry of the chessboard array was co-optimized together with that of a silicon elliptical lens to achieve both high aperture efficiency and beam overlap. Measurements in the WR2.2 band of a fabricated demonstrator showed that an aperture efficiency of −4.1 dB was realized at 400 GHz. The average gain roll-off between two diagonally adjacent array elements was measured to be −1.5 dB at 400 GHz. Compared to the reference configuration of an idealized, equivalently sampled hexagonal FPA, the improvement in gain at the edge of coverage yields 1.2 dB, which includes 1.9 dB of ohmic losses in the chessboard array. The agreement between measurements and simulations proved to be within 1 dB from 325 to 475 GHz.","Antenna arrays; Apertures; Cameras; CMOS antenna array; direct-detector; Feeds; focal plane array; high-resolution imaging; Imaging; leaky-wave antennas; lens antenna array; Lenses; passive imaging; Silicon; terahertz; ultra-wideband","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-03","","","Tera-Hertz Sensing","","",""
"uuid:2f9b1c45-8a6d-484a-af1f-7cef760678be","http://resolver.tudelft.nl/uuid:2f9b1c45-8a6d-484a-af1f-7cef760678be","An investigation of operational management solutions and challenges for electric taxiing of aircraft","Zoutendijk, M. (TU Delft Control & Simulation); Mitici, M.A. (Universiteit Utrecht); Hoekstra, J.M. (TU Delft Control & Simulation)","","2023","Taxiing aircraft using electric towing vehicles (ETVs) is expected to significantly contribute to the objective of climate-neutral aviation by 2050. This study reviews existing work on operational aspects of electric towing of aircraft, and discusses management solutions. We first discuss the varying electric taxi systems currently under development, and their implementation progress at airports. We outline the current specifications of ETVs and the procedures needed to perform electric taxiing movements. We next discuss the management needs for implementing ETVs at an airport, by reviewing existing mathematical models for ETV fleet management: dedicated vehicle routing models, ETV to flight assignment models, fleet sizing models and battery charging optimisation models. Last, we identify remaining research challenges. For instance, a main challenge is to increase the robustness of ETV routing and towing scheduling against disruptions due to flight delay. This paper summarizes the main research directions needed to support large-scale ETV implementation in the next few decades.","Electric taxiing; Charging strategy; Routing & Scheduling; Operational management","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:f15b4e95-d8b4-44ac-9f6b-f210a797d1b1","http://resolver.tudelft.nl/uuid:f15b4e95-d8b4-44ac-9f6b-f210a797d1b1","A switching Gaussian process latent force model for the identification of mechanical systems with a discontinuous nonlinearity","Marino, Luca (TU Delft Aerospace Structures & Computational Mechanics); Cicirello, A. (TU Delft Mechanics and Physics of Structures)","","2023","An approach for the identification of discontinuous and nonsmooth nonlinear forces, as those generated by frictional contacts, in mechanical systems that can be approximated by a single-degree-of-freedom model is presented. To handle the sharp variations and multiple motion regimes introduced by these nonlinearities in the dynamic response, the partially known physics-based model and noisy measurements of the system’s response to a known input force are combined within a switching Gaussian process latent force model (GPLFM). In this grey-box framework, multiple Gaussian processes are used to model the unknown nonlinear force across different motion regimes and a resetting model enables the generation of discontinuities. The states of the system, nonlinear force, and regime transitions are inferred by using filtering and smoothing techniques for switching linear dynamical systems. The proposed switching GPLFM is applied to a simulated dry friction oscillator and an experimental setup consisting of a single-storey frame with a brass-to-steel contact. Excellent results are obtained in terms of the identified nonlinear and discontinuous friction force for varying: (i) normal load amplitudes in the contact; (ii) measurement noise levels, and (iii) number of samples in the datasets. Moreover, the identified states, friction force, and sequence of motion regimes are used for evaluating: (1) uncertain system parameters; (2) the friction force–velocity relationship, and (3) the static friction force. The correct identification of the discontinuous nonlinear force and the quantification of any remaining uncertainty in its prediction enable the implementation of an accurate forward model able to predict the system’s response to different input forces.","friction; Grey-box modelling; Nonlinear system identification; Physics-based Machine Learning; Stick-slip","en","journal article","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:43ce5420-f951-459d-a486-eb08b1b01d93","http://resolver.tudelft.nl/uuid:43ce5420-f951-459d-a486-eb08b1b01d93","Towards a Clear and Fair Conceptualization of Empathy","Bollen, C.J.M. (TU Delft Ethics & Philosophy of Technology)","","2023","Empathy is operationalised and measured in various different ways in research. I have identified several trends in empathy research that have resulted in what I refer to as neurotypical gatekeeping of the concept of empathy. Narrow assumptions on the relationship between experiences and expressions have made the concept exclusive to those who are perceived as neurotypical. In several ways, this has biased our knowledge of empathy, especially regarding autism. This does not only invalidate autistic empathy, but also sustains a harmful and stigmatizing narrative of autism. In this paper, I expand on the neurotypical gatekeeping of empathy as a matter of epistemic injustice and argue why and how neurodiversity calls for a reconceptualization of empathy. I continue by building a proposal for a clear and fair notion of empathy. I argue that we need to settle the dispute on empathy and morality by accepting the value associated with empathy in society, and use an anti-discriminatory normative conceptualization accordingly. I propose to understand empathy as appropriately attending to experiential differences and similarities, balancing between – what I introduce as - distantism and proximism. I discuss conceptual and methodological implications of this approach to empathy, as well as its application to neurodiversity.","Empathy; epistemic injustice; autism; neurodiversity","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:ebb95576-515a-49cd-9349-46a50ccaf611","http://resolver.tudelft.nl/uuid:ebb95576-515a-49cd-9349-46a50ccaf611","From ""Building"" to ""Design"": Form Becoming the Dominance","van Bergeijk, H.D. (TU Delft History, Form & Aesthetics); Lu, L. (TU Delft History, Form & Aesthetics)","","2023","This article examines the development of Dutch modern architecture from the perspective of the changing role of form in architectural creation. The fields of ‘building’ and ‘design’ were not inherently connected. The relationship between the two was installed and intensified in the first decennia of the 20th century. But at a certain moment specialization took place even if architects often still occupied themselves with daily objects. This laid the seeds for the transformation of the role of form in architectural creation. After the second world war the emphasis was placed on the reconstruction of the cities that were damaged by the war and on the housing for the masses. Formal issues were hardly of any great importance. Only in the nineties the attitude changed and form as a result of design (rather than building) became again a major issue for architects who relied on the capabilities of the engineer in order to realize their projects. The general question is: to which degree design has taken over architectural creation and architecture has become the result of design? One things is clear: lines of continuity and discontinuity colour the course of Dutch architecture.","Form; Design; Specialisation; Dutch architecture; Modern architecture","zh","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-15","","","History, Form & Aesthetics","","",""
"uuid:282cf29d-d836-4e7c-85a8-ed1389d33f86","http://resolver.tudelft.nl/uuid:282cf29d-d836-4e7c-85a8-ed1389d33f86","Monitoring of Tidal Variation and Temperature Change-Induced Movements of an Immersed Tunnel Using Distributed Optical Fiber Sensors (DOFSs)","Zhang, X. (TU Delft Geo-engineering); Broere, W. (TU Delft Geo-engineering)","","2023","The short-term deformation behavior of immersed tunnels due to daily or monthly temperature changes and tidal variations is often not monitored but forms important input for a structural health assessment of the tunnel. In this study, distributed optical fiber sensors (DOFSs) are used to monitor the short-term (daily and monthly) deformation behavior of an immersed tunnel. Joint opening and the relative settlement differences between tunnel elements are monitored simultaneously at subhour intervals. Measurements show that the variation in the joint opening is strongly correlated with temperature change, and the joint gap has a tendency to open at low temperatures and to close at increasing temperatures. Simultaneously, the entire immersed section behaves more like a rigid body and moves upwards and downwards periodically due to tidal fluctuations in the river, with an observed vertical movement of slightly less than one millimeter. The tide also causes local tilting of tunnel segments, and this tilting behavior differs between winter and summer, which implies that the (seasonal) temperature-induced joint deformations affect the robustness of the tunnel to tidal loads. A soil-tunnel structure interaction analysis reveals that the cyclic vertical movement of the tunnel is driven by retardation of the tidal wave in deeper soil layers, which can be captured by a coupled flow model. This study provides new insights into the short-term deformation behavior of immersed tunnels.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:a1f5eb01-0e31-4ff9-bd0f-d7fa60eb45da","http://resolver.tudelft.nl/uuid:a1f5eb01-0e31-4ff9-bd0f-d7fa60eb45da","Correction to: Ethics of Quantum Computing: an Outline (Philosophy & Technology, (2023), 36, 3, (48), 10.1007/s13347-023-00651-6)","Possati, L.M. (TU Delft Ethics & Philosophy of Technology)","","2023","The original version of this article unfortunately contained incorrect reference entries. The corrected reference should be Kop, M. (2021). Ethics in the quantum age. Physics World, 34(12), 31.","","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:4f5b8700-bab7-45c2-8875-f63de17073b5","http://resolver.tudelft.nl/uuid:4f5b8700-bab7-45c2-8875-f63de17073b5","Flow Ripple Reduction in Reciprocating Pumps by Multi-Phase Rectification","Özkayar, G. (TU Delft Micro and Nano Engineering); Wang, Z. (TU Delft Micro and Nano Engineering); Lötters, J.C. (TU Delft Precision and Microsystems Engineering; Bronkhorst High-Tech BV); Tichem, M. (TU Delft Micro and Nano Engineering); Ghatkesar, M.K. (TU Delft Micro and Nano Engineering)","","2023","Reciprocating piezoelectric micropumps enable miniaturization in microfluidics for lab-on-a-chip applications such as organs-on-chips (OoC). However, achieving a steady flow when using these micropumps is a significant challenge because of flow ripples in the displaced liquid, especially at low frequencies or low flow rates (<50 µL/min). Although dampers are widely used for reducing ripples in a flow, their efficiency depends on the driving frequency of the pump. Here, we investigated multi-phase rectification as an approach to minimize ripples at low flow rates by connecting piezoelectric micropumps in parallel. The efficiency in ripple reduction was evaluated with an increasing number (n) of pumps connected in parallel, each actuated by an alternating voltage waveform with a phase difference of 2π/n (called multi-phase rectification) at a chosen frequency. We introduce a fluidic ripple factor ((Formula presented.)), which is the ratio of the root mean square ((Formula presented.)) value of the fluctuations present in the rectified output to the average fluctuation-free value of the discharge flow, as a metric to express the quality of the flow. The fluidic ripple factor was reduced by more than 90% by using three-phase rectification when compared to one-phase rectification in the 2–60 μL/min flow rate range. Analytical equations to estimate the fluidic ripple factor for a chosen number of pumps connected in parallel are presented, and we experimentally confirmed up to four pumps. The analysis shown can be used to design a frequency-independent multi-phase fluid rectifier to the desired ripple level in a flow for reciprocating pumps.","micropump; reciprocating pump; flow ripple; fluidic rectification; multi-phase rectifier","en","journal article","","","","","","","","","","Precision and Microsystems Engineering","Micro and Nano Engineering","","",""
"uuid:3fdd202f-1e2e-411d-a90f-5001508b3871","http://resolver.tudelft.nl/uuid:3fdd202f-1e2e-411d-a90f-5001508b3871","Quantifying spit growth and its hydrodynamic drivers in wind-dominated lake environments","van Kouwen, Niels C. (Royal HaskoningDHV; Student TU Delft); Ton, A.M. (TU Delft Coastal Engineering); Vos, S.E. (TU Delft Coastal Engineering; Baars-CIPRO); Vijverberg, Thomas (Royal Boskalis Westminster); Reniers, A.J.H.M. (TU Delft Environmental Fluid Mechanics); Aarninkhof, S.G.J. (TU Delft Hydraulic Engineering)","","2023","Many sand spits are morphodynamically complex landforms, that are either analysed with complex and expensive computational models or at a conceptual level. Therefore, most case studies on spits in different environments are descriptive. A novel method based on the use of polar coordinates was devised to quantitatively analyse spit morphodynamics in a non-tidal, wind-dominated lake environment, using the Marker Wadden islands in Lake Markermeer, the Netherlands, as a case study. A high-resolution morphological data set allowed for the quantification of sedimentation processes around two spits, in two distinctive depth zones. Spit-platform growth is governed by alongshore currents that transport sediment over the spit-platform into deeper waters; the size of the spit-platform in turn affects the growth of the spit around the mean water level. Insight in this complex interplay of processes is crucial to understand spit behaviour in low-energy lake environments. At the Marker Wadden the submerged spit-platform grows during high energy wind events while the emerged spit part grows under mild to moderate energy conditions. With this new method we can quantitatively explore the role of different wave and flow conditions and predict spit growth direction in non-tidal, wind-dominated environments, beyond the level of conceptual descriptions.","Low-energy; Marker Wadden (Lake Markermeer, the Netherlands); Spit growth; Spit-platform","en","journal article","","","","","","","","","","Hydraulic Engineering","Coastal Engineering","","",""
"uuid:18144699-c386-4f5b-a583-6c12fd5d349d","http://resolver.tudelft.nl/uuid:18144699-c386-4f5b-a583-6c12fd5d349d","Application of 3D Printing in Pharmaceutical Sciences, and Evaluation of Administration Routes for Drug-Loaded Composites","Khatami, Mohamad (Urmia University); Doniavi, Ali (Urmia University); Allahyari, Saeideh (Ardabil University of Medical Sciences); Feizollahi, Mahsa (Tabriz University of Medical Sciences); Abazari, Amir Musa (Urmia University); Fotouhi, M. (TU Delft Materials and Environment)","","2023","3D printing is a novel approach in the pharmaceutical field, but its usage has not been fully established. This method can promote drug therapy and overcome some traditional treatment challenges in different ways that are discussed in this paper. “One-size-fits-all”, Large-scale production, and less patient and physician acceptability are some limitations that we will encounter in traditional therapy. Three-dimensional printing of pharmaceutical products is a versatile technology that needs specific attention. Droplet-based, extrusion-based, and laser-assisted 3D printers are three main techniques that can be used in this field. The limitations and advantages of this method have been discussed, highlighting potential innovative pathways towards the possibility of drug carriers' usage in ink formulas. The administration pathway of drug-loaded composites is another critical issue in drug treatment strategies that have been discussed here. Oral drug delivery as a convenient method of systemic drug administration with significant patient preference is introduced as the most prevalent pathway that has been studied about 3D printed medicines. Finally, essential ethics and future directions of 3D printing in the pharmaceutical and healthcare industries are outlined.","3D printer; Administration; Composite; Drug","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:47a19e2a-b422-44fd-980e-a1401c0d62ce","http://resolver.tudelft.nl/uuid:47a19e2a-b422-44fd-980e-a1401c0d62ce","A multi-phase biogeochemical model for mitigating earthquake-induced liquefaction via microbially induced desaturation and calcium carbonate precipitation","Hall, Caitlyn A. (University of Arizona; Arizona State University); van Turnhout, A.G. (TU Delft Geo-engineering; VALCON); Kavazanjian, Edward (Arizona State University); van Paassen, L.A. (Arizona State University; Royal Boskalis Westminster); Rittmann, Bruce (Arizona State University)","","2023","A next-generation biogeochemical model was developed to explore the impact of the native water source on microbially induced desaturation and precipitation (MIDP) via denitrification. MIDP is a non-disruptive, nature-based ground improvement technique that offers the promise of cost-effective mitigation of earthquake-induced soil liquefaction under and adjacent to existing structures. MIDP leverages native soil bacteria to reduce the potential for liquefaction triggering in the short term through biogenic gas generation (treatment completed within hours to days) and over the longer term through calcium carbonate precipitation (treatment completed in weeks to months). This next-generation biogeochemical model expands earlier modeling to consider multi-phase speciation, bacterial competition, inhibition, and precipitation. The biogeochemical model was used to explore the impact of varying treatment recipes on MIDP products and by-products in a natural seawater environment. The case study presented herein demonstrates the importance of optimizing treatment recipes to minimize unwanted by-products (e.g., H2S production) or incomplete denitrification (e.g., nitrate and nitrite accumulation).","","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:59bed994-872c-4be4-bceb-2eb1b735665f","http://resolver.tudelft.nl/uuid:59bed994-872c-4be4-bceb-2eb1b735665f","On the representation of the natural numbers by powers of the golden mean","Dekking, F.M. (TU Delft Applied Probability); Loon, Ad Van","","2023","In a base phi representation, a natural number is written as a sum of powers of the golden mean φ. There are many ways to do this. Well known is the standard representation, introduced by George Bergman in 1957, where a unique representation is obtained by requiring that no consecutive powers, φn and φn+1, occur in the representation. In this paper, we introduce a new representation by allowing that the powers φ0 and φ1 may occur at the same time, but no other consecutive powers. We then argue that this representation is much closer to the classical representation of the natural numbers by powers of an integer than Bergman’s standard representation.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-04","","","Applied Probability","","",""
"uuid:6cf5cbf4-804d-4fef-940a-a7a830e30268","http://resolver.tudelft.nl/uuid:6cf5cbf4-804d-4fef-940a-a7a830e30268","Quantifying Biomedical Amplifier Efficiency: The noise efficiency factor","Hall, Drew A. (University of California); Makinwa, K.A.A. (TU Delft Microelectronics); Jang, Taekwang (ETH Zürich)","","2023","There has been a long-standing interest in controlling and instrumenting the human body. Whether to restore lost function with neural prosthetics, monitor blood glucose levels, or augment human capabilities, there are countless opportunities for sensors inside ( e.g., ingestible, injectable, and implantable) and outside ( e.g., wearable) the body. However, many challenges exist when instrumenting the body. First, many use cases ( e.g., implanted sensors) require long-term recording to capture anomalous behavior—sometimes with limited accessibility—necessitating ultralow power consumption. Second, the power reduction challenge is further exacerbated by size constraints, which limit battery capacity or harvestable energy levels. Third, the signals of interest are often low bandwidth (kHz) and small in amplitude (µV to mV); thus, low-noise front ends are needed. Addressing these challenges has led to a large body of work on the design of highly power-efficient, low-noise amplifiers for biomedical integrated circuits.","Light amplifiers; Wearable sensors; Anomalous behavior; Blood glucose level; Human bodies; Human capability; Injectables; Long-term recording; Neural prosthetic; Noise efficiency factors; Ultra-low power consumption; Ultralow-power consumption; Low noise amplifiers","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-20","","Microelectronics","","","",""
"uuid:f31addbd-c16d-4128-abd4-e0b8e60e7689","http://resolver.tudelft.nl/uuid:f31addbd-c16d-4128-abd4-e0b8e60e7689","Zendingsarchitect Pieter Simon Dijkstra en zijn Nederlandse werken","Kuipers, M.C. (TU Delft Heritage & Values); Clarke, Nicholas (TU Delft Heritage & Architecture)","","2023","Pieter Simon Dijkstra (1884-1968) is regarded as a noted Protestant church designer in South Africa, but his contribution to the built environment in the Netherlands is much less well known. His life and career in the country of his birth are of interest because they are closely aligned with the religious turbulence of the period, in which the anti-revolutionary clergyman-politician Abraham Kuyper played a prominent role. The building of new Reformed churches and schools was a direct expression of the zealous determination to spread the ‘true faith’. The architecture of the new Reformed churches was often modest and restrained, influenced by Kuyper’s view that the church space should serve the ‘gathering of the faithful’ and be arranged in such a way that congregants could see and hear one other and the minister. Dijkstra, born to a clergyman father with a missionary zeal, delivered various designs in this Reformed context.
Although Dijkstra grew up and trained in the northern Netherlands, Zeeland became his main area of operation. In 1908, after time spent working in Groningen (Spijk) and Germany, Dijkstra settled in Vlissingen (Flushing) where he set up his own architectural practice. At the time Vlissingen was an internationally oriented city undergoing a radical transformation under the direction of the liberal alderman of public works, J.G. van Niftrik jr. (1889-1924). Dijkstra designed two new hall-type Reformed churches: one in Geersdijk (1910) and the Eben Haëzer church in Vlissingen (1910). There followed a remarkable inter-denominational collaboration after the English Presbyterian community’s place of worship in the St Jacob’s Church was destroyed by fire in 1911. After Dijkstra’s initial design for a simple hall church was rejected, the authoritative Catholic architect Pierre Cuypers (1827-1921) was commissioned to provide a sketch design for a small yet monumental building. Cuypers’ design for a neogothic church based on an octagonal plan was further elaborated by Dijkstra. The church was inaugurated in 1914.
This unique project was followed by the Vlaswiek Reformed Church in Bovensmilde (Drenthe, 1915) and the Reformed Church in Kamperland (Noord-Beveland, 1923). The design for this robust church with corner tower and amphitheatre arrangement is in line with Kuyper’s views and foreshadows Dijkstra’s later church designs in South Africa.
Dijkstra designed school buildings for the various Reformed communities in and around Vlissingen (in Koudekerke and Arnemuiden) and social housing estates, including three for the Protestant-Christian housing association Gemeenschappelijk Belang (Common Interest), partly in collaboration with P.J. Hamers (1882-1966). Among his commissions for retail spaces is the striking expressionist radio shop he designed for H.J. van der Meer en Zonen (1923 and 1926), still extant. In 1927, all out of the blue, Dijkstra decided to emigrate with his family to South Africa, where he continued to develop as a Reformed church architect. This article not only provides an assessment of his Dutch oeuvre as a prefiguration of his South African work, but it also positions him as an interesting architect within the Dutch context of his day, characterized as it was by verzuiling (lit. ‘pillarization’, a form of compartmentalization along socio-political or religious lines).","","nl","journal article","","","","","","","","","","","Heritage & Values","","",""
"uuid:179c754e-f0fd-46e3-a0df-10ee274521ae","http://resolver.tudelft.nl/uuid:179c754e-f0fd-46e3-a0df-10ee274521ae","Determinants influencing fishermen’s willingness-to-participate and willingness-to-pay for conservation of small indigenous fishes: a model-based insight from Indian Sundarbans","Roy, Aparna (ICAR-Central Inland Fisheries Research Institute); Naskar, Malay (ICAR-Central Inland Fisheries Research Institute); Sinha, Archana (ICAR-Central Inland Fisheries Research Institute); Manna, Ranjan K. (ICAR-Central Inland Fisheries Research Institute); Sahu, Sanjeev K. (ICAR-Central Inland Fisheries Research Institute); Ekka, A. (TU Delft Water Resources; ICAR-Central Inland Fisheries Research Institute); Das, Basanta K. (ICAR-Central Inland Fisheries Research Institute)","","2023","Small indigenous fishes (SIF) play a crucial role in supporting the livelihoods and nutritional security of the rural population in Southern Asia. However, their abundance and diversity are under threat due to overexploitation and profitable extensive aquaculture, resulting in a sharp decline, particularly in India. Unfortunately, conservation strategies for SIF have received little attention from researchers, making it imperative to understand stakeholders’ decision-making processes to develop effective conservation strategies. This article aims to quantitatively identify the factors that influence fishermen’s intention to participate in and pay for SIF conservation efforts. The study utilizes questionnaire-based survey data from 100 households engaged in local fisheries in the rural Indian Sundarbans. To gain critical insight into fishermen’s decision processes, a bivariate logistic Generalized Additive Model is employed, focusing on willingness-to-participate and willingness-to-pay for SIF conservation. The study’s results indicate that several factors significantly influence fishermen’s willingness-to-participate in conservation efforts. These include Literacy, Conservation awareness, and Occupation. On the other hand, Conservation awareness and Household income are identified as significant determinants of fishermen’s willingness-to-pay for SIF conservation initiatives. One intriguing finding of the research is the identification of a nonlinear response-age curve for both willingness-to-participate and willingness-to-pay, as well as their interaction. Notably, the 45-50 years old age group emerged as the most likely implementers of small indigenous fish conservation strategies, suggesting that targeting this age group in conservation programs could yield positive outcomes. The study underscores the importance of various conservation strategies to bolster SIF preservation in the region. Recommendations include increasing and extending conservation awareness programs, specifically targeting suitable age-group individuals with appropriate education, household income, and occupation. These strategies are vital for formulating effective conservation guidelines that align with the specific needs and characteristics of the region. In conclusion, this research sheds light on the factors influencing fishermen’s participation and willingness to financially support the conservation of small indigenous fish in the rural Indian Sundarbans. The findings contribute valuable insights for policymakers, conservationists, and stakeholders, emphasizing the urgency of sustainable measures to safeguard SIF populations and ensure the continued livelihoods and nutritional security of the local communities.","small indigenous fishes; conservation; Sundarbans; India; PRA; VGAM; logistic regression","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:2e79882e-88c2-433e-a1d9-a64cf2ae9aa4","http://resolver.tudelft.nl/uuid:2e79882e-88c2-433e-a1d9-a64cf2ae9aa4","The Non-theory-driven Character of Computer Simulations and Their Role as Exploratory Strategies","Duran, J.M. (TU Delft Ethics & Philosophy of Technology)","","2023","In this article, I focus on the role of computer simulations as exploratory strategies. I begin by establishing the non-theory-driven nature of simulations. This refers to their ability to characterize phenomena without relying on a predefined conceptual framework that is provided by an implemented mathematical model. Drawing on Steinle’s notion of exploratory experimentation and Gelfert’s work on exploratory models, I present three exploratory strategies for computer simulations: (1) starting points and continuation of scientific inquiry, (2) varying the parameters, and (3) scientific prototyping.","Computer simulations; Exploratory strategies; Mathematical models; Scientific and engineering modeling","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:2c5d6204-9039-4efc-90f8-15526d5d89c2","http://resolver.tudelft.nl/uuid:2c5d6204-9039-4efc-90f8-15526d5d89c2","Vehicular Motion-based DOA Estimation with a Limited Amount of Snapshots for Automotive MIMO Radar","Yuan, S. (TU Delft Microwave Sensing, Signals & Systems); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","The problem of high-resolution direction-of-arrival (DOA) estimation based on a limited amount of snapshots in automotive multiple-input multiple-output (MIMO) radar has been studied. The number of snapshots is restricted to minimize target spread/migration in range and/or Doppler domains. A computationally efficient approach for side-looking arrays is developed, which combines the generation of motion-enhanced snapshots and MIMO technology, thus exploiting the movement of the vehicle and the spatial diversity of the transmit and receive antennas. Due to motion, a larger virtual aperture is obtained and the angular resolution is boosted, achieving the separation of targets that the traditional MIMO approach cannot discriminate, as well as better results than with other single snapshot DOA estimation techniques. Algorithm performance has been studied in simulations, and possible limitations have been discussed. In addition, the method has been verified experimentally with pointlike and extended targets, and good agreement between simulations and experimental results has been observed.","Automotive engineering; automotive radar; direction of arrival estimation; Direction-of-arrival estimation; Estimation; MIMO array; MIMO radar; Radar; Radar antennas; Radar imaging; snapshot imaging","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-08","","","Microwave Sensing, Signals & Systems","","",""
"uuid:d96ef936-e7e7-4324-8b1a-050d253569a2","http://resolver.tudelft.nl/uuid:d96ef936-e7e7-4324-8b1a-050d253569a2","A dimensionality reduction approach in helicopter level flight performance testing","Arush, I. (TU Delft Control & Simulation; National Test Pilot School); Pavel, M.D. (TU Delft Control & Simulation); Mulder, Max (TU Delft Control & Simulation)","","2023","Evaluation of the power required in level flight is essential to any new or modified helicopter performance flight-testing effort. The conventional flight-test method is based on an overly simplification of the induced and profile power components required for a helicopter in level flight. This simplistic approach incorporates several drawbacks that not only make execution of flight sorties inefficient and time consuming, but also compromise the level of accuracy achieved. This paper proposes an alternative flight-test method for evaluating the level-flight performance of a conventional helicopter while addressing and rectifying all identified deficiencies of the conventional method. The proposed method, referred to as the corrected-variables screening using dimensionality reduction (CVSDR), uses an original list of 36 corrected variables derived from basic dimensional analysis principles. This list of 36 corrected variables is reduced using tools of dimensionality reduction to keep only the most effective level-flight predictors. The CVSDR method is demonstrated and tested in this paper using flight-test data from a MBB BO-105 helicopter. It is shown that the CVSDR method predicts the power required for level flight about 21% more accurately than the conventional method while reducing the required flight time by an estimate of at least 60%. Unlike the conventional method, the CVSDR is not bounded by the high-speed approximation associated with the induced power estimation, therefore it is also relevant to the low airspeed regime. This low-airspeed relevancy allows the CVSDR method to bridge between the level-flight regime and the hover. Although demonstrated in this paper for a specific type of helicopter, the CVSDR method is applicable for level-flight performance flight testing of any type of conventional helicopter.","CVSDR; Dimensional Analysis; Helicopter Performance; Keywords:; Level-Flight; SVD","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:9e59fc28-f748-413a-8eb1-0b8f10f91e4b","http://resolver.tudelft.nl/uuid:9e59fc28-f748-413a-8eb1-0b8f10f91e4b","Hydrogen peroxide at the poles of Ganymede","Trumbo, Samantha K. (Cornell Center for Astrophysics and Planetary Science); Brown, Michael E. (California Institute of Technology); Bockelée-Morvan, Dominique (LESIA - Laboratoire d'Etudes Spatiales et d'Instrumentation en Astrophysique); de Pater, I. (TU Delft Astrodynamics & Space Missions; University of California); Fouchet, Thierry (LESIA - Laboratoire d'Etudes Spatiales et d'Instrumentation en Astrophysique); Cazaux, S.M. (TU Delft Astrodynamics & Space Missions; Universiteit Leiden); Fletcher, Leigh N. (University of Leicester); de Kleer, Katherine (California Institute of Technology); Lellouch, Emmanuel (LESIA - Laboratoire d'Etudes Spatiales et d'Instrumentation en Astrophysique)","","2023","Ganymede is the only satellite in the solar system known to have an intrinsic magnetic field. Interactions between this field and the Jovian magnetosphere are expected to funnel most of the associated impinging charged particles, which radiolytically alter surface chemistry across the Jupiter system, to Ganymede's polar regions. Using observations obtained with JWST as part of the Early Release Science program exploring the Jupiter system, we report the discovery of hydrogen peroxide, a radiolysis product of water ice, specifically constrained to the high latitudes. This detection directly implies radiolytic modification of the polar caps by precipitation of Jovian charged particles along partially open field lines within Ganymede's magnetosphere. Stark contrasts between the spatial distribution of this polar hydrogen peroxide, those of Ganymede's other radiolytic oxidants, and that of hydrogen peroxide on neighboring Europa have important implications for understanding water-ice radiolysis throughout the solar system.","","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:ced07be1-18b0-4cc7-b43d-41d69a2882de","http://resolver.tudelft.nl/uuid:ced07be1-18b0-4cc7-b43d-41d69a2882de","Migration Policies and Immigrants’ Language Acquisition in EU-15: Evidence from Twitter","Gil-Clavel, Sofia (TU Delft Policy Analysis); Grow, André (Max Planck Institute for Demographic Research); Bijlsma, Maarten J. (Rijksuniversiteit Groningen)","","2023","In response to the increasingly complex and heterogeneous immigrant communities settling in Europe, European countries have adopted various civic integration measures. Measures aiming to facilitate language acquisition are considered crucial for integration and cooperation between immigrants and natives. Simultaneously, the rapid expansion of social media usage is believed to change the factors affecting immigrants’ language acquisition. However, only a few previous studies have analyzed whether this is the case. This article uses a novel longitudinal data source derived from Twitter to (1) analyze differences in the pace of immigrants’ language acquisition depending on the migration policies of destination countries and (2) study how the relative sizes of the migrant groups in destination countries, and the linguistic and geographical distances between origin and destination countries, are associated with language acquisition. Results show that immigrants who live in countries with strict language acquisition requirements for immigrants and conservative citizenship policies have the highest median times until language acquisition. Based on Twitter data, we also find that language acquisition is associated with classic explanatory variables, such as the size of the immigrant group in the destination country and the linguistic and geographical distance between origin and destination country similar to the previous studies.","","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:dade2e92-816e-4bbb-9f9c-b041f6f3d9f2","http://resolver.tudelft.nl/uuid:dade2e92-816e-4bbb-9f9c-b041f6f3d9f2","Innovative Methods for Mapping the Suitability of Nature-Based Solutions for Landslide Risk Reduction","Devananda Vijayananda Vivek, D.V. (IHE Delft Institute for Water Education); Mubeen, A. (TU Delft BT/Environmental Biotechnology; IHE Delft Institute for Water Education); Vojinovic, Z (IHE Delft Institute for Water Education); Sanchez Torres, A (IHE Delft Institute for Water Education); Paliaga, Guido (Geographical Information Systems International Group, Genova); Fikri, Ahmad K. (Universiti Putra Malaysia); Leitão, João P. (Swiss Federal Institute of Aquatic Science and Technology); Manojlovic, Natasa (Hamburg University of Technology); Fröhle, Peter (Hamburg University of Technology)","","2023","The impacts of climate change are becoming more widespread across the world, with hydro-meteorological extreme events on the rise, causing severe threats to nature and communities. Increasing trends in the frequency and intensity of floods and landslides have been projected by climate models. This necessitates the development of more effective measures such as nature-based solutions (NBS) which can complement grey infrastructures. Recent studies have identified
knowledge gaps and limitations in existing research and tools that aid in spatial planning for the implementation of large-scale NBS and proposed new methodologies for the spatial allocation of largescale NBS for flood risk reduction. This work presents a novel method for mapping the suitability of NBS addressing geo-hydrological hazards such as shallow landslides, debris flow, and rockfall, which are typically caused due to slope instability. This methodology incorporates landslide susceptibility mapping, and was used to create a toolbox ESRI ArcGIS environment to aid decision-makers in the planning and implementation of large-scale NBS. The spatial allocation toolbox was applied to the case study Portofino promontory, Liguria region, Italy, and 70% of the area was found to be highly susceptible to landslides. The produced suitability maps show that 41%, 33%, and 65% of the study
area is suitable for the restoration of terraces, bio-engineering, and vegetative measures such as NBS for landslide risk reduction.","nature-based solutions; climate chang; afforestatio; suitability mapping; landslide risk reduction","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:01ead646-823b-4733-a9f9-f995b6fee2fe","http://resolver.tudelft.nl/uuid:01ead646-823b-4733-a9f9-f995b6fee2fe","Diagnosis of Challenges and Uncertainties for Implementation of Sustainable Aviation Fuel (SAF) in Colombia, and Recommendations to Move Forward","López Gómez, Mauricio (Investigation in Electronics and Defense Technology Group, Bogotá; Los Andes University); Posada Duque, J.A. (TU Delft BT/Biotechnology and Society); Silva, Vladimir (ECCI University, Bogotá); Martinez Luna, L. (Washington State University Pullman); Mayorga, Alejandro (ECCI University, Bogotá); Álvarez, Oscar (Los Andes University)","","2023","This article reviews the current scenario and the main uncertainties and challenges associated with implementing Sustainable Aviation Fuel (SAF) in Colombia, from which it determines the possible certified technologies under the ASTM D 7566 standard as well as co-processing technologies contemplated within the ASTM D 1655 standard, more suitable for the implementation of SAF production. Likewise, through the PESTEL tool (Political, Economic, Social, Technological, Environmental, and Legal), a diagnosis is made in order to obtain an updated overview of the implementation of SAF in Colombia. Based on the above, it provides recommendations to mitigate the uncertainties
identified, and it is complemented by the ECOCANVAS tool, which applies to businesses related to the circular economy, and also include the net production potential of SAF in Colombia, considering the production of feedstock, in agricultural residue of sugarcane, oil palm, corn, and coffee. This
study concludes with some policy recommendations that can make SAF implementation viable and allow responsible institutions to organize themselves for better strategic action and identify the fields of research and the need for investment in R + D + i to strengthen the supply chain.","aeronautical biofuel; Sustainable Aviation Fuel (SAF); sustainability; Colombian PESTEL; ECOCANVAS; SAF policies","en","journal article","","","","","","","","","","","BT/Biotechnology and Society","","",""
"uuid:ad499f8d-4add-41b6-b7e6-479c40dfaea0","http://resolver.tudelft.nl/uuid:ad499f8d-4add-41b6-b7e6-479c40dfaea0","Chemical reaction networks based on conjugate additions on β′-substituted Michael acceptors","Spitzbarth, B. (TU Delft ChemE/Advanced Soft Matter); Eelkema, R. (TU Delft ChemE/Advanced Soft Matter)","","2023","Over the last few decades, the study of more complex, chemical systems closer to those found in nature, and the interactions within those systems, has grown immensely. Despite great efforts, the need for new, versatile, and robust chemistry to apply in CRNs remains. In this Feature Article, we give a brief overview over previous developments in the field of systems chemistry and how β′-substituted Michael acceptors (MAs) can be a great addition to the systems chemist's toolbox. We illustrate their versatility by showcasing a range of examples of applying β′-substituted MAs in CRNs, both as chemical signals and as substrates, to open up the path to many applications ranging from responsive materials, to pathway control in CRNs, drug delivery, analyte detection, and beyond.","","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:47d1546c-e3e2-4d6f-90c9-00169242d091","http://resolver.tudelft.nl/uuid:47d1546c-e3e2-4d6f-90c9-00169242d091","Shipboard DC systems, a Critical Overview: Challenges in Primary Distribution, Power Electronics-based Protection, and Power Scalability","Latorre, Alejandro (TU Delft Transport Engineering and Logistics); Soeiro, Thiago B. (University of Twente); Geertsma, R.D. (TU Delft Ship Design, Production and Operations); Coraddu, A. (TU Delft Ship Design, Production and Operations); Polinder, H. (TU Delft Transport Engineering and Logistics)","","2023","This article gives an overview of challenges in primary distribution, protections, and power scalability for shipboard dc systems. Given that dc technology is in development, several aspects of shipboard systems have not yet been sufficiently devised to ensure the protection and efficiency demanded. Several issues in dc systems arise from the lack of complete relevant standardization from different regulation bodies. Unipolar and bipolar bus architectures have application-specific advantages that are discussed and compared. The placement of power electronics in dc systems creates opportunities for switchboard design, and this article compares the centralized and distributed approaches. Likewise, protection architectures for shipboard dc systems have challenges. Breaker-based protection utilizes slow fuses, mechanical circuit breakers, and solid-state circuit breakers. In addition, power-electronics-based protection embeds the protective circuit in the power converters, but its development lags. This article compares the state-of-the-art technologies, reviewing their main features. Finally, the power requirement of various applications and the low production rate of vessels force the designers to utilize commercial off-the-shelf converters to scale up power. The misuse of such converters, the modular topologies, and power electronics building blocks are exposed highlighting challenges and opportunities toward the mass adoption of dc systems onboard maritime vessels.","Circuit breakers; DC circuit breakers; DC systems; Industrial electronics; Marine vehicles; Power electronics; power electronics building blocks; power electronics-based protection; power scalability; Power system stability; Propulsion; Scalability; shipboard DC systems","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:1c15899b-e1b3-4bf8-881e-d763037f7e41","http://resolver.tudelft.nl/uuid:1c15899b-e1b3-4bf8-881e-d763037f7e41","Operationalizing contested problem-solution spaces:: The case of Dutch circular construction","Wiarda, M.J. (TU Delft Economics of Technology and Innovation); Coenen, T.B.J. (University of Twente); Doorn, N. (TU Delft Ethics & Philosophy of Technology)","","2023","In shaping collective responses to societal challenges, we currently lack an understanding of how to grasp and navigate conflicting ideas on societal problems and potential solutions. The problem-solution space is an increasingly popular framework for conceptualizing the extent to which problem-oriented and solution-oriented views are divergent. However, this reflexive framework needs an operationalization to become useful in practice. We contribute to this debate by demonstrating how Q-methodology can be used to systematically identify, describe, and compare collectively held visions in relation to problems and solutions. We use the case of Dutch circular construction, and identify three conflicting imaginaries that inform us about disagreement and common ground. We conclude by discussing how policymakers can use different approaches to navigate contestation, presumably mobilizing actors for a collective response.","wicked problems; problem-solution spaces; circular economy; contestation; sociotechnical imaginaries; Q-methodology","en","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:fa148b82-296f-4db5-868f-59cd9380f8ca","http://resolver.tudelft.nl/uuid:fa148b82-296f-4db5-868f-59cd9380f8ca","The steady behavior of the supercritical carbon dioxide natural circulation loop","Draškić, M. (TU Delft Energy Technology); Bugeat, B. (TU Delft Energy Technology); Pecnik, Rene (TU Delft Energy Technology)","","2023","The steady state behavior of thermodynamically supercritical natural circulation loops (NCLs) is investigated in this work. Experimental steady state results with supercritical carbon dioxide are presented for reduced pressures in the range of 1.1-1.5, and temperatures in the range of 20-65 ◦C. Distinct thermodynamic states are reached by traversing a set of isochors. A generalized equation for the prediction of the steady state is presented, and its performance is assessed using empirical data. Changes of mass flow rate as a result of changes of thermodynamic state, heating- and driving height are shown to be accurately captured by the proposed predictive equation. However, the enhanced viscous losses in the instrumentation of the loop and in the proximity of heat transfer equipment are shown to significantly limit the steady state flow rate. Subsequently, the findings are put forward in aid of the development of safe, novel supercritical natural circulation facilities.","","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:507badbf-3665-49cc-a261-c0cc58a9d35b","http://resolver.tudelft.nl/uuid:507badbf-3665-49cc-a261-c0cc58a9d35b","Solar Cooling Integrated Façades: Key perceived enabling factors and prospects of future applications","Hamida, H.B. (TU Delft Architectural Technology); Konstantinou, T. (TU Delft Architectural Technology); Prieto, Alejandro (Diego Portales University); Klein, T. (TU Delft Architectural Technology)","","2023","Solar cooling integrated façades (SCIFs) have the potential to reduce primary energy consumption for space cooling. Identifying key enabling factors in the context of technical and product (T&P), financial (F), as well as process and stakeholder (P&S) related aspects represent an important step providing relevant knowledge for implementing solutions supporting the widespread application. This study aims to identify main factors enabling the widespread integration of SCTs in façades from the point of view of various professionals. An interview guide was designed to tackle main aspects to be considered for supporting the widespread application. Different criteria were considered to select the interviewees during the data collection, such as participants who worked on the application or façade integration of solar/SCTs in buildings. The findings obtained from a total of 23 interviews revealed that the most frequently mentioned factors are product performance and efficiency, facilitating the delivery of product information to architects and clients, aesthetical acceptability, multidisciplinary teamwork, and ability to customize products. The factors were mapped in the context of façade design and construction processes to establish a matrix for implementing solutions in product development. Majority of the factors were linked to the design phase according interviewees’ perceptions. The results also indicated that newly built office buildings have been perceived to be one of the most relevant types of buildings to be considered for such technologies. The identified enabling factors and prospects of future applications of solar cooling integrated facades (SCIFs) contribute to expand the boundaries of knowledge in the field of building product development.","Technology; Renewable; Envelop; Widespread application; Thermally-driven; Photovoltaic","en","journal article","","","","","","","","","","","Architectural Technology","","",""
"uuid:aa0b3e9d-1d7b-4e2e-8145-4df6f2993932","http://resolver.tudelft.nl/uuid:aa0b3e9d-1d7b-4e2e-8145-4df6f2993932","Demystifying emotion for designers: A five-day course based on seven fundamental principles","Desmet, P.M.A. (TU Delft Human-Centered Design); Xue, H. (TU Delft Design Aesthetics); Xin, X (Beijing Normal University)","","2023","This paper introduces a five-day intensive course, conducted over five years from 2018 to 2022, aimed at teaching emotion-driven design (EDD) to graduate students with diverse disciplinary backgrounds at Beijing Normal University. EDD is the practice of designing products or services that are informed by user or consumer emotions and/or aim to elicit predetermined emotions. The course was designed to teach students about human emotions from a scientific perspective and to provide hands-on experience in creative processes. To structure the course, seven principles derived from emotion-related design knowledge were employed, which guided the development of learning activities and course materials. The paper also includes student evaluations and reflections on the strengths and weaknesses of the course design. It intends to serve as a resource for design educators who wish to teach the practical application of emotion psychology to students with limited prior expertise.","Design education; Emotion-driven design; Emotion psychology; Psychology design; User experience","en","journal article","","","","","","","","","","Human-Centered Design","Design Aesthetics","","",""
"uuid:afcc74cd-ab52-403b-af02-c6315dcefed4","http://resolver.tudelft.nl/uuid:afcc74cd-ab52-403b-af02-c6315dcefed4","Sustainable Assessment Tools for Higher Education Institutions: Developing Two-Hierarchy Tools for China","Du, Yawei Du (Chinese Academy of Sciences); Ye, Qing (Hebei University of Technology); Liu, Hongjiang (Tianjin University); Wu, Yuting (Tianjin University); Wang, F. (TU Delft Network Architectures and Services)","","2023","Higher Education Institutions (HEIs) play an increasingly significant role in the practice of sustainability. For HEIs in their early stages of sustainability, they are still in need of sustainable assessment tools (SATs) that are suitable for their local context and also lead international sustainable development. The purpose of this paper is to develop a two-hierarchy sustainability assessment tool (THSus) for Chinese higher education institutions, including a quick analysis tool (QAT) and an in-depth benchmarking tool (IBT). The QAT provided a general overview of campus sustainability for HEIs to initiate initial actions and screen cases for the IBT. The IBT then provides more targeted analysis to plan long-term strategic changes. Based on the analysis of HEI cases, a 34-person Chinese research team was enlisted to discuss and select characteristics to formulate THSus. Indicators and weightings were developed according to the tool’s purpose and applied to 15 cases to test its effectiveness. Results showed that THSus is suitable for systematically analyzing campus issues, particularly in research areas. It offers a regional solution for Chinese campuses that is adaptable and considers the comprehensive core of sustainability.","campus sustainability; green campuses; higher education institution; sustainable assessment tools; two-hierarchy tools","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:98dbce05-0f18-4051-bcef-d06ae65ee041","http://resolver.tudelft.nl/uuid:98dbce05-0f18-4051-bcef-d06ae65ee041","A Tale of Two Markets: Investigating the Ransomware Payments Economy","Oosthoek, K. (TU Delft Cyber Security); Cable, Jack (Stanford University); Smaragdakis, G. (TU Delft Cyber Security)","","2023","A data-driven, follow-the-money approach to characterize the ransomware ecosystem uncovers two parallel ransomware criminal markets: commodity ransomware and Ransomware as a Service (RaaS).","","en","journal article","","","","","","","","","","","Cyber Security","","",""
"uuid:ac4261f9-a574-4839-98b6-4a1bdcc402e9","http://resolver.tudelft.nl/uuid:ac4261f9-a574-4839-98b6-4a1bdcc402e9","Modal analysis of an operational offshore wind turbine using enhanced Kalman filter-based subspace identification","van Vondelen, A.A.W. (TU Delft Team Jan-Willem van Wingerden); Iliopoulos, Alexandros (Siemens Gamesa Renewable Energy); Navalkar, S.T. (Siemens Gamesa Renewable Energy); van der Hoek, D.C. (TU Delft Team Jan-Willem van Wingerden); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2023","Operational modal analysis (OMA) is an essential tool for understanding the structural dynamics of offshore wind turbines (OWTs). However, the classical OMA algorithms require the excitation of the structure to be stationary white noise, which is often not the case for operational OWTs due to the presence of periodic excitation caused by rotor rotation. To address this issue, several solutions have been proposed in the literature, including the Kalman filter-based stochastic subspace identification (KF-SSI) method which eliminates harmonics through estimation and orthogonal projection. In this paper, an enhanced version of the KF-SSI method is presented that involves a concatenation step, allowing multiple datasets with similar environmental conditions to be used in the identification process, resulting in higher precision. This enhanced framework is applied to an operational OWT and compared to other OMA methods, such as the modified least-squares complex exponential and PolyMAX. Using field data from a multi-megawatt operational OWT, it is shown that the enhanced framework is able to accurately distinguish the first three bending modes with more stable estimates and lower variance compared to the original KF-SSI algorithm and follows a similar trend compared to other approaches.","damping; harmonics; Kalman filter; offshore wind turbine; operational modal analysis; stochastic subspace identification","en","journal article","","","","","","","","","","","Team Jan-Willem van Wingerden","","",""
"uuid:8312e320-db8b-40c4-93ed-50b13a328955","http://resolver.tudelft.nl/uuid:8312e320-db8b-40c4-93ed-50b13a328955","Common DC-Link Multilevel Converters: Topologies, Control and Industrial Applications","Harbi, Ibrahim (Technische Universität München; Huawei Technologies Duesseldorf); Rodriguez, Jose (Universidad San Sebastian); Poorfakhraei, Amirreza (Enedym Inc., Hamilton); Vahedi, H (TU Delft DC systems, Energy conversion & Storage); Guse, Miguel (Technische Universität München); Trabelsi, Mohamed (Kuwait College of Science and Technology, Kuwait); Abdelrahem, Mohamed (Technische Universität München); Ahmed, Mostafa (Technische Universität München); Lin, Chang Hua (National Taiwan University of Science and Technology)","","2023","Multilevel converters (MLCs) are widely recognized for their exceptional benefits and have emerged as the preferred choice for medium- and high-power/voltage applications. Their usage has also been extended to low-power applications to overcome issues associated with high switching frequencies and electromagnetic interference (EMI) commonly encountered in two-level converters. Common dc-link MLCs have received particular attention in industry due to their ability to eliminate the need for bulky and inefficient transformers and rectifiers, making them a compelling option for different applications, primarily medium- and high-power/voltage applications such as wind turbine (WT) power conversion systems. Furthermore, common dc-link topologies are required for back-to-back (BTB) configurations, as they facilitate the use of a shared dc-link between the rectification and inversion stages. Despite their popularity, there is currently no comprehensive review article dedicated to common dc-link topologies. This article addresses this gap by presenting a comprehensive review of common dc-link MLCs, covering their topological evolution, features, topologies comparison, modulation techniques, control strategies, and industrial application areas. Additionally, future perspectives and recommendations are discussed to provide researchers and engineers with a better understanding of the potential applications and advantages of these converters.","common dc-link; control; Electromagnetic interference; high-power applications; modulation; Modulation; Multilevel converters; reduced components; Switching frequency; Switching loss; Topology; Voltage","en","journal article","","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:5e89a0c3-4b16-4131-a8da-adaaf86dabdd","http://resolver.tudelft.nl/uuid:5e89a0c3-4b16-4131-a8da-adaaf86dabdd","Exploring sequential interplay between challenges and regulatory processes in collaborative learning with process mining","Channa, Faisal (University of Jyväskylä; Oulu University); Dindar, Muhterem (Oulu University; Tampere University); Nguyen, Andy (Oulu University); Mishra, R. (TU Delft Science Education and Communication; Oulu University)","","2023","This study explored the sequential interplay between challenges and regulatory processes in high- and low-performing collaborative groups. 66 students from a Finnish higher education institution participated in a collaborative task in groups of three. Approximately 34 h of video data were coded. The sequential analysis revealed that both groups had higher sequential transitions between cognitive regulation and emotional/motivational regulation, rather than cognitive challenges. The high-performing groups demonstrated a stronger sequential link between emotional/motivational regulation and cognitive regulation than the low-performing groups did when faced with cognitive challenges. The study establishes a theoretical grounding and advances our understanding of regulated learning. Since collaborative learning tasks are highly adopted in the higher education context, especially in the Nordic region, this study has practical implications for higher education in these countries and beyond as they seek to develop pedagogical methodologies and customised support to help collaborative groups resolve challenges by initiating regulatory processes.","Challenges; collaborative learning; process mining; regulation; sequential analysis; socially shared regulation of learning","en","journal article","","","","","","","","","","","Science Education and Communication","","",""
"uuid:28efc53e-64a8-43df-a84d-fa5b27541eb8","http://resolver.tudelft.nl/uuid:28efc53e-64a8-43df-a84d-fa5b27541eb8","B-systems and C-systems are equivalent","Ahrens, B.P. (TU Delft Programming Languages; University of Birmingham); Emmenegger, Jacopo (University of Genova); North, Paige Randall (Universiteit Utrecht); Rijke, Egbert (University of Ljubljana)","","2023","C-systems were defined by Cartmell as models of generalized algebraic theories. B-systems were defined by Voevodsky in his quest to formulate and prove an initiality conjecture for type theories. They play a crucial role in Voevodsky's construction of a syntactic C-system from a term monad. In this work, we construct an equivalence between the category of C-systems and the category of B-systems, thus proving a conjecture by Voevodsky.","","en","journal article","","","","","","","","","","","Programming Languages","","",""
"uuid:f96d1e48-1212-47aa-aaf3-a291fe45cbe7","http://resolver.tudelft.nl/uuid:f96d1e48-1212-47aa-aaf3-a291fe45cbe7","Correction: Study on the controllability of the fabrication of single-crystal silicon nanopores/nanoslits with a fast-stop ionic current-monitored TSWE method (Microsystems & Nanoengineering, (2023), 9, 1, (63), 10.1038/s41378-023-00532-0)","Hong, H. (TU Delft Electronic Components, Technology and Materials; Tsinghua University); Wei, Jiangtao (Tsinghua University); Lei, Xin (Beihang University); Chen, Haiyun (Beijing Jiaotong University); Sarro, Pasqualina M (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials); Liu, Zewen (Tsinghua University)","","2023","Correction to: Microsystems & Nanoengineering published online 16 May 2023 Correction Following publication of the original article1, it was noticed that the phrase ‘DNA sequencing’ is incorrect, which should be replaced by ‘biosensing’. The original paper has been updated.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:8fd5cf58-2954-4e43-b76b-c90d4c55dcfe","http://resolver.tudelft.nl/uuid:8fd5cf58-2954-4e43-b76b-c90d4c55dcfe","Cost-effective upgrade of the Dutch traction power network: Moving to Bi-directional and controllable 3 kV DC substations for improved performance","Panda, Nanda Kishor (TU Delft Intelligent Electrical Power Grids); Poikilidis, Michail (DNV GL); Nguyen, Phuong H. (Eindhoven University of Technology)","","2023","Traction power networks can significantly influence a country's national grid due to their significant power consumption and numerous coupling points. To modernise the ageing Dutch traction power networks and enhance their impact on the utility grid, this study explores practical and cost-effective approaches for upgrading existing 1.5 kV DC traction substations (TS) in the Netherlands into 3 kV bi-directional DC TS. After evaluating the benefits of a 3 kV bi-directional DC, two novel topologies are proposed that re-use the existing substation's components and reduce the need for higher investments. These topologies incorporate parallel voltage source converters (VSCs) to recuperate braking energy from the DC grid and transfer it back to the AC grid. Furthermore, the study investigates additional use cases for the VSCs, including improving DC TS's reliability during faults, reducing harmonics through active power filtering, compensating for reactive power, and supporting the integration of renewable energy sources into the DC grid. A comprehensive control strategy for the VSCs is also proposed based on a thorough analysis of their working methodology and functional modes. The feasibility and effectiveness of the proposed solutions are validated through scenario analysis relevant to the Netherlands' traction network, utilising both a Simulink model and an Opal-RT real-time simulator. This study serves as a starting point for the various stakeholders of the Dutch traction network in their journey towards modernising the current traction power supply. It has the potential to serve as a reference for reusing existing railway infrastructures to provide ancillary services and support the energy transition.","Power harmonic filters; PWM power convertors; rectifier substations; traction; regenerative braking","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:9641a775-32fe-4d2f-82e1-0daa3ac50bb3","http://resolver.tudelft.nl/uuid:9641a775-32fe-4d2f-82e1-0daa3ac50bb3","MR-guided HDR prostate brachytherapy with teleoperated steerable needles","de Vries, M. (TU Delft Medical Instruments & Bio-Inspired Technology); Wijntjes, M. (University of Twente); Sikorski, J. (University of Twente); Moreira, P. (Harvard Medical School); van de Berg, N.J. (TU Delft Medical Instruments & Bio-Inspired Technology; Erasmus MC); van den Dobbelsteen, J.J. (TU Delft Medical Instruments & Bio-Inspired Technology); Misra, S. (University of Twente; University Medical Center Groningen)","","2023","Conformity of tumour volumes and dose plans in prostate brachytherapy (BT) can be constrained by unwanted needle deflections, needle access restrictions and visualisation limitations. This work validates the feasibility of teleoperated robotic control of an active steerable needle using magnetic resonance (MR) for guidance. With this system, perturbations can be counteracted and critical structures can be circumvented to access currently inaccessible areas. The system comprises of (1) a novel steerable needle, (2) the minimally invasive robotics in an MR environment (MIRIAM) system, and (3) the daVinci Research Kit (dVRK). MR scans provide visual feedback to the operator controlling the dVRK. Needle steering is performed along curved trajectories to avoid the urethra towards targets (representing tumour tissue) in a prostate phantom with a targeting error of 1.2 ± 1.0 mm. This work shows the potential clinical applicability of active needle steering for prostate BT with a teleoperated robotic system in an MR environment.","Brachytherapy; daVinci Surgical System; MIRIAM; MR-guided; Prostate; Steerable needle; Teleoperation","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:3d3afd85-a04f-46bc-81e6-bcd491704222","http://resolver.tudelft.nl/uuid:3d3afd85-a04f-46bc-81e6-bcd491704222","A framework model for facilitating do-it-yourself design","Hoftijzer, J.W. (TU Delft Human Information Communication Design); Keyson, D.V. (TU Delft Design Conceptualization and Communication)","","2023","Today's global context of mass-produced items has resulted in an increasing 'distance', or alienation, between people and the origins of the items they buy and use: an unhealthy human-product relationship. This observation permits the search for an alternative interpretation of well-being: a transformation that would support resilience and self sufficiency, and a better human product relationship or 'a new partnership', as advocated by various scholars. In this paper, this new partnership is considered through supporting 'Do-It-Yourself' (DIY) product design: a scenario in which professional designers facilitate laypersons to design for themselves. Anticipating (1) the designer's responsibility, and (2) the layperson's innate desire to create, this paper introduces a 'Design for DIY' framework method to help bridge the knowledge gap between the product designer and the layperson. The initial starting points of this study, complemented by a range of 'Design for DIY' studies, and an exploration of existing design frameworks and design models, resulted in the design of a 'Design-for-DIY' framework. This paper concludes with recommendations for the testing and further development of the Design-for-DIY framework.","Collaborative design; Design methodology; Do-It-Yourself; human-product-relationship; Participatory design","en","journal article","","","","","","","","","","","Human Information Communication Design","","",""
"uuid:38520572-dad4-41e6-bfb2-8b78bcb4c7f7","http://resolver.tudelft.nl/uuid:38520572-dad4-41e6-bfb2-8b78bcb4c7f7","Re-using vacant farm buildings for commercial purposes: Two cases from the Netherlands","Koreman, M.C.J. (TU Delft Urban Development Management); Korthals Altes, W.K. (TU Delft Urban Development Management)","","2023","Across the European Union, farm modernisation results in vacant farm buildings in agricultural areas. This is an issue at the crossroads of rural development and spatial planning. The debate often revolves around the options of either demolishing these buildings or re-using them for residential purposes. There is less emphasis, however, on re-using vacant farm buildings to create new employment opportunities in rural areas. This article analyses two cases in the Netherlands to explore the commercial re-use of vacant farm buildings in relation to rural development. The analysis specifically focuses on governance issues, the contribution of different types of commercial re-use to rural communities, and how re-use helps in retaining or attracting young people. The findings suggest that commercial re-use of vacant farm buildings can attract new entrepreneurs, jobs, and liveliness to rural areas. This is likelier if local government efforts and local entrepreneurship align. The cases also show limitations of commercial re-use in relation to the potential for wider uptake and the risk of enhancing rural gentrification. This raises the question of whether the current planning systems can deal with the upcoming complex processes of rural transformation.","Property re-use; Farm buildings; Spatial planning; Youth; Rural development; The Netherlands","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:fcdde1da-013b-4798-b01d-35ebb2157709","http://resolver.tudelft.nl/uuid:fcdde1da-013b-4798-b01d-35ebb2157709","Into the unknown: active monitoring of neural networks (extended version)","Kueffner, Konstantin (Institute of Science and Technology Austria); Lukina, A. (TU Delft Algorithmics); Schilling, Christian (Aalborg University); Henzinger, Thomas A. (Institute of Science and Technology Austria)","","2023","Neural-network classifiers achieve high accuracy when predicting the class of an input that they were trained to identify. Maintaining this accuracy in dynamic environments, where inputs frequently fall outside the fixed set of initially known classes, remains a challenge. We consider the problem of monitoring the classification decisions of neural networks in the presence of novel classes. For this purpose, we generalize our recently proposed abstraction-based monitor from binary output to real-valued quantitative output. This quantitative output enables new applications, two of which we investigate in the paper. As our first application, we introduce an algorithmic framework for active monitoring of a neural network, which allows us to learn new classes dynamically and yet maintain high monitoring performance. As our second application, we present an offline procedure to retrain the neural network to improve the monitor’s detection performance without deteriorating the network’s classification accuracy. Our experimental evaluation demonstrates both the benefits of our active monitoring framework in dynamic scenarios and the effectiveness of the retraining procedure.","Monitoring; Neural networks; Novelty detection","en","journal article","","","","","","","","","","","Algorithmics","","",""
"uuid:babc77ce-de81-4bc4-a4c1-d4a9bef2b239","http://resolver.tudelft.nl/uuid:babc77ce-de81-4bc4-a4c1-d4a9bef2b239","Design And Evaluation Of A Balanced Compliant Laparoscopic Grasper","Klok, J.W.A. (TU Delft Medical Instruments & Bio-Inspired Technology); Postema, R.R. (Spijkenisse Medisch Centrum); Steintorsson, Astor T. (Reon); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); Horeman, T. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2023","In laparoscopic surgery, quality of haptic feedback is reduced compared to conventional surgery, leading to unintentional tissue damage during grasping. From the perspective of haptics, poor mechanical design of laparoscopic instrument joints induces friction and a nonlinear actuation-tip force relation. In this study, a novel laparoscopic grasper using compliant joints and a magnetic balancer is presented, and the reduction in hysteresis and friction is evaluated. The hysteresis loop of the novel compliant grasper and two conventional laparoscopic graspers (high quality leading commercial brand and low quality unbranded grasper) were measured. In order to assess quality of haptic feedback, the lowest grasper tip load perceivable by instrument users was measured with the novel and the conventional laparoscopic graspers. The hysteresis loop measurement yielded a mechanical efficiency of 43% for the novel grasper, compared to- 25% and 23% for the Aesculap and the unbranded grasper, respectively. The forces perceivable by the user through the novel grasper were significantly lower (mean 1.37N, SD 0.44N) than those of conventional graspers (mean 2.15N, SD 0.71N and mean 2.65N, SD 1.20N, respectively). The balanced compliant grasper technology has the ability to improve the quality of haptic feedback compared to conventional laparoscopic graspers. Research is needed to relate these results to soft and delicate tissue grasping in a clinical setting, for which this instrument is intended.","compliant mechanisms; Force; grasping; Grasping; haptic feedback; Haptic interfaces; Instruments; Laparoscopes; Laparoscopic surgery; Magnetic hysteresis; Minimally invasive surgery; static balancing","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:089074d0-eb9b-4137-8cb0-6fc23f99c2f0","http://resolver.tudelft.nl/uuid:089074d0-eb9b-4137-8cb0-6fc23f99c2f0","Theoretical Framework for A Succinct Empirical Mode Decomposition","Jin, J. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2023","Empirical mode decomposition (EMD) lacks a strong theoretical support although extensively applied. We propose a theoretical framework for a succinct EMD in this work, with the assumption of invariant extrema locations for one IMF extraction. We define the envelope mean filter (EMF) and prove that the filter matrix satisfies five properties. The sifting matrix is convergent to an idempotent matrix. An IMF is the projection of the input signal on the generalized eigenspace of the EMF matrix. An IMF is orthogonal to the residual signal, but different IMFs have no orthogonality. With numerical experiments on different signals, our framework achieves similar results to the classic EMD.","adaptive signal processing; Eigenvalues and eigenfunctions; Empirical mode decomposition; Filter banks; Filtering theory; Interpolation; Low-pass filters; Splines (mathematics); time-frequency analysis; Time-frequency analysis; time-varying filters","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-07","","","Railway Engineering","","",""
"uuid:683f3f31-1c55-414e-bf17-31ee8e852bf2","http://resolver.tudelft.nl/uuid:683f3f31-1c55-414e-bf17-31ee8e852bf2","Viability of bridge inspectors determining defect ratings using photographic images","Nepomuceno, David T. (University of Bristol); Vardanega, Paul J. (University of Bristol); Pregnolato, M. (TU Delft Hydraulic Structures and Flood Risk; University of Bristol); Tryfonas, Theo (University of Bristol); Bennetts, John (WSP Global Inc.)","","2023","The visual inspection of bridges is a major undertaking for asset owners and operators. In the UK, visual inspections require inspectors to visit bridges on site and often at night and under unfavourable weather conditions. Therefore, it would be beneficial to move some of the visual inspection process off site. This paper studies whether the defect classification aspects of the inspection process could be conducted remotely using photographs. This study examines the defect ratings assigned by ten survey participants who were tasked with examining photographs from visual inspections of ten UK bridges. The survey results were compared with the results from the general inspections previously carried out for the bridges in question. From this data set, the differences in the ratings given and the extent to which defects are missed were examined. The results show that a higher number of defects were identified for a given bridge by the remote inspectors. Statistical analysis shows that aggregated defects rated by off-site inspectors tend to be more severe and of a higher priority rating compared with those from the on-site inspectors. The results also indicate that there is closer agreement between on-site and off-site inspectors for defects of a higher severity rating.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-16","","","Hydraulic Structures and Flood Risk","","",""
"uuid:4d7749bc-204d-40d3-ab05-4473fb278cb1","http://resolver.tudelft.nl/uuid:4d7749bc-204d-40d3-ab05-4473fb278cb1","Drawing the line: Opening up and closing down the siting of a high voltage transmission route in the Netherlands","Ruiten, Kyra (The Thuisgekookt Foundation); Pesch, U. (TU Delft Ethics & Philosophy of Technology); Rodhouse, T.S.G.H. (TU Delft Transport and Logistics; TU Delft Organisation & Governance); Correljé, A. (TU Delft Economics of Technology and Innovation); Spruit, Shannon (Populytics B.V); Tenhaaf, Antje (HyTransport); Dijkshoorn, Jochem (WB De Ruimte); van den Berg, Susan (TenneT TSO B.V.)","","2023","This paper describes the decision-making process regarding the siting of a high voltage transmission line in the southern part of the Netherlands by TenneT, the Transmission System Operator responsible for the electricity infrastructure. TenneT started this siting process by deploying conventional decision-making procedures, which have the tendency centrally to pre-scope, and select the technical, spatial and societal characteristics of such projects. Following the resistance of activist groups and local authorities, a new siting process was set up based on community engagement (CE) and the upfront involvement of local stakeholders, so to include new frames and perspectives and by reconsidering the workings of standard procedures. With that, TenneT opened up decision-making processes. In our paper, we will identify the practical and institutional tensions and challenges that emerged from these attempts to ‘open up’. The work is based on an ‘inside out’ description of the case: one of the researchers undertook an ethnographic study of the siting process, while the employees of TenneT directly involved in the siting process have been invited as co-authors, so to add details and the reflections of practitioners.","Energy Transition; High Voltage Transmission Line; Opening up/closing down; Participation","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:1c2935d4-ba4e-46c4-9f28-9454909afb70","http://resolver.tudelft.nl/uuid:1c2935d4-ba4e-46c4-9f28-9454909afb70","Tidally heated exomoons around Ïμ Eridani b: Observability and prospects for characterization","Kleisioti, E. (TU Delft Astrodynamics & Space Missions; Universiteit Leiden); Dirkx, D. (TU Delft Astrodynamics & Space Missions); Rovira Navarro, M. (University of Arizona); Kenworthy, M.A. (TU Delft Astrodynamics & Space Missions; Universiteit Leiden)","","2023","Context. Exomoons are expected to orbit gas giant exoplanets just as moons orbit Solar System planets. Tidal heating is present in Solar System satellites, and it can heat up their interior, depending on their orbital and interior properties. Aims. We aim to identify a tidally heated exomoon's (THEM) orbital parameter space that would make it observable in infrared wavelengths with MIRI/JWST around Ïμ Eridani b. We study the possible constraints on orbital eccentricity and interior properties that a successful THEM detection in infrared wavelengths can bring. We also investigate what exomoon properties need to be independently known in order to place these constraints. Methods. We used a coupled thermal-tidal model to find stable equilibrium points between the tidally produced heat and the heat transported within a moon. For the latter, we considered a spherical and radially symmetric satellite with heat being transported via magma advection in a sublayer of melt (asthenosphere) and convection in the lower mantle. We incorporated uncertainties in the interior and tidal model parameters to assess the fraction of simulated moons that would be observable with MIRI. Results. We find that a 2RIo THEM orbiting Ïμ Eridani b with an eccentricity of 0.02 would need to have a semi-major axis of 4 planetary Roche radii for 100% of the simulations to produce an observable moon. These values are comparable with the orbital properties of the satellites of the Solar System gas giants. We placed similar constraints for eccentricities up to 0.1. We conclude that if the semi-major axis and radius of the moon are known (e.g., with exomoon transits), tidal dissipation can constrain the orbital eccentricity and interior properties of the satellite, such as the presence of melt and the thickness of the melt-containing sublayer.","planets and satellites; interiors / planets and satellites; detection / infrared: planetary systems / planets and satellites; individual: ϵ Eridani b","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:bc1594fc-04f3-4bd5-b9dd-982224a388da","http://resolver.tudelft.nl/uuid:bc1594fc-04f3-4bd5-b9dd-982224a388da","Ethics of Quantum Computing: an Outline","Possati, L.M. (TU Delft Ethics & Philosophy of Technology)","","2023","This paper intends to contribute to the emerging literature on the ethical problems posed by quantum computing and quantum technologies in general. The key ethical questions are as follows: Does quantum computing pose new ethical problems, or are those raised by quantum computing just a different version of the same ethical problems raised by other technologies, such as nanotechnologies, nuclear plants, or cloud computing? In other words, what is new in quantum computing from an ethical point of view? The paper aims to answer these two questions by (a) developing an analysis of the existing literature on the ethical and social aspects of quantum computing and (b) identifying and analyzing the main ethical problems posed by quantum computing. The conclusion is that quantum computing poses completely new ethical issues that require new conceptual tools and methods.","Computing; Cryptography; Ethics; Quantum technologies","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:4646241f-6246-4aa0-b6ac-c7d9e1223e32","http://resolver.tudelft.nl/uuid:4646241f-6246-4aa0-b6ac-c7d9e1223e32","Channeling is a distinct class of dissolution in complex porous media","Menke, Hannah P. (Heriot-Watt University); Maes, Julien (Heriot-Watt University); Geiger, S. (TU Delft Applied Geology)","","2023","The traditional model of solid dissolution in porous media consists of three dissolution regimes (uniform, compact, wormhole)-or patterns-that are established depending on the relative dominance of reaction rate, flow, and diffusion. In this work, we investigate the evolution of pore structure using numerical simulations during acid injection on two models of increasing complexity. We investigate the boundaries between dissolution regimes and characterize the existence of a fourth dissolution regime called channeling, where initially fast flow pathways are preferentially widened by dissolution. Channeling occurs in cases where the distribution in pore throat size results in orders of magnitude differences in flow rate for different flow pathways. This focusing of dissolution along only dominant flow paths induces an immediate, large change in permeability with a comparatively small change in porosity, resulting in a porosity-permeability relationship unlike any that has been previously seen. This work suggests that the traditional conceptual model of dissolution regimes must be updated to incorporate the channeling regime for reliable forecasting of dissolution in applications like geothermal energy production and geologic carbon storage.","","en","journal article","","","","","","","","","","","Applied Geology","","",""
"uuid:9c17ffa0-f905-429c-b53e-7855451084c1","http://resolver.tudelft.nl/uuid:9c17ffa0-f905-429c-b53e-7855451084c1","Reaction behavior and wear properties of in-situ air plasma-sprayed Al2O3-TiB2 composite coatings","Mirhosseini, Seyed Hossein (Yazd University); Mosallaee, Masoud (Yazd University); Razavi, Mansour (Materials and Energy Research Center); Fotouhi, M. (TU Delft Materials and Environment)","","2023","In this study, Al2O3-TiB2 coating was successfully deposited on steel substrates by in situ plasma spraying (IPS) using H3BO3, Al, and TiO2 reactants. Beside TiB2 and Al2O3, Al18B4O33 was formed as a by-product with ratio of about 13 wt%. The effect of milling time of reactant and the reaction behavior was also explored. Milling process for at least 10 h can promote efficiency of reaction and milling for efficient production of Al2O3-TiB2 composite. Wear behavior was examined in terms of hardness, wear track width, and wear rate of the coatings with respective measured values of 797.6 HV, 1061.3 µm, and 4.2 × 10−3 mm3/N.m. Based on the FESEM observations, the thickness of abrasive coating was 417 µm, delamination and adhesion were the main wear mechanisms in Al2O3-TiB2-coated specimens.","Ceramic Coatings; In-situ; Milling; Plasma spray; Wear resistance","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-28","","","Materials and Environment","","",""
"uuid:e55e331e-dbff-4a40-acdd-f62ff8151945","http://resolver.tudelft.nl/uuid:e55e331e-dbff-4a40-acdd-f62ff8151945","Who benefits from cycling initiatives? An evaluation of perceived effectiveness and differences among population groups","Jahanshahi, Danial (The University of Auckland); Costello, Seósamh B. (The University of Auckland); Dirks, Kim Natasha (The University of Auckland); van Wee, G.P. (TU Delft Transport and Logistics)","","2023","This study explores the effectiveness of cycling initiatives in encouraging bicycle usage, and the relationship with sociodemographic characteristics amongst residents of the multi-cultural city of Auckland, New Zealand. The study considered regular cyclists, potential cyclists, as well as non-cyclists across demographic groups, including age, gender, income level, educational level, ethnicity, and bicycle user type to provide a holistic understanding of the association between the perceived effectiveness of cycling initiatives in encouraging bicycle usage. The results indicate that safety initiatives, including lighting improvements and vehicle safety features are perceived as being the most effective amongst all of the cycling initiatives proposed, and that younger people, Māori and Pacific people, and regular cyclists perceive higher levels of effectiveness in response to many of the cycling initiatives implemented. Moreover, findings indicate that many of the cycling initiatives are seen as more effective by some specific demographic groups who were not necessarily the intended target groups for the initiative, as envisaged by the experts tasked with their development and implementation. Also, for some demographic groups such as the elderly, women and non-cyclists, the perceived effectiveness of current cycling initiatives was found to be lower than was the case for the population as a whole, suggesting that the current cycling initiatives are not sufficiently focussed on these cycling disadvantaged groups, as they should to be in the interest of equity. This study aids in the design of better strategies by providing insights for policymakers and local governments to provide more equitable outcomes with respect to cycling.","Auckland; Cycling initiatives; Equity; Ethnicity; Perceptions","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-17","","","Transport and Logistics","","",""
"uuid:7543d225-365d-4798-ab1c-59abc8d634b1","http://resolver.tudelft.nl/uuid:7543d225-365d-4798-ab1c-59abc8d634b1","Improved Simultaneous Multi-slice imaging with Composition of k-space Interpolations (SMS-COOKIE) for myocardial T1 mapping","Demirel, Ömer Burak (University of Minnesota); Weingärtner, S.D. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Weingärtner group); Moeller, Steen (University of Minnesota); Akçakaya, Mehmet (University of Minnesota)","","2023","The aim of this study is to develop and evaluate a regularized Simultaneous Multi-Slice (SMS) reconstruction method for improved Cardiac Magnetic Resonance Imaging (CMR). The proposed reconstruction method, SMS with COmpOsition of k-space IntErpolations (SMS-COOKIE) combines the advantages of Iterative Self-consistent Parallel Imaging Reconstruction (SPIRiT) and split slice-Generalized Autocalibrating Partially Parallel Acquisitions (GRAPPA), while allowing regularization for further noise reduction. The proposed SMS-COOKIE was implemented with and without regularization, and validated using a Saturation Pulse-Prepared Heart rate Independent inversion REcovery (SAPPHIRE) myocardial T 1 mapping sequence. The performance of the proposed reconstruction method was compared to ReadOut (RO)–SENSE-GRAPPA and split slice-GRAPPA, on both retrospectively and prospectively three-fold SMS-accelerated data with an additional two-fold in-plane acceleration. All SMS reconstruction methods yielded similar T 1 values compared to single band imaging. SMS-COOKIE showed lower spatial variability in myocardial T 1 with significant improvement over RO-SENSE-GRAPPA and split slice-GRAPPA (P < 10 −4). The proposed method with additional locally low rank (LLR) regularization reduced the spatial variability, again with significant improvement over RO-SENSE-GRAPPA and split slice-GRAPPA (P < 10 −4). In conclusion, improved reconstruction quality was achieved with the proposed SMS-COOKIE, which also provided lower spatial variability with significant improvement over split slice-GRAPPA.","","en","journal article","","","","","","","","","","","ImPhys/Computational Imaging","","",""
"uuid:ef0529dd-1506-4e53-8aba-c9d20909125b","http://resolver.tudelft.nl/uuid:ef0529dd-1506-4e53-8aba-c9d20909125b","Autoencoder-enabled model portability for reducing hyperparameter tuning efforts in side-channel analysis","Krcek, M. (TU Delft Cyber Security); Perin, G. (Universiteit Leiden)","","2023","Hyperparameter tuning represents one of the main challenges in deep learning-based profiling side-channel analysis. For each different side-channel dataset, the typical procedure to find a profiling model is applying hyperparameter tuning from scratch. The main reason is that side-channel measurements from various targets contain different underlying leakage distributions. Consequently, the same profiling model hyperparameters are usually not equally efficient for other targets. This paper considers autoencoders for dimensionality reduction to verify if encoded datasets from different targets enable the portability of profiling models and architectures. Successful portability reduces the hyperparameter tuning efforts as profiling model tuning is eliminated for the new dataset, and tuning autoencoders is simpler. We first search for the best autoencoder for each dataset and the best profiling model when the encoded dataset becomes the training set. Our results show no significant difference in tuning efforts using original and encoded traces, meaning that encoded data reliably represents the original data. Next, we verify how portable is the best profiling model among different datasets. Our results show that tuning autoencoders enables and improves portability while reducing the effort in hyperparameter search for profiling models. Lastly, we present a transfer learning case where dimensionality reduction might be necessary if the model is tuned for a dataset with fewer features than the new dataset. In this case, tuning of the profiling model is eliminated and training time reduced.","Autoencoders; Hyperparameter tuning; Portability; Preprocessing; Side-channel analysis; Transfer learning","en","journal article","","","","","","","","","","","Cyber Security","","",""
"uuid:0ba2930d-ed46-427f-9592-b570a945c960","http://resolver.tudelft.nl/uuid:0ba2930d-ed46-427f-9592-b570a945c960","Explainable Artificial Intelligence to Investigate the Contribution of Design Variables to the Static Characteristics of Bistable Composite Laminates","Saberi, Saeid (Isfahan University of Technology); Nasiri, Hamid (Amirkabir University of Technology); Ghorbani, Omid (Kharazmi University); Friswell, Michael I. (Swansea University); Castro, Saullo G.P. (TU Delft Aerospace Structures & Computational Mechanics)","","2023","Material properties, geometrical dimensions, and environmental conditions can greatly influence the characteristics of bistable composite laminates. In the current work, to understand how each input feature contributes to the curvatures of the stable equilibrium shapes of bistable laminates and the snap-through force to change these configurations, the correlation between these inputs and outputs is studied using a novel explainable artificial intelligence (XAI) approach called SHapley Additive exPlanations (SHAP). SHAP is employed to explain the contribution and importance of the features influencing the curvatures and the snap-through force since XAI models change the data into a form that is more convenient for users to understand and interpret. The principle of minimum energy and the Rayleigh–Ritz method is applied to obtain the responses of the bistable laminates used as the input datasets in SHAP. SHAP effectively evaluates the importance of the input variables to the parameters. The results show that the transverse thermal expansion coefficient and moisture variation have the most impact on the model’s output for the transverse curvatures and snap-through force. The eXtreme Gradient Boosting (XGBoost) and Finite Element (FM) methods are also employed to identify the feature importance and validate the theoretical approach, respectively.","composite; bistable; artificial intelligence; machine learning; snap-through; correlation; SHAP; XGBoost","en","journal article","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:c864dd99-24cf-4916-8d2d-ed176b8db185","http://resolver.tudelft.nl/uuid:c864dd99-24cf-4916-8d2d-ed176b8db185","AutoPOI: automated points of interest selection for side-channel analysis","Remmerswaal, Mick G.D. (Universiteit Leiden); Wu, L. (TU Delft Cyber Security); Tiran, Sébastien (External organisation); Mentens, Nele (Universiteit Leiden; Katholieke Universiteit Leuven)","","2023","Template attacks (TAs) are one of the most powerful side-channel analysis (SCA) attacks. The success of such attacks relies on the effectiveness of the profiling model in modeling the leakage information. A crucial step for TA is to select relevant features from the measured traces, often called points of interest (POIs), to extract the leakage information. Previous research indicates that properly selecting the input leaking features could significantly increase the attack performance. However, due to the presence of SCA countermeasures and advancements in technology nodes, such features become increasingly difficult to extract with conventional approaches such as principle component analysis (PCA) and the Sum Of Squared pairwise T-difference-based method (SOST). This work proposes a framework, AutoPOI, based on proximal policy optimization to automatically find, select and scale down features. The input raw features are first grouped into small regions. The best candidates selected by the framework are further scaled down with an online-optimized dimensionality reduction neural network. Finally, the framework rewards the performance of these features with the results of TA. Based on the experimental results, the proposed framework can extract features automatically that lead to comparable state-of-the-art performance on several commonly used datasets.","Deep reinforcement learning; Points of interest selection; Proximal policy optimization; Side-channel analysis","en","journal article","","","","","","","","","","","Cyber Security","","",""
"uuid:2290ddc0-0330-4484-bc33-65237ea74eb6","http://resolver.tudelft.nl/uuid:2290ddc0-0330-4484-bc33-65237ea74eb6","Environmental ethics beyond conferences: A response to the WCB bioethics in Qatar","Richie, C.S. (TU Delft Ethics & Philosophy of Technology)","","2023","Rieke van der Graaf, Karin Jongsma, Martine de Vries, Suzanne van de Vathorst, and Ineke Bolt have done well to voice ethical concerns over the decision of the IAB to host the next WCB in Qatar. Conferences should be more sustainable. Yet, attention to the carbon impact of conferences—and, perhaps, any country that a person might travel to for business or pleasure—are only one small part of environmentally responsible citizenship, especially for those trained in ethics and committed to health. Both bioethics as a discipline and bioethicists as individuals need to interrogate their environmental choices. To this end, some ecological choices are more obvious targets of ethical scrutiny—diet and travel—while others appear sacrosanct, like reproduction and even healthcare use. This underscores the importance of making sustainable and ethical organizational choices, such as where to hold a conference, without absolving environmental accountability in other ethical calculations. Many organizations in academic and clinical medicine need to make drastic alterations in their practices and policies to effectively mitigate carbon. While the burden is not only on bioethics alone, the expectation that it should be remains.","climate change; environmental bioethics; organizational ethics; sustainable conference","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-29","","","Ethics & Philosophy of Technology","","",""
"uuid:0ba7f192-5eb1-42a2-8539-fea2c0951c24","http://resolver.tudelft.nl/uuid:0ba7f192-5eb1-42a2-8539-fea2c0951c24","The dynamic lives of osseous points from Late Palaeolithic/Early Mesolithic Doggerland: A detailed functional study of barbed and unbarbed points from the Dutch North Sea","Aleo, A. (TU Delft Team Joris Dik; Universiteit Leiden); Kozowyk, P.R.B. (TU Delft Team Joris Dik); Baron, L.I. (TU Delft ChemE/O&O groep); van Gijn, Annelou (Universiteit Leiden); Langejans, G.H.J. (TU Delft Team Joris Dik; University of Johannesburg)","","2023","Osseous barbed and unbarbed points are commonly recovered from the Dutch North Sea and other Mesolithic sites of northern Europe. Interpreted as elements of projectile weaponry, barbed points are considered by archaeologists to be a technological innovation in the hunting equipment of hunter-gatherers. However, debate about their exact use and identification of the targeted prey species is still ongoing. To shed light on the function of these tools, we analysed a sample of 17 artefacts from the Netherlands with a multi-disciplinary approach encompassing morphometric, functional, and chemical analysis. 14C-AMS dating yielded the oldest date for a barbed point from the Dutch coast (⁓13000 cal. BP). The observation of microwear traces preserved on the tools provides solid evidence to interpret the function of barbed and unbarbed points. We show that there were two distinct tool categories. 1) Barbed points hafted with birch tar and animal or vegetal binding were likely projectile tips for terrestrial and aquatic hunting. We provide strong clues to support the link between small barbed points and fishing using wear traces. 2) Points without barbs served as perforators for animal hides. Our results highlight the importance of use-wear and residue analysis to reconstruct prehistoric hunting activities. The functional interpretation of projectile points must also rely on microwear traces and not merely on the association with faunal remains, historical sources, and ethnographic comparisons.","","en","journal article","","","","","","","","","","","Team Joris Dik","","",""
"uuid:b436f2e0-ac56-46ef-a9e1-23f8d55c18e6","http://resolver.tudelft.nl/uuid:b436f2e0-ac56-46ef-a9e1-23f8d55c18e6","A Python library for computing individual and merged non-CO2 algorithmic climate change functions: CLIMaCCF V1.0","Dietmüller, Simone (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Matthes, Sigrun (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Dahlmann, Katrin (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Yamashita, Hiroshi (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Simorgh, Abolfazl (Carlos III University of Madrid); Lührs, Benjamin (Hamburg University of Technology; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Grewe, V. (TU Delft Aircraft Noise and Climate Effects; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Yin, F. (TU Delft Aircraft Noise and Climate Effects); Castino, F. (TU Delft Aircraft Noise and Climate Effects)","","2023","Aviation aims to reduce its climate effect by adopting trajectories that avoid regions of the atmosphere where aviation emissions have a large impact. To that end, prototype algorithmic climate change functions (aCCFs) can be used, which provide spatially and temporally resolved information on aviation's climate effect in terms of future near-surface temperature change. These aCCFs can be calculated with meteorological input data obtained from, e.g., numerical weather prediction models. We present here the open-source Python library called CLIMaCCF, an easy-to-use and flexible tool which efficiently calculates both the individual aCCFs (i.e., aCCF of water vapor, nitrogen oxide (NOx)-induced ozone production and methane depletion, and contrail cirrus) and the merged non-CO2 aCCFs that combine all these individual contributions. To construct merged aCCFs all individual aCCFs are converted to the same physical unit. This unit conversion needs the technical specification of aircraft and engine parameters, i.e., NOx emission indices and flown distance per kilogram of burned fuel. These aircraft- and engine-specific values are provided within CLIMaCCF version V1.0 for a set of aggregated aircraft and engine classes (i.e., regional, single-aisle, wide-body). Moreover, CLIMaCCF allows the user to choose from a range of physical climate metrics (i.e., average temperature response for pulse or future scenario emissions over the time horizons of 20, 50, or 100 years). Finally, we demonstrate the abilities of CLIMaCCF through a series of example applications.","","en","journal article","","","","","","","","","","","Aircraft Noise and Climate Effects","","",""
"uuid:e7aa302f-0be7-4d06-a9a2-e365caac49ad","http://resolver.tudelft.nl/uuid:e7aa302f-0be7-4d06-a9a2-e365caac49ad","Corrigendum to “Student paper competition at the eleventh IAASS space safety conference, ‘Managing Risk in Space’ in 2021” (The Journal of Space Safety Engineering (2022) 9(2) (125–126), (S2468896722000404), (10.1016/j.jsse.2022.05.002))","Koller, Josef (The Aerospace Corporation); Rongier, Isabelle (Ariane Group); Skinner, Mark (The Aerospace Corporation); van Campen, J.M.J.F. (TU Delft Aerospace Structures & Computational Mechanics)","","2023","This Editorial mentioned a paper by Nishimoto et al [1], which was not available to be cited at the time. The paper has now been included in this issue and the reference for it is below. [1] M. Nishimoto, M. T. Kezirian, Safety requirements for Hyperloop transportation systems: Applying NASA human spaceflight safety practices, J. Space Saf. Eng. 10 (4) (2023) 397 – 406, doi: 10.1016/j.jsse.2022.02.009","","en","journal article","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:dcbf1c87-6ba8-4a1e-8dc0-535cdabd88ad","http://resolver.tudelft.nl/uuid:dcbf1c87-6ba8-4a1e-8dc0-535cdabd88ad","The everyday enactment of interfaces: a study of crises and conflicts in the more-than-human home","van Beek, E. (TU Delft Applied Ergonomics and Design); Giaccardi, Elisa (TU Delft Human Information Communication Design); Boess, S.U. (TU Delft Applied Ergonomics and Design); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","","2023","By 2027 more than 530 M homes will likely adopt at least one type of automated system. This means that a growing number of residents will be living with automated technology in the home, everyday. But living with smart homes is full of conflicts between what residents find appropriate and what technology does instead. Previous research, centering end-user needs, has often focused on smooth living experiences through graphical user interfaces and improved predictions. In this research, we take the more-than-human lens of co-performance to put crises in everyday practices in view, and to conceptualize a new notion of interface. Based on ethnographic data from 11 households, our findings illustrate how crises reveal conflicting ideas of appropriateness, how residents reconfigure their co-performances with technology in response to everyday crises, and how new interfaces are enacted as a result. We conclude by illuminating how researchers and designers should not look at the conflicts and crises emerging in the more-than-human home as something of which to get rid. Instead, they are opportunities for residents and buildings to respond to one another in the context of everyday life and to enact interfaces that were not pre-designed into the building.","Co-performance; interface; internet of things; more-than-human design; smart buildings; smart home","en","journal article","","","","","","","","","","","Applied Ergonomics and Design","","",""
"uuid:e3ec7ff3-ee90-4d17-91fb-964769191c7b","http://resolver.tudelft.nl/uuid:e3ec7ff3-ee90-4d17-91fb-964769191c7b","Complexity for complexity—How advanced modeling may limit its applicability for decision-makers","Ale, B.J.M. (TU Delft Safety and Security Science); Slater, David H. (Carey Dene)","","2023","As today's engineering systems have become increasingly sophisticated, assessing the efficacy of their safety-critical systems has become much more challenging. The more classical methods of “failure” analysis by decomposition into components related by logic trees, such as fault and event trees, root cause analysis, and failure mode and effects analysis lead to models that do not necessarily behave like the real systems they are meant to represent. These models need to display similar emergent and unpredictable behaviors to sociotechnical systems in the real world. The question then arises as to whether a return to a simpler whole system model is necessary to understand better the behavior of real systems and to build confidence in the results. This question is more prescient when one considers that the causal chain in many serious accidents is not as deep-rooted as is sometimes claimed. If these more obvious causes are not taken away, why would the more intricate scenarios that emanate from more sophisticated models be acted upon. The paper highlights the advantages of modeling and analyzing these “normal” deviations from ideality, so called weak signals, versus just system failures and near misses as well as catastrophes. In this paper we explore this question.","causal chain; complexity; FRAM; sociopolitical context","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:8aa75ef3-080c-4f8e-b49b-99c3ceaff850","http://resolver.tudelft.nl/uuid:8aa75ef3-080c-4f8e-b49b-99c3ceaff850","Investigating sources of variability in closing the terrestrial water balance with remote sensing","Michailovsky, Claire I. (IHE Delft Institute for Water Education); Coerver, B.M. (IHE Delft Institute for Water Education); Mul, Marloes (IHE Delft Institute for Water Education); Jewitt, G.P.W. (TU Delft Water Resources; University of KwaZulu-Natal; IHE Delft Institute for Water Education)","","2023","Remote sensing (RS) data are becoming an increasingly important source of information for water resource management as they provide spatially distributed data on water availability and use. However, in order to guide appropriate use of the data, it is important to understand the impact of the uncertainties of RS data on water resource studies. Previous studies have shown that the degree of closure of the water balance from remote sensing data is highly variable across basins and that different RS products vary in their levels of accuracy depending on climatological and geographical conditions.
In this paper, we analyzed the water-balance-derived runoff from global RS products for 931 catchments across the globe. We compared time series of runoff estimated through a simplified water balance equation using three precipitation (CHIRPS, GPM, and TRMM), five evapotranspiration (MODIS, SSEBop, GLEAM, CMRSET, and SEBS), and three water storage change (GRACE-CSR, GRACE-JPL, and GRACE-GFZ) RS datasets with monthly in situ discharge data for the period 2003–2016. Results were analyzed through the lens of 10 quantifiable catchment characteristics in order to investigate correlations between catchment characteristics and the quality of RS-based water balance estimates of runoff and whether specific products performed better than others under certain conditions.
The median Nash–Sutcliffe efficiency (NSE) for all gauges and all product combinations was −0.02, and only 44.9 % of the time series reached a positive NSE. A positive NSE could be obtained for 73.7 % of stations with at least one product combination, while the overall best-performing product combination was positive for 58.4 % of stations. This confirms previous findings that the best-performing products cannot be globally established. When investigating the results by catchment characteristic, all combinations tended to show similar correlations between catchment characteristics and the quality of estimated runoff, with the exception of combinations using MODIS evapotranspiration, for which the correlation was frequently reversed. The combinations with the GPM precipitation product generally performed worse than the CHIRPS and TRMM data. However, this can be attributed to the fact that the GPM data are available at higher latitudes compared to the other products, where performance is generally poorer. When removing high-latitude stations, this difference was eliminated, and GPM and TRMM showed similar performance.
The results show the highest positive correlation between highly seasonal rainfall and runoff NSE. On the other hand, increasing snow cover, altitude, and latitude decreased the ability of the RS products to close the water balance. The catchment's dominant climate zone was also found to be correlated with time series performance, with the tropical areas providing the highest (median NSE = 0.11) and arid areas the lowest (median NSE = −0.09) NSE values. No correlation was found between catchment area and runoff NSE. The results highlight the importance of further studies on the uncertainties of the different data products and how these interact when combining them, as well as of new approaches to using the data rather than simple water-balance-type approaches. Efforts to improve specific satellite products can also be better targeted using the results of this study.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:87fc1be1-7ded-4c0a-9fe2-a2c43ed7a2d6","http://resolver.tudelft.nl/uuid:87fc1be1-7ded-4c0a-9fe2-a2c43ed7a2d6","Community health surveillance via digital collection of syndromic and behavior data by community healthcare workers in rural Kenya: a pilot study","van Herpen, Maarten M.J.W. (Acacia Impact Innovation BV); Saadah, Nicholas H. (Leiden University Medical Center); Otieno, Pieter (AMREF Health Africa); Kiara, Lemmy (AMREF Health Africa); Diehl, J.C. (TU Delft Design for Sustainability)","","2023","Disease surveillance is essential to enable adequate detection and response to disease outbreaks. Syndromic surveillance is used to augment traditional approaches, especially in community-based surveillance. Here we demonstrate that Community Healthcare Workers (CHWs) supported by a mobile phone application can provide community-based syndromic disease surveillance in low-resource settings, and that they are able to generate relevant symptom-based and behavior data such as cough symptoms, use of mosquito nets and availability of household handwashing facilities. We analyzed 1.6 million data points collected by CHWs during home visits in rural Kenya as a proof of principle that the symptoms and behavior they observe can be used as a community-based health surveillance tool. To demonstrate the relevance of the data, we show that national covid-19 case numbers did not align with reported cough symptoms in remote populations, which implies that rural populations did not experience covid-19 outbreaks in tandem with urban populations. We also found that the behavior of using long-lasting insecticidal nets could be tracked by the CHWs, and it followed the seasonality of the mosquito burden. Strengthening community-based syndromic and behavior surveillance through CHWs is therefore a great opportunity to strengthen national public health surveillance and response in Africa and should be included in the Integrated Disease Surveillance and Response (IDSR) strategy.","Community health workers; Community-based disease surveillance; Syndromic disease surveillance; Epidemic preparedness; Covid-19; Long-lasting insecticidal nets; Mobile phone; Africa","en","journal article","","","","","","","","","","","Design for Sustainability","","",""
"uuid:4b6c205b-a724-4a97-8d47-badab6ed0fc8","http://resolver.tudelft.nl/uuid:4b6c205b-a724-4a97-8d47-badab6ed0fc8","Transdimensional ambient-noise surface wave tomography of the Reykjanes Peninsula, SW Iceland","Rahimi Dalkhani, A. (TU Delft Applied Geophysics and Petrophysics); Áústsdóttir, Thorbjörg (Iceland GeoSurvey); Gudnason, Egill Árni (Iceland GeoSurvey); Hersir, Gylfi Páll (Independent researcher); Zhang, Xin (University of Edinburgh; China University of Geosciences); Weemstra, C. (TU Delft Applied Geophysics and Petrophysics; Royal Netherlands Meteorological Institute (KNMI))","","2023","Ambient noise seismic tomography has proven to be an effective tool for subsurface imaging, particularly in volcanic regions such as the Reykjanes Peninsula (RP), SW Iceland, where ambient seismic noise is ideal with isotropic illumination. The primary purpose of this study is to obtain a reliable shear wave velocity model of the RP, to get a better understanding of the subsurface structure of the RP and how it relates to other geoscientific results. This is the first tomographic model of the RP which is based on both on- and off-shore seismic stations. We use the ambient seismic noise data and apply a novel algorithm called one-step 3-D transdimensional tomography. The main geological structures in the study area (i.e. covered by seismic stations) are the four NE-SW trending volcanic systems, orientated highly oblique to the plate spreading on the RP. These are from west to east; Reykjanes, Eldvörp-Svartsengi, Fagradalsfjall and Krýsuvík, of which all except Fagradalsfjall host a known high-temperature geothermal field. Using surface waves retrieved from ambient noise recordings, we recovered a 3-D model of shear wave velocity. We observe low-velocity anomalies below these known high-temperature fields. The observed low-velocity anomalies below Reykjanes and Eldvörp-Svartsengi are significant but relatively small. The low-velocity anomaly observed below Krýsuvík is both larger and stronger, oriented near-perpendicular to the volcanic system, and coinciding well with a previously found low-resistivity anomaly. A low-velocity anomaly in the depth range of 5-8 km extends horizontally along the whole RP, but below the high-temperature fields, the onset of the velocity decrease is shallower, at around 3 km depth. This is in good agreement with the brittle-ductile transition zone on the RP. In considerably greater detail, our results confirm previous tomographic models obtained in the area. This study demonstrates the potential of the entirely data-driven, one-step 3-D transdimensional ambient noise tomography as a routine tomography tool and a complementary seismological tool for geothermal exploration, providing an enhanced understanding of the upper crustal structure of the RP.","Interferometry; Seismic noise; Surface waves and free oscillations; Tomography","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:9e3861db-4ed1-4a16-9dba-b85e5fb763f1","http://resolver.tudelft.nl/uuid:9e3861db-4ed1-4a16-9dba-b85e5fb763f1","Risk based portfolio planning of dike reinforcements","den Heijer, F. (HAN University of Applied Sciences); Kok, M. (TU Delft Hydraulic Structures and Flood Risk)","","2023","A system of dikes in flood-prone areas continuously requires measures to mitigate changes such as ageing and climate change. Planning costly measures requires proper insight into system risk effects. Especially in a riverine dike system, the risk contributions of individual assets to the system flood risks are not independent, because reinforcement of a dike upstream increases the risks downstream. Tactical plans define the planning of consecutive measures to implement a flood risk reduction strategy, which may take decades. They may differ due to choices such as a prioritization metric, planning conditions and budget. In this study, a method is developed to compare different tactics to prioritize and plan measures in interdependent systems of dikes to reduce risks most effectively and efficiently. A case study meant as a proof of concept was carried out for the reinforcement of about 500 km of dikes along the Rhine River branches in the Netherlands. We studied the effects of 12 different tactical plans on the aggregated risks over time. The economic risks differ by up to about 40%, and the risks on victims differ by up to 70 %. We conclude that tactical planning and corresponding decisions are important for reduction of time-aggregated flood risks.","Dike reinforcements; Flood risk; Portfolio planning; Tactical decision making","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:2be0d401-142a-4e6d-a946-a49f7648a86c","http://resolver.tudelft.nl/uuid:2be0d401-142a-4e6d-a946-a49f7648a86c","Direct numerical simulation of flow in open rectangular ducts","Yu, Ming (Sapienza Universita di Roma; State Key Laboratory of Aerodynamics); Modesti, D. (TU Delft Aerodynamics); Pirozzoli, Sergio (Sapienza University of Rome)","","2023","We study turbulent flow in open channels with a free surface and rectangular cross-section, for various Reynolds numbers and duct aspect ratios. Direct numerical simulations are used to obtain accurate characterization of the secondary motions, which are found to be more intense than in closed ducts, and to scale with the bulk, rather than with the friction velocity. A notable feature of open-duct flows is the presence of a velocity dip, namely the peak velocity is achieved at some depth underneath the free surface. We find that the depth of the velocity peak increases with the Reynolds number, and correspondingly the flow becomes more symmetric with respect to the horizontal midplane. This is also confirmed from the change of the topology of the secondary motions, which exhibit a strong corner circulation at the free-surface/wall corners at low Reynolds number, which, however, weakens at higher. The structure of the mean velocity field is such that the log law applies with good approximation in the direction normal to the nearest wall, which allows us to explain why predictive friction formulae based on the hydraulic diameter concept are successful. Additional analysis shows that the secondary motions account for a large fraction of the frictional drag (up to %).","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:dd1eb3ba-71d3-4744-a195-7701b6d14f84","http://resolver.tudelft.nl/uuid:dd1eb3ba-71d3-4744-a195-7701b6d14f84","Autorotation Transfer of Training: Effects of Helicopter Dynamics","Scaramuzzino, P.F. (Politecnico di Milano); Pool, D.M. (TU Delft Control & Simulation); Pavel, M.D. (TU Delft Control & Simulation); Stroosma, O. (TU Delft Control & Simulation); Quaranta, Giuseppe (Politecnico di Milano); Mulder, Max (TU Delft Control & Simulation)","","2023","This paper analyzes the effects of the helicopter dynamics on pilots’ learning process and transfer of learned skills during autorotation training. A quasi-transfer-of-training experiment was performed with ten experienced helicopter pilots in the SIMONA moving-base flight simulator at Delft University of Technology. Pilots had to control an in-house flight dynamics model set up to simulate two types of helicopter dynamics: 1) a “hard” dynamics characterized by a low autorotative flare index requiring high pilot control compensation, and 2) a “easy” dynamics characterized by a high autorotative flare index with low pilot control compensation required. Two groups of pilots tested these types of dynamics in a different training sequence: hard-easy-hard (HEH group) and easy-hard-easy (EHE group). The main conclusion of this study proved that simulator training for autorotation can best start with pilots training in the most resource demanding condition. A more challenging helicopter’s dynamics will require a higher pilot agility and more rapid responses to his/her perceptual changes. This will result in pilots developing more robust and adaptable flying skills. Indeed, a clear positive transfer of training effect was observed in the experiment presented in this paper in terms of acquired pilot skills in the HEH group, but not the EHE group. Positive transfer was especially observed in terms of reduced rate of descent at touchdown. The two groups differed in the control strategy applied, with the HEH group having developed a control technique mimickingmore closely the one adopted in a real helicopter.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-03","","","Control & Simulation","","",""
"uuid:0e80e901-c731-46bf-b554-4f1d7c00101e","http://resolver.tudelft.nl/uuid:0e80e901-c731-46bf-b554-4f1d7c00101e","Gaia Data Release 3: Pulsations in main sequence OBAF-type stars","De Ridder, J. (Katholieke Universiteit Leuven); Ripepi, V. (Osservatorio Astronomico di Capodimonte); Aerts, C. (Katholieke Universiteit Leuven; Radboud Universiteit Nijmegen; Max-Planck-Institut für Astronomie); Palaversa, L. (Ruđer Bošković Institute; University of Cambridge); Eyer, L. (University of Geneva); Holl, B. (University of Geneva); Audard, M. (University of Geneva); Rimoldini, L. (University of Geneva); Hladczuk, N.A. (TU Delft Astrodynamics & Space Missions)","","2023","Context. The third Gaia data release provides photometric time series covering 34 months for about 10 million stars. For many of those stars, a characterisation in Fourier space and their variability classification are also provided. This paper focuses on intermediate- to high-mass (IHM) main sequence pulsators (M'a ¥''1.3'M') of spectral types O, B, A, or F, known as β Cep, slowly pulsating B (SPB), δ Sct, and γ Dor stars. These stars are often multi-periodic and display low amplitudes, making them challenging targets to analyse with sparse time series. Aims. We investigate the extent to which the sparse Gaia DR3 data can be used to detect OBAF-type pulsators and discriminate them from other types of variables. We aim to probe the empirical instability strips and compare them with theoretical predictions. The most populated variability class is that of the δ Sct variables. For these stars, we aim to confirm their empirical period-luminosity (PL) relation, and verify the relation between their oscillation amplitude and rotation. Methods. All datasets used in this analysis are part of the Gaia DR3 data release. The photometric time series were used to perform a Fourier analysis, while the global astrophysical parameters necessary for the empirical instability strips were taken from the Gaia DR3 gspphot tables, and the v sin i data were taken from the Gaia DR3 esphs tables. The δ'Sct PL relation was derived using the same photometric parallax method as the one recently used to establish the PL relation for classical Cepheids using Gaia data. Results. We show that for nearby OBAF-type pulsators, the Gaia DR3 data are precise and accurate enough to pinpoint them in the Hertzsprung-Russell (HR) diagram. We find empirical instability strips covering broader regions than theoretically predicted. In particular, our study reveals the presence of fast rotating gravity-mode pulsators outside the strips, as well as the co-existence of rotationally modulated variables inside the strips as reported before in the literature. We derive an extensive period'luminosity relation for δ Sct stars and provide evidence that the relation features different regimes depending on the oscillation period. We demonstrate how stellar rotation attenuates the amplitude of the dominant oscillation mode of δ Sct stars. Conclusions. The Gaia DR3 time-series photometry already allows for the detection of the dominant (non-)radial oscillation mode in about 100 000 intermediate- and high-mass dwarfs across the entire sky. This detection capability will increase as the time series becomes longer, allowing the additional delivery of frequencies and amplitudes of secondary pulsation modes.","Asteroseismology; Stars: early-type; Stars: oscillations; Stars: rotation","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:429674e0-f2e7-4aa8-9ad2-e9fb6295ae59","http://resolver.tudelft.nl/uuid:429674e0-f2e7-4aa8-9ad2-e9fb6295ae59","Comparing the stretching technique and the wavelet cross-spectrum technique for measuring stress-induced wave-velocity changes in concrete","Cheng, H. (TU Delft Concrete Structures); Weemstra, C. (TU Delft Applied Geophysics and Petrophysics); Hendriks, M.A.N. (TU Delft Concrete Structures; Norwegian University of Science and Technology (NTNU)); Yang, Y. (TU Delft Concrete Structures)","","2023","Coda wave interferometry (CWI) holds promise as a technique for concrete stress monitoring. This is because the coda, which consists of multiply scattered arrivals, is the result of propagation through the medium over large distances. As such, it is sensitive to both minute structural changes and small velocity changes in that medium. Previous studies focusing on concrete have predominantly utilized the time-domain-based stretching technique to measure travel-time changes. There is, however, a lack of consensus on how to quantify these changes effectively. In this study, we conduct a systematic comparison between two techniques, namely the stretching technique and the wavelet cross-spectrum (WCS) technique, for measuring stress-induced velocity changes in a cylindrical concrete sample. Our comparison focuses on two key aspects: (i) stability against cycle skipping and (ii) consistency in retrieving velocity changes. Experimental results reveal that both the WCS technique and the stretching technique yield consistent velocity changes. In terms of stability, it is challenging to determine which technique performs better, due to differences in the mechanisms triggering cycle skipping. However, when considering waves with frequencies ranging from 50 kHz to 80 kHz, both techniques exhibit comparable performance. Based on our findings, we offer the following recommendations for utilizing these CWI techniques in concrete stress monitoring: For the stretching technique, selecting the time window length based on the wave frequency and the expected magnitude of velocity change. For the WCS technique, operating it in the frequency band where spectral decomposition shows sufficiently high energy in the signal and can accommodate the expected magnitude of velocity change.","Coda wave interferometry; Concrete; Smart aggregates; Stress-induced wave-velocity change; Stretching technique; Wavelet Cross-Spectrum (WCS) technique","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:b9547357-34e4-4353-ae3c-e1a3d86b1580","http://resolver.tudelft.nl/uuid:b9547357-34e4-4353-ae3c-e1a3d86b1580","Direct numerical simulation of a microramp in a high-Reynolds number supersonic turbulent boundary layer","Salvadore, Francesco (CINECA); Memmolo, Antonio (CINECA); Modesti, D. (TU Delft Aerodynamics); Della Posta, Giacomo (Sapienza University of Rome); Bernardini, Matteo (Sapienza University of Rome)","","2023","This paper is associated with a video winner of a 2022 American Physical Society's Division of Fluid Dynamics (DFD) Gallery of Fluid Motion (GFM) Award for work presented at the DFD Gallery of Fluid Motion. The original video is available online at the Gallery of Fluid Motion, https://doi.org/10.1103/APS.DFD.2022.GFM.V0037","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:bfb83c25-92cd-49fb-a374-4cd824804c23","http://resolver.tudelft.nl/uuid:bfb83c25-92cd-49fb-a374-4cd824804c23","Gaia Data Release 3: Reflectance spectra of Solar System small bodies","De Ridder, J. (Katholieke Universiteit Leuven); Ripepi, V. (Osservatorio Astronomico di Capodimonte); Aerts, C. (Katholieke Universiteit Leuven; Radboud Universiteit Nijmegen; Max-Planck-Institut für Astronomie); Palaversa, L. (Ruđer Bošković Institute; University of Cambridge); Eyer, L. (University of Geneva); Holl, B. (University of Geneva); Audard, M. (University of Geneva); Rimoldini, L. (University of Geneva); Hladczuk, N.A. (TU Delft Astrodynamics & Space Missions)","","2023","Context. The Gaia mission of the European Space Agency (ESA) has been routinely observing Solar System objects (SSOs) since the beginning of its operations in August 2014. The Gaia data release three (DR3) includes, for the first time, the mean reflectance spectra of a selected sample of 60 518 SSOs, primarily asteroids, observed between August 5, 2014, and May 28, 2017. Each reflectance spectrum was derived from measurements obtained by means of the Blue and Red photometers (BP/RP), which were binned in 16 discrete wavelength bands. For every spectrum, the DR3 also contains additional information about the data quality for each band. Aims. We describe the processing of the Gaia spectral data of SSOs, explaining both the criteria used to select the subset of asteroid spectra published in Gaia DR3, and the different steps of our internal validation procedures. In order to further assess the quality of Gaia SSO reflectance spectra, we carried out external validation against SSO reflectance spectra obtained from ground-based and space-borne telescopes and available in the literature; we present our validation approach. Methods. For each selected SSO, an epoch reflectance was computed by dividing the calibrated spectrum observed by the BP/RP at each transit on the focal plane by the mean spectrum of a solar analogue. The latter was obtained by averaging the Gaia spectral measurements of a selected sample of stars known to have very similar spectra to that of the Sun. Finally, a mean of the epoch reflectance spectra was calculated in 16 spectral bands for each SSO. Results.Gaia SSO reflectance spectra are in general agreement with those obtained from a ground-based spectroscopic campaign specifically designed to cover the same spectral interval as Gaia and mimic the illumination and observing geometry characterising Gaia SSO observations. In addition, the agreement between Gaia mean reflectance spectra and those available in the literature is good for bright SSOs, regardless of their taxonomic spectral class. We identify an increase in the spectral slope of S-type SSOs with increasing phase angle. Moreover, we show that the spectral slope increases and the depth of the 1 μm absorption band decreases for increasing ages of S-type asteroid families. The latter can be interpreted as proof of progressive ageing of S-type asteroid surfaces due to their exposure to space weathering effects.","minor planets; asteroids: general; techniques: spectroscopic","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:f31dfaf0-c0e2-474f-97ae-072ea8df06fd","http://resolver.tudelft.nl/uuid:f31dfaf0-c0e2-474f-97ae-072ea8df06fd","Living and working in the (post-pandemic) city: a research agenda","Uyttebrouck, C.F. (Katholieke Universiteit Leuven); De Decker, Pascal (Katholieke Universiteit Leuven); Newton, C.E.L. (TU Delft Spatial Planning and Strategy)","","2023","During the COVID-19 pandemic, Work from home (WFH) received much public attention. Imposing such a measure was feasible in the context of labour markets’ flexibilisation, which has reshaped urban live-work relationships. However, the pandemic’s effects on those relationships have rarely been explored in housing and planning studies. This paper draws a research agenda based on a literature review of the changes in urban live-work relationships, which were accelerated and legitimised under COVID-19. The latter is considered an exogenous shock contingent upon several other shocks, embedded in structural crises and accelerating ongoing trends. The literature confirms the acceleration of hybrid work for those able to do so, which has fuelled debates on home usage and legitimated planning discourses based on urban proximity, densification and mixed use. Hence, we encourage critical research on (i) the conceptualisations of WFH and COVID-19, (ii) housing policy responses to accumulated uncertainties and regulations for quality and resilient housing, and (iii) the critical analysis of WFH-oriented planning.","COVID-19 pandemic; Exogenous shock; housing supply; live-work relationships; planning principles; work from home (WFH)","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:e8637fe7-de98-401b-bf56-d417eb2d8a88","http://resolver.tudelft.nl/uuid:e8637fe7-de98-401b-bf56-d417eb2d8a88","Vibration-induced friction modulation for a general frequency of excitation","Sulollari, E. (TU Delft Mechanics and Physics of Structures); van Dalen, K.N. (TU Delft Dynamics of Structures); Cabboi, A. (TU Delft Mechanics and Physics of Structures)","","2023","Applying an oscillatory load is one of the most efficient ways to alter friction forces. Several theoretical and experimental studies on the influence of oscillatory loads on friction have been conducted, investigating the effect of both in-plane and out-of-plane oscillations for different tribological pairings. However, in the literature, the effect of an oscillatory load on the friction force has been studied with an emphasis on dynamic loads characterized by a high-frequency content, while a clear statement as to what is considered “high-frequency” is missing. Moreover, the effect of a combination of load directions on the friction reduction is not accounted for. Therefore, this study aims to determine the vibration-induced effect on friction regardless of the frequency range and direction of harmonic force for a single and multi-degree-of-freedom system. Analytical methods are used to obtain the friction modulation due to harmonic loads, considering a classical mass–spring–dashpot system on a moving belt and the Amontons–Coulomb law. It is found that, in the case of continuous slip, a general relation for the vibration-induced friction modulation is obtained utilizing the velocity response function of the investigated system. The latter is used to highlight a threshold from which the high-frequency regime starts and to determine the stick–slip boundaries. Moreover, through the velocity response function, the influence of different external harmonic forces is investigated and discussed. This includes considerations of phase, excitation frequency, system characteristics, and the choice of the normal contact force expression.","Average friction; Mechanical vibration; Stick–slip; Vibrorheology; Method of direct separation of motion","en","journal article","","","","","","","","","","","Mechanics and Physics of Structures","","",""
"uuid:950c67bc-abf0-480d-bae6-4b1a6f58515b","http://resolver.tudelft.nl/uuid:950c67bc-abf0-480d-bae6-4b1a6f58515b","Inland shipping response to discharge extremes: A 10 years case study of the Rhine","Vinke, Frederik R.S. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Rijkswaterstaat); Turpijn, B. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Rijkswaterstaat); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science); van Koningsveld, M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Van Oord Dredging and Marine Contractors B.V.)","","2023","Inland shipping is a key modality for freight transport between the seaport of Rotterdam and the industrial areas in Germany and Switzerland. The recent droughts of 2018, 2019 and 2022 have clearly demonstrated how discharge related supply chain disruptions cause substantial economic damages in the hinterland. The IPCC predicts that climate change will increase the variability in water cycles globally, making future extremes more frequent and more severe. In-depth insight into the response of inland shipping to discharge extremes is crucial to better anticipate and potentially mitigate this climate risk. Existing literature takes (a small number of) representative vessels and estimates corridor scale climate risks through extrapolation. Recent droughts have shown that this approach may give unrealistic results. Newspaper articles and reports from the sector suggest that the fleet composition and vessel deployment change during high and low discharge extremes, and cascading effects are likely to occur. So far, however, no objective data on this phenomenon has been reported in literature. This paper analyses ten years of IVS and discharge data, for the period between 2010 and 2020, revealing in detail for the first time how discharge levels and vessel deployment are related. This improved insight into shipping response is crucial for any corridor to accurately estimate the climate risk of discharge extremes. While this paper focuses on the Rhine corridor, the proposed method is applicable to other corridors as well.","Fleet composition; Vessel deployment; Discharge events; Vulnerability; Supply chains","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:7e13f9e5-5008-472a-b72e-9b108225ce01","http://resolver.tudelft.nl/uuid:7e13f9e5-5008-472a-b72e-9b108225ce01","Education in collaboration with cities: The intentions of transdisciplinary courses","Bohm, N.L. (TU Delft Urban Development Management); Klaassen, R.G. (TU Delft Policy & Implementation); van Bueren, Ellen (TU Delft Management in the Built Environment); den Brok, Perry (Wageningen University & Research)","","2023","Purpose
In collaboration with their home cities, universities increasingly develop courses in which students investigate urban sustainability challenges. This paper aims to understand how far-reaching the collaboration with urban stakeholders in these courses is and what students are meant to learn from the transdisciplinary pedagogies.
Design/methodology/approach
This research is designed as a qualitative multiple-case study into the intentions of transdisciplinary courses in which universities collaborate with their home cities: Delft University of Technology in Delft and Amsterdam Institute for Advanced Metropolitan Solutions in Amsterdam. The study compares the written intentions of eight courses in course descriptions with the ideal intentions that teachers describe in interviews.
Findings
First, seven of the eight investigated courses were designed for urban stakeholders to participate at a distance or as a client but rarely was a course intended to lead to a collaborative partnership between the city and students. Second, the metacognitive learning objectives, such as learning to deal with biases and values of others or getting to know one’s strengths and weaknesses in collaboration, were often absent in the course descriptions. Learning objectives relating to metacognition are at the heart of transdisciplinary work, yet when they remain implicit in the learning objectives, they are difficult to teach.
Originality/value
This paper presents insight into the levels of participation intended in transdisciplinary courses. Furthermore, it shows the (mis)alignment between intended learning objectives in course descriptions and teachers’ ideals. Understanding both the current state of transdisciplinarity in sustainability courses and what teachers envision is vital for the next steps in the development of transdisciplinary education.
Methods: A cohort of community dwelling young (mean = 23.6 years, N = 20) and older adults (mean = 70.1 years, N = 20) participated in this balance learning study. Participants stood on a robotic balance simulator which was used to artificially impose a 250 ms delay into their control of standing. Young and older adults practiced to balance with the imposed delay either with or without visual feedback (i.e., eyes open or closed), resulting in four training groups. We assessed their balance behavior and performance (i.e., variability in postural sway and ability to maintain upright posture) before, during and after training. We further evaluated whether training benefits gained in one visual condition transferred to the untrained condition.
Results: All participants, regardless of age or visual training condition, improved their balance performance through training to stand with the imposed delay. Compared to young adults, however, older adults had larger postural oscillations at all stages of the experiments, exhibited less relative learning to balance with the delay and had slower rates of balance improvement. Visual feedback was not required to learn to stand with the imposed delay, but it had a modest effect on the amount of time participants could remain upright. For all groups, balance improvements gained from training in one visual condition transferred to the untrained visual condition.
Conclusion: Our study reveals that while advanced age partially impairs balance learning, the older nervous system maintains the ability to recalibrate motor control to stand with initially destabilizing sensorimotor delays under differing visual feedback conditions.","sensorimotor learning; aging; sensorimotor delay; standing balance; posture; visual feedback; generalization of learning","en","journal article","","","","","","","","","","Biomechanical Engineering","Biomechatronics & Human-Machine Control","","",""
"uuid:455f99e0-2c7f-4a96-bd0e-7a0da5fee3a1","http://resolver.tudelft.nl/uuid:455f99e0-2c7f-4a96-bd0e-7a0da5fee3a1","Advancing minimally invasive surgery: A cutting-edge cable-actuated conveying mechanism for reliable tissue transportation","Kortman, V.G. (TU Delft Medical Instruments & Bio-Inspired Technology); Verberne, Y.J.M.A. (Student TU Delft); Jovanova, J. (TU Delft Transport Engineering and Logistics); Sakes, A. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2023","Introduction
Tissue extraction plays a crucial role in various medical disciplines, with aspiration catheters serving as the prevailing method. Unfortunately, these catheters face limitations such as clogging and dependence on tissue properties and device dimensions. Therefore, there is a pressing need for an improved tissue extraction device that enables efficient and reliable tissue removal during Minimally Invasive Surgery (MIS).
Methods
In this study, we present a novel tissue transport system that utilizes a cylindrical conveyor belt mechanism for reliable tissue transportation. We conducted experiments using a proof-of-principle prototype to explore the influence of tissue elasticity, rotational velocity, instrument orientation, and tissue shape on the transportation rate, efficiency, and reliability. Tissue phantoms with gelatine concentrations of 3, 9, and 12 wt% were employed to simulate a range of Young’s moduli from 1 to 110 kPa.
Results
The mean transportation rates for these phantoms were 7.75±0.48, 8.43±1.50, and 8.90±0.56 g/min, respectively. Notably, all phantoms were transported successfully. The perfect reliability exhibited underscores the potential of our instrument as an alternative to aspiration catheters.
Conclusion
This research presents a significant step forward in the field of tissue extraction, offering a promising approach for MIS with enhanced efficiency and reliability.
Recent earthquakes have confirmed the vulnerability of our built environment, resulting in significant socio-economic losses, market disruptions, and environmental damage. Additionally, climate change is causing more frequent and severe weather-related events such as heat waves, which are impacting the construction sector and the health and well-being of building occupants. This emphasizes the pressing need to increase society's overall resilience by focusing on the various hazards that buildings may encounter throughout their lifespan. Although the need for a multi-risk analysis has been recognized in current performance-based design approaches, existing studies mostly focus on single hazards thereby neglecting the impact assessment of multiple hazards on the building performance.
This paper explores the economic and social losses of buildings due to earthquakes and heat waves. The study focuses on a high-rise building consisting of a reinforced concrete structure and masonry/cladding facades, and designed for two different locations in Europe. By means of a numerical model, time-history non-linear analyses are carried out to estimate the probable maximum losses in terms of repair costs and injuries/fatalities. In addition to earthquake scenarios, the study conducts dynamic energy simulations and comfort analyses that consider local climate scenarios and extreme heat events. The energy analysis calculates the economic losses caused by weather-related power consumption while the impact on occupants is assessed in terms of discomfort hours. Results from the seismic and energy simulations are finally compared to quantify and discuss the impact of the two different extreme hazards on the building performance and their potential consequences.","Building performance; Earthquakes; Heat waves; Socio-economic losses","en","journal article","","","","","","Publisher Copyright: © 2023 COMPDYN Proceedings. All rights reserved","","","","","Architectural Technology","","",""
"uuid:5c26fd2f-6333-4297-aaa0-d747f8e3b818","http://resolver.tudelft.nl/uuid:5c26fd2f-6333-4297-aaa0-d747f8e3b818","Water Narratives: Exploring the Convergence of the Canal du Midi and Its Coastal Landscape","Bobbink, I. (TU Delft Landscape Architecture); Chouairi, A. (University IUAV of Venice); Sun, P. (Student TU Delft)","","2023","Considering ‘infrastructures as landscapes’ and ‘landscapes as infrastructures’, this article uses an open framework to reconsider the distinctive water infrastructure of France’s UNESCO-listed heritage Canal du Midi. More specifically, it profiles the Canal’s Mediterranean outlet. Viewed through a landscape architectonic lens, we investigate the canal, drawing on the theory of landscape narrative and using the illustrative method. The article identifies three crucial narratives – infrastructural, natural & environmental and social & cultural – that help to examine the spatial values of the Canal and its relationship with its southern coastal landscape. The study shows how the Canal du Midi has been transformed and has influenced its surroundings, becoming an integral part of the coastal landscape. We identify and analyse how the Canal functions as an infrastructure composition and an environmentally and culturally significant feature. The landscape narrative framework offers the possibility of sharpening the interpretation of water infrastructures beyond conventional problem-solving approaches by providing a holistic view of the Canal and its water landscapes. This, in turn, offers inspiration for the region's future development, which presently prioritises the preservation of the Canal du Midi and the regeneration of the surrounding area as distinct projects.","landscape infrastructure; illustrative method; landscape narrative; Canal du Midi; coastal landscape","en","journal article","","","","","","","","","","","Landscape Architecture","","",""
"uuid:67b75619-4798-4e1d-8403-00893ac7338e","http://resolver.tudelft.nl/uuid:67b75619-4798-4e1d-8403-00893ac7338e","A Hidden Water-Harvesting System: The Sassi de Matera","Bobbink, I. (TU Delft Landscape Architecture); Gao, W. (Student TU Delft); Banfi, I. (Mecanoo Architecten)","","2023","The water-harvesting system of the ancient Sassi di Matera, in the Basilicata region of southern Italy, represents a clever way of living with water in an arid climate. The terrain, with its soft rocks (Calcarenite di Gravina), provided the foundation for the water-harvesting system that shaped the cave dwellings of Sassi physically, socially and culturally. People caught, guided and stored water in private and public spaces, mostly underground, ensuring its availability for all. In 1993 UNESCO declared the cave village a World Heritage Site. Unfortunately, the water-harvesting system of Sassi di Matera is no longer functioning. Its historic ingenuity is not as visible as the system deserves and its cultural and social values are almost forgotten. Using layered visual analysis – the illustrative method – knowledge can be collected and communicated in drawings to get insight regarding more resilient, circular, and people-related approaches (Bobbink, Chourairi and Di Nicola 2022). This article and the included drawings focus on the water system’s value, from which we can learn today.","illustrative method; water-harvesting; Sassi di Matera; World Heritage Sites","en","journal article","","","","","","","","","","","Landscape Architecture","","",""
"uuid:04d6b8d6-d5b7-4e99-8762-a0bc37c48117","http://resolver.tudelft.nl/uuid:04d6b8d6-d5b7-4e99-8762-a0bc37c48117","Commands as AI Conversations","Spinellis, D. (TU Delft Software Engineering; Athens University of Economics and Business)","","2023","Developers and data scientists often struggle to write command-line inputs, even though graphical interfaces or tools like ChatGPT can assist. The solution? ""ai-cli,""an open-source system inspired by GitHub Copilot that converts natural language prompts into executable commands for various Linux command-line tools. By tapping into OpenAI's API, which allows interaction through JSON HTTP requests, ""ai-cli""transforms user queries into actionable command-line instructions. However, integrating AI assistance across multiple command-line tools, especially in open source settings, can be complex. Historically, operating systems could mediate, but individual tool functionality and the lack of a unified approach have made centralized integration challenging. The ""ai-cli""tool, by bridging this gap through dynamic loading and linking with each program's Readline library API, makes command-line interfaces smarter and more user-friendly, opening avenues for further enhancement and cross-platform applicability.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-10","","","Software Engineering","","",""
"uuid:1bd094e2-06f0-4c38-9b23-f40232cca9d2","http://resolver.tudelft.nl/uuid:1bd094e2-06f0-4c38-9b23-f40232cca9d2","Enabling Interplanetary Exploration for CubeSats with a Fully Chemical Propulsion System","Giordano, A. (Student TU Delft); Cervone, A. (TU Delft Astrodynamics & Space Missions)","","2023","Interplanetary CubeSat missions are currently becoming more popular, with a significant number of recently planned missions. The context of this paper is a Mars mission, starting from a parking orbit around Earth: the adoption of a chemical propulsion system for the Earth-Mars transfer phase is investigated, considering the recent technological developments for CubeSats. A trade-off of propulsion system type and propellant results in the choice of a mono-propellant system adopting the HAN-based propellant AF-M315E (ASCENT). The main challenge for the propulsion system is to fit inside a CubeSat standardised volume, which can range up to 24 U, for which the implementation of a suitable COTS micro-pump is considered. Finally, the complete architecture and design of the propulsion system is presented. This work demonstrates the feasibility of adopting full chemical propulsion for an interplanetary CubeSat mission, with consequent advantages in terms of transfer time and required power, at the cost of relatively small mass and volume left for the other subsystems. Even better results can be expected for interplanetary missions requiring slightly lower AV budgets, such as Near Earth Objects exploration or asteroid fly-by missions.","Chemical Propulsion; CubeSat; Green Mono-propellant; Interplanetary Mission; Mars Exploration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-12-19","","","Astrodynamics & Space Missions","","",""
"uuid:a0c99e9b-0c85-4707-b2e9-fdbe0d7750c3","http://resolver.tudelft.nl/uuid:a0c99e9b-0c85-4707-b2e9-fdbe0d7750c3","Unrolling of Simplicial ElasticNet for Edge Flow Signal Reconstruction","Liu, Chengen (Student TU Delft); Leus, G.J.T. (TU Delft Signal Processing Systems); Isufi, E. (TU Delft Multimedia Computing)","","2023","The edge flow reconstruction task consists of retreiving edge flow signals from corrupted or incomplete measurements. This is typically solved by a regularized optimization problem on higher-order networks such as simplicial complexes and the corresponding regularizers are chosen based on prior knowledge. Tailoring this prior to the setting of interest can be challenging or it may not even be possible. Thus, we consider to learn this prior knowledge via a model-based deep learning approach. We propose a new regularized optimization problem for the simplicial edge flow reconstruction task, the simplicial ElasticNet, which combines the advantages of the 1 and 2 norms. We solve the simplicial ElasticNet problem via the multi-block alternating direction method of multipliers (ADMM) algorithm and provide conditions on its convergence. By unrolling the ADMM iterative steps, we develop a model-based neural network with a low requirement on the number of training data. This unrolling network replaces the fixed parameters in the iterative algorithm by learnable weights, thus exploiting the neural network s learning capability while preserving the iterative algorithm s interpretability. We enhance this unrolling network via simplicial convolutional filters to aggregate information from the edge flow neighbors, ultimately, improving the network learning expressivity. Extensive experiments on real-world and synthetic datasets validate the proposed approaches and show considerable improvements over both baselines and traditional non-model-based neural networks.","Convolution; Information filters; Laplace equations; Neural networks; Noise measurement; Optimization; Signal processing over higher-order networks; simplicial convolutional filters; Task analysis; topological signal processing","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:57beb8d6-c3ee-4538-b0b8-7c083aa77456","http://resolver.tudelft.nl/uuid:57beb8d6-c3ee-4538-b0b8-7c083aa77456","A Cryo-CMOS SAR ADC With FIA Sampling Driver Enabled by Cryogenic-Aware Back-Biasing","Kiene, G. (TU Delft QCD/Sebastiano Lab; TU Delft QuTech Advanced Research Centre); Overwater, R.W.J. (TU Delft QCD/Sebastiano Lab; TU Delft QuTech Advanced Research Centre); Babaie, M. (TU Delft Electronics; TU Delft QuTech Advanced Research Centre); Sebastiano, F. (TU Delft Quantum Circuit Architectures and Technology; TU Delft QuTech Advanced Research Centre)","","2023","This paper presents a floating inverter amplifier (FIA) that performs high-linearity amplification and sampling while driving a 2<inline-formula> <tex-math notation=""LaTeX"">$\times$</tex-math> </inline-formula> time-interleaved (TI) SAR ADC, operating from room temperature (RT) down to 4.2 K. The power-efficient FIA samples the continuous-time input signal by windowed integration, thus avoiding the traditional sample-and-hold. Cascode switching, a floating supply and accurate pulse-width timing calibration enable high-speed operation and interleaving. In addition, by exploiting the behavior of CMOS devices at cryogenic temperatures, forward-body-biasing (FBB) is pushed well beyond what is possible at RT to ensure performance down to 4.2 K, and its impact on the performance of cryogenic circuits is analyzed. The resulting ADC, implemented in 40-nm bulk CMOS and including the FIA driver, achieves SNDR<inline-formula> <tex-math notation=""LaTeX"">$=$</tex-math> </inline-formula>38.7 dB (38.2 dB), SFDR<inline-formula> <tex-math notation=""LaTeX"">$>$</tex-math> </inline-formula>50 dB (<inline-formula> <tex-math notation=""LaTeX"">$>$</tex-math> </inline-formula>50 dB), and FOMW<inline-formula> <tex-math notation=""LaTeX"">$=$</tex-math> </inline-formula>25.4 fJ/conv-step (31.3 fJ/conv-step) with Nyquist-rate input at 1.0 GS/s (0.9 GS/s) at 4.2 K (RT), respectively.","ADC driver; cryo-CMOS; Cryogenics; FBB; FIA; forward body bias; Inverters; Linearity; quantum computing; Resistance; Substrates; Switches; Threshold voltage","en","journal article","","","","","","","","","","","QCD/Sebastiano Lab","","",""
"uuid:ee18af1f-40d2-4df0-91b5-185fa338fb4b","http://resolver.tudelft.nl/uuid:ee18af1f-40d2-4df0-91b5-185fa338fb4b","Formal Abstraction of General Stochastic Systems via Noise Partitioning","Skovbekk, John (University of Colorado); Laurenti, L. (TU Delft Team Luca Laurenti); Frew, Eric (University of Colorado); Lahijanian, Morteza (University of Colorado)","","2023","Verifying the performance of safety-critical, stochastic systems with complex noise distributions is difficult. We introduce a general procedure for the finite abstraction of nonlinear stochastic systems with nonstandard (e.g., non-affine, non-symmetric, non-unimodal) noise distributions for verification purposes. The method uses a finite partitioning of the noise domain to construct an interval Markov chain (IMC) abstraction of the system via transition probability intervals. Noise partitioning allows for a general class of distributions and structures, including multiplicative and mixture models, and admits both known and data-driven systems. The partitions required for optimal transition bounds are specified for systems that are monotonic with respect to the noise, and explicit partitions are provided for affine and multiplicative structures. By the soundness of the abstraction procedure, verification on the IMC provides guarantees on the stochastic system against a temporal logic specification. In addition, we present a novel refinement-free algorithm that improves the verification results. Case studies on linear and nonlinear systems with non-Gaussian noise, including a data-driven example, demonstrate the generality and effectiveness of the method without introducing excessive conservatism.","Autonomous systems; Kernel; Markov processes; Nonlinear systems; Probabilistic logic; Standards; Stochastic systems; stochastic systems; Uncertainty","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-06-07","","","Team Luca Laurenti","","",""
"uuid:8c79e3ea-7b18-433f-9e3d-d392e7b5373a","http://resolver.tudelft.nl/uuid:8c79e3ea-7b18-433f-9e3d-d392e7b5373a","Effects of micro-bubble aeration on the pollutant removal and energy-efficient process in a floc-granule sludge coexistence system","Liu, Minghui (Zhengzhou University); Wang, Ju (Zhengzhou University); Peng, Z. (TU Delft Sanitary Engineering; Zhengzhou University)","","2023","To investigate energy-saving approaches in wastewater treatment plants and decrease aeration energy consumption, this study successfully established a floc-granule coexistence system in a sequencing batch airlift reactor (SBAR) employing micro-bubble aeration. The analysis focused on granule formation and pollutant removal under various aeration intensities, and compared its performance with a traditional floc-based coarse-bubble aeration system. The results showed that granulation efficiency was positively associated with aeration intensity, which enhanced the secretion of extracellular polymeric substances (EPSs) and facilitated granule formation. The SBAR with the micro-aeration intensity of 30 mL·min-1 showed the best granulation performance (granulation efficiency 52.6%). In contrast to the floc-based system, the floc-granule coexistence system showed better treatment performance, and the best removal efficiencies of NH4+-N, TN, and TP were 100.0, 77.0, and 89.5%, respectively. The floc-granule coexistence system also enriched higher abundance of nutrients removal microbial species, such as Nitrosomonas (0.05-0.14%), Nitrospira (0.14-2.32%), Azoarcus (2.95-12.17%), Thauera (0.43-1.95%), and Paracoccus (0.76-2.89%). The energy-saving potential was evaluated, which indicated it is feasible for the micro-aeration floc-granule coexistence system to decrease the aeration consumption by 14.4% as well as improve the effluent.","energy saving; granular sludge; micro-bubble; sequencing batch airlift reactor","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:0311d2fc-4ef8-4066-839a-5f844c57517c","http://resolver.tudelft.nl/uuid:0311d2fc-4ef8-4066-839a-5f844c57517c","Radio Receiver with Internal Compression of Input Signals Using a Dispersive Delay Line with Bandpass Filters","Pantyeyev, Roman (National Aviation University); Ianovskyi, F. (TU Delft Atmospheric Remote Sensing; National Aviation University); Mykolushko, Andriy (National Aviation University); Shutko, Volodymyr (National Aviation University)","","2023","This article proposes a receiving device in which arbitrary input signals are subject to pre-detector processing for the subsequent implementation of the idea of compressing broadband modulated pulses with a matched filter to increase the signal-to-noise ratio and improve resolution. For this purpose, a model of a dispersive delay line is developed based on series-connected high-frequency time delay lines with taps in the form of bandpass filters, and analysis of this model is performed as a part of the radio receiving device with chirp signal compression. The article presents the mathematical description of the processes of formation and compression of chirp signals based on their matched filtering using the developed model and proposes the block diagram of a radio receiving device using the principle of compression of received signals. The proposed model can be implemented in devices for receiving unknown signals, in particular in passive radar. It also can be used for studying signal compression processes based on linear frequency modulation in traditional radar systems.","Bandpass Filter; Chirp Signal; Compressive Receiver; Dispersive Delay Line; Signal Compression","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:521c61ff-2e1a-4c1a-80dc-05852fe2d38e","http://resolver.tudelft.nl/uuid:521c61ff-2e1a-4c1a-80dc-05852fe2d38e","Do different chemical and rheological properties act as effective and critical indicators for efficiency evaluation of rejuvenated bitumen?","Ren, S. (TU Delft Pavement Engineering); Liu, X. (TU Delft Pavement Engineering); van Aggelen, M. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2023","This study investigates the impacts of rejuvenator type/dosage and the aging degree of bitumen on the chemical and rheological properties of rejuvenated bitumen, and propose critical chemo-rheological indicators for evaluating rejuvenation efficiency. Moreover, the potential connections between essential chemical and rheological indices of rejuvenator-aged bitumen blends are explored. Results indicate that chemical indices show linear relationships with rejuvenator dosage and vary depending on the rejuvenator type and aging level of bitumen. All rejuvenators can regenerate certain rheological parameters of aged bitumen to varying degrees, but cannot restore the crossover modulus (Gc). Various rheological indices exhibit different correlations with rejuvenator dosage and sensitivity degrees to the discrepancy in rejuvenator type and aging degree of bitumen. Critical chemical and rheological indicators are proposed based on their sensitivity levels to influence factors, with the aromaticity index (AI), carbonyl index (CI), and sulfoxide index (SI) as effective chemical indices and the complex modulus (G*), crossover frequency (fc), and high-temperature master curve area (AMH) as critical rheological indices for rejuvenation efficiency evaluation. The study finds that the magnitude of rejuvenation efficiency for four rejuvenators is Bio-oil > Engine-oil > Naphthenic-oil > Aromatic-oil, and the linear correlations between the critical chemical and rheological indices, together with their rejuvenation percentages, are significantly affected by the rejuvenator type and aging level of bitumen.","Rejuvenation efficiency; Chemo-rheological performance; Critical evaluation indicators; Rejuvenation mechanism; Potential correlations","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:dd34643f-1723-4b7d-a569-acb9748b92e2","http://resolver.tudelft.nl/uuid:dd34643f-1723-4b7d-a569-acb9748b92e2","The stories about racism and health: the development of a framework for racism narratives in medical literature using a computational grounded theory approach","Figueroa, C.A. (TU Delft Information and Communication Technology; University of California); Manalo-Pedro, Erin (University of California); Pola, Swetha (University of California); Darwish, Sajia (University of California); Sachdeva, Pratik (University of California); Guerrero, Christian (American Medical Association); von Vacano, Claudia (University of California); Jha, Maithili (American Medical Association); De Maio, Fernando (DePaul University); Kennedy, Chris J. (University of California; Harvard Medical School; Massachusetts General Hospital)","","2023","Introduction: The scientific study of racism as a root cause of health inequities has been hampered by the policies and practices of medical journals. Monitoring the discourse around racism and health inequities (i.e., racism narratives) in scientific publications is a critical aspect of understanding, confronting, and ultimately dismantling racism in medicine. A conceptual framework and multi-level construct is needed to evaluate the changes in the prevalence and composition of racism over time and across journals. Objective: To develop a framework for classifying racism narratives in scientific medical journals. Methods: We constructed an initial set of racism narratives based on an exploratory literature search. Using a computational grounded theory approach, we analyzed a targeted sample of 31 articles in four top medical journals which mentioned the word ‘racism’. We compiled and evaluated 80 excerpts of text that illustrate racism narratives. Two coders grouped and ordered the excerpts, iteratively revising and refining racism narratives. Results: We developed a qualitative framework of racism narratives, ordered on an anti-racism spectrum from impeding anti-racism to strong anti-racism, consisting of 4 broad categories and 12 granular modalities for classifying racism narratives. The broad narratives were “dismissal,” “person-level,” “societal,” and “actionable.” Granular modalities further specified how race-related health differences were related to racism (e.g., natural, aberrant, or structurally modifiable). We curated a “reference set” of example sentences to empirically ground each label. Conclusion: We demonstrated racism narratives of dismissal, person-level, societal, and actionable explanations within influential medical articles. Our framework can help clinicians, researchers, and educators gain insight into which narratives have been used to describe the causes of racial and ethnic health inequities, and to evaluate medical literature more critically. This work is a first step towards monitoring racism narratives over time, which can more clearly expose the limits of how the medical community has come to understand the root causes of health inequities. This is a fundamental aspect of medicine’s long-term trajectory towards racial justice and health equity.","Computational grounded theory; Health Equity; Medical journals; Medicine; Narratives; Racism; Social Justice","en","journal article","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:822e124b-702f-4773-b760-d8ed7432d182","http://resolver.tudelft.nl/uuid:822e124b-702f-4773-b760-d8ed7432d182","Effect of Gas Composition on Surfactant Injectivity in a Surfactant-Alternating-Gas Foam Process","Gong, J. (TU Delft Reservoir Engineering; Hohai University); Wang, Yuan (Hohai University); Tewari, Raj Deo (Petronas Research); Kamarul Bahrim, Ridhwan Zhafri B. (Petronas Research); Rossen, W.R. (TU Delft Atmospheric Remote Sensing)","","2023","Aqueous foam is a dispersion of gas in liquid, where the liquid acts as the continuous phase and the gas is separated by thin liquid films stabilized by a surfactant. Foam injection is a widely used technique in various applications, including CO2 sequestration, enhanced oil recovery, soil remediation, etc. Surfactant-alternating-gas (SAG) is a preferred approach for foam injection, and injectivity plays a vital role in determining the efficiency of the SAG process. Different gases can be applied depending on the process requirements and availability. However, the underlying mechanisms by which gas composition impacts injectivity are not yet fully understood. In this work, the effect of gas composition on fluid behavior and injectivity in a SAG process was investigated using three gases: N2, CO2, and Kr. Our observations revealed that gas solubility in liquid was key for the formation and evolution of liquid fingers, and therefore was very important for liquid injectivity. A lower gas solubility in liquid led to a slower increase in surfactant solution injectivity. In addition, the development of surfactant solution injectivity took significantly longer when the surfactant solution was partially pre-saturated compared to when it was unsaturated. Additionally, the propagation of the collapsed-foam bank during gas injection was accelerated when the gas had a greater solubility in water.","foam; surfactant-alternating-gas; injectivity; gas composition; solubility","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:5dc51fd6-3d51-493f-b597-819154a0c9e2","http://resolver.tudelft.nl/uuid:5dc51fd6-3d51-493f-b597-819154a0c9e2","Swept-3-D Ultrasound Imaging of the Mouse Brain Using a Continuously Moving 1-D-Array - Part II: Functional Imaging","Generowicz, Bastian S. (Erasmus MC); Dijkhuizen, Stephanie (Erasmus MC); Bosman, Laurens W.J. (Erasmus MC); De Zeeuw, Chris I. (Erasmus MC; Netherlands Institute for Neuroscience NIN - KNAW); Koekkoek, Sebastiaan K.E. (Erasmus MC); Kruizinga, P. (TU Delft Signal Processing Systems; Erasmus MC)","","2023","Functional ultrasound (fUS) using a 1-D-array transducer normally is insufficient to capture volumetric functional activity due to being restricted to imaging a single brain slice at a time. Typically, for volumetric fUS, functional recordings are repeated many times as the transducer is moved to a new location after each recording, resulting in a nonunique average mapping of the brain response and long scan times. Our objective was to perform volumetric 3-D fUS in an efficient and cost-effective manner. This was achieved by mounting a 1-D-array transducer to a high-precision motorized linear stage and continuously translating over the mouse brain in a sweeping manner. We show how the speed at which the 1-D-array is translated over the brain affects the sampling of the hemodynamic response (HR) during visual stimulation as well as the quality of the resulting power Doppler image (PDI). Functional activation maps were compared between stationary recordings, where only one functional slice is obtained for every recording, and our swept-3-D method, where volumetric fUS was achieved in a single functional recording. The results show that the activation maps obtained with our method closely resemble those obtained during a stationary recording for that same location, while our method is not restricted to functional imaging of a single slice. Lastly, a mouse brain subvolume of 6 mm is scanned at a volume rate of 1.5 s per volume, with a functional PDI reconstructed every 200\mu \text{m} , highlighting swept-3-D's potential for volumetric fUS. Our method provides an affordable alternative to volumetric fUS using 2-D-matrix transducers, with a high SNR due to using a fully sampled 1-D-array transducer, and without the need to repeat functional measurements for every 2-D slice, as is most often the case when using a 1-D-array. This places our swept-3-D method as a potentially valuable addition to conventional 2-D fUS, especially when investigating whole-brain functional connectivity, or when shorter recording durations are desired.","3-D mouse brain; functional ultrasound (fUS); motorized linear stage; ultrafast Doppler; whole-brain Doppler imaging","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:0e416768-600c-4a34-ae07-a459afd9849b","http://resolver.tudelft.nl/uuid:0e416768-600c-4a34-ae07-a459afd9849b","Swept-3-D Ultrasound Imaging of the Mouse Brain Using a Continuously Moving 1-D-Array-Part I: Doppler Imaging","Generowicz, Bastian S. (Erasmus MC); Dijkhuizen, Stephanie (Erasmus MC); De Zeeuw, Chris I. (Erasmus MC; Netherlands Institute for Neuroscience NIN - KNAW); Koekkoek, Sebastiaan K.E. (Erasmus MC); Kruizinga, P. (TU Delft Signal Processing Systems; Erasmus MC)","","2023","Volumetric 3-D Doppler ultrasound imaging can be used to investigate large scale blood dynamics outside of the limited view that conventional 2-D power Doppler images (PDIs) provide. To create 3-D PDIs, 2-D-matrix array transducers can be used to insonify a large volume for every transmission; however, these matrices suffer from low sensitivity, high complexity, and high cost. More typically, a 1-D-Array transducer is used to scan a series of stationary 2-D PDIs, after which a 3-D volume is created by concatenating the 2-D PDIs in postprocessing, which results in long scan times due to repeated measurements. Our objective was to achieve volumetric 3-D Doppler ultrasound imaging with a high Doppler sensitivity, similar to that of a typical stationary recording using a 1-D-Array transducer, while being more affordable than using 2-D-matrix arrays. We achieved this by mounting a 1-D-Array transducer to a high-precision motorized linear stage and continuously translating over the mouse brain in a sweeping manner. For Part I of this article, we focused on creating the best vascular images by investigating how to best combine filtered beamformed ultrasound frames, which were not acquired at the same spatial locations, into PDIs. Part II focuses on the implications of sampling transient brain hemodynamics through functional ultrasound (fUS) while continuously translating over the mouse brain. In Part I, we show how the speed at which we sweep our 1-D-Array transducer affects the Doppler spectrum in a flow phantom. In vivo recordings were performed on the mouse brain while varying the sweeping speed, showing how higher sweeping speeds negatively affect the PDI quality. A weighting vector is found to combine frames while continuously moving over the mouse brain, allowing us to create swept PDIs of similar sensitivity when compared with those obtained using a stationary 1-D-Array while allowing a significantly higher 3-D Doppler volume rate and maintaining the benefits of having a low computational and monetary cost. We show that a vascular subvolume of 6 mm can be scanned in 2.5 s, with a PDI reconstructed every $200 \mu \text{m}$ , outperforming classical staged recording methods.","3-D Doppler imaging; 3-D mouse brain; Doppler flow phantom; motorized linear stage; volumetric Doppler imaging","en","journal article","","","","","","","","","","","Signal Processing Systems","","",""
"uuid:d7be3bdc-bfaf-4ff1-b024-61d8c903c2ac","http://resolver.tudelft.nl/uuid:d7be3bdc-bfaf-4ff1-b024-61d8c903c2ac","Quantification and comparison of hierarchy in Public Transport Networks","Wang, Z. (TU Delft Transport and Planning); Huang, Ketong (Beijing Transport Institute; Student TU Delft); Massobrio, R.M. (TU Delft Transport and Planning; University of Cadiz); Bombelli, A. (TU Delft Air Transport & Operations); Cats, O. (TU Delft Transport and Planning)","","2023","Network hierarchy describes the relative arrangement of network elements and reflects its fundamental structure. We propose a multi-dimensional topology-based method for quantifying and comparing the extent to which different Public Transport Networks (PTNs) exhibit a hierarchical structure. The proposed method considers the uneven distribution of node importance with different definitions (e.g., degree centrality and betweenness centrality) in a PTN, the clustering of nodes and the node connection patterns. We apply the developed method on 63 high-capacity PTNs worldwide using General Transit Feed Specification (GTFS) data. In addition to global indicators, we use the goodness-of-fit between the probability density function of local indicators and a skew-normal distribution to quantify the extent of PTN hierarchy. Results show that the scale-free network structure and preferential attachment do not vary much across PTNs. In contrast, stop accessibility and traffic intermediacy vary considerably across PTNs as reflected by the closeness centrality and betweenness centrality distributions. Lastly, metro systems exhibit a more hierarchical structure than their tram and Bus Rapid Transit (BRT) counterparts. This work makes a first step towards a better mapping and comparison of different PTNs, which can assist academics and practitioners in better (re)designing and planning the PTNs of the future.","Public transport networks; Hierarchy; Network science; Topology; General Transit Feed Specification (GTFS)","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:f0215359-3368-4465-a213-e62290b38372","http://resolver.tudelft.nl/uuid:f0215359-3368-4465-a213-e62290b38372","The changing role and behaviour of consumers in last mile logistics services: A review","Cebeci, M.S. (TU Delft Transport and Planning); de Bok, M.A. (TU Delft Transport and Planning; Significance); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics)","","2023","The growth of e-commerce and omnichannel retailing has led to significant changes in urban logistics deliveries. In addition to the traditional delivery channels, new solutions have been introduced, such as click-and-collect, parcel locker delivery, crowdshipping, and on-site delivery. However, such solutions require seamless connections between different layers of the city logistics system. These connections form, in the Physical Internet terminology, a “hyperconnected city”. In this context, how do consumers make decisions about logistics services, either as prospective users or as suppliers of last mile logistics services? We argue that a thorough understanding of consumers’ decision-making about last mile services is a prerequisite for the effective exploration of future demand for these services and the design of transport policies. While there is abundant literature on new approaches of last mile logistics, a review of research on consumers’ decision-making and participation in such services is absent. This paper aims to provide such a review and, based on this, provides directions for future research. Based on the existing literature, we propose a conceptual framework that categorises decisions and system attributes affecting consumers’ decision-making. Highlights for future research include interaction between consumers’ demand and supply decisions, changes in consumer preferences, the importance of social networks, and the city-level impacts of hyperconnected last mile delivery.","Last mile logistics; consumer; decision-making; consumer involvement; omnichannel retailing; physical internet; hyperconnectivity","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:63e6e67e-eb22-4490-8e36-73fb345263e7","http://resolver.tudelft.nl/uuid:63e6e67e-eb22-4490-8e36-73fb345263e7","MIMO OTFS With Arbitrary Time-Frequency Allocation for Joint Radar and Communications","Correas Serrano, A. (Fraunhofer Institute for High Frequency Physics and Radar Techniques); Petrov, N. (TU Delft Microwave Sensing, Signals & Systems; NXP Semiconductors); Gonzalez-Huici, Maria (Fraunhofer Institute for High Frequency Physics and Radar Techniques); Yarovoy, Alexander (TU Delft Microwave Sensing, Signals & Systems)","","2023","This paper proposes a novel waveform, namely non-uniform OTFS (NU-OTFS), for joint radar and communication applications (Radcom) in multi-user/MIMO scenarios. Based on orthogonal time frequency space (OTFS) modulation, the proposed waveform is realized by using a non-uniform symplectic finite Fourier transform (NU-SFFT) to generate non-overlapping quasi-arbitrary time-frequency representations of OTFS messages. Non-uniform sampling and sparse reconstruction algorithms within the compressed sensing framework are employed to avoid (self-)interference and enhance radar target parameter estimation. The performance of NU-OTFS and its corresponding receivers is evaluated through numerical simulations and measurements, and compared with state-of-the-art MU/MIMO Radcom OTFS system concepts. NU-OTFS allows for increased flexibility in time-frequency resource allocation and larger unambiguous radar parameter estimation while showing comparable performance to state-of-the-art OTFS multi-user communication implementations in realistic high-mobility channel conditions.","Orthogonal time-frequency space (OTFS); multiple-input-multiple-output (MIMO); compressed sensing (CS); sparse reconstruction; radar-communication (Radcom); radar sensing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-24","","","Microwave Sensing, Signals & Systems","","",""
"uuid:87f45319-d296-4cd0-95c5-07bb928e8cce","http://resolver.tudelft.nl/uuid:87f45319-d296-4cd0-95c5-07bb928e8cce","Homogenisation method based on energy conservation and independent of boundary conditions","Zwarts, S. (TU Delft Applied Mechanics); Lesueur, M. (TU Delft Applied Mechanics)","","2023","The foundation of homogenisation methods rests on the postulate of Hill–Mandel, describing energy consistency throughout the transition of scales. The consideration of this principle is therefore crucial in the discipline of Digital Rock Physics which focuses on the upscaling of rock properties. For this reason, numerous studies have developed numerical schemes for porous media to enforce the Hill–Mandel condition to be respected. The most common method is to impose specific boundary conditions, such as periodic ones. However, these boundary conditions influence both the effective property and the size of the REV. The recent study of Thovert and Mourzenko (2020) has shown that most boundary conditions still result in the same intrinsic effective physical property if the averaging is applied outside the range of the boundary layer. From this discovery, it becomes logical to question the status of Hill–Mandel postulate in porous media when homogenising away from the boundary. In this contribution, we simulate Stokes flow through random packings of spheres and a range of rock microstructures. For each, we plot the evolution of the ratio micro- vs macro-scale of the energy of the fluid transport outside the boundary layer, for a growing subsample size of porous media. Here, we prove that we naturally find energy consistency across scales when reaching the size of the Representative Elementary Volume (REV), which is a known condition for rigorous upscaling. Furthermore, we show that this index for the energy consistency is a more accurate indicator of REV convergence since the mean value is already known to be unitary.","Energy conservation; Homogenisation; Representative elementary volume","en","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:3eacf348-2d07-476d-965b-8363a5289fb2","http://resolver.tudelft.nl/uuid:3eacf348-2d07-476d-965b-8363a5289fb2","Three contradictions between ESG finance and social housing decarbonisation: A comparison of five European countries","Fernández Pérez, A. (TU Delft Urban Development Management); Haffner, M.E.A. (TU Delft Urban Development Management); Elsinga, M.G. (TU Delft Urban Development Management)","","2023","The regulation of financial markets according to Environmental, Social and Governance (ESG) criteria has become a priority for the European Union (EU). Recent legislation, such as the EU Green Taxonomy, aims to identify sustainable investments enhancing transparency and accountability while steering private finance toward environmental objectives. The introduction of ESG criteria poses specific questions for Social Housing Organisations (SHOs), particularly as the decarbonisation of the housing stock is also incorporated into national legislation. This article contributes to the social housing finance literature by breaking ground on ESG, an area of intensive legislative activity currently re-shaping financial markets. The study draws from interviews with SHOs’ finance directors, banking officers, rating agencies and public officials to answer the question: How does the introduction of ESG legislation affect the financing of social housing decarbonisation? First, the results show that ESG legislation is broadening reporting responsibilities while producing only limited additional finance ultimately geared towards large and commercially oriented SHOs. Second, the expansion of energy-efficiency requirements is resulting in higher costs creating tensions with SHOs’ social mission of building homes at affordable rents. Third, the adoption of ESG financing is producing inequalities in access to capital across national financing systems and individual providers.","comparative policy; ESG; European policy; renovation; Social housing","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:af452225-2403-4183-ad73-3b9727641685","http://resolver.tudelft.nl/uuid:af452225-2403-4183-ad73-3b9727641685","Process systems engineering perspectives on eco-efficient downstream processing of volatile biochemicals from fermentation","Jankovic, T.J. (TU Delft BT/Bioprocess Engineering); Straathof, Adrie J.J. (TU Delft BT/Bioprocess Engineering); Kiss, A.A. (TU Delft ChemE/Product and Process Engineering)","","2023","Increasing concerns over environmental pollution, climate change and energy security are driving a necessary transition from fossil carbon sources to more sustainable alternatives. Due to lower environmental impact, biochemicals are rapidly gaining significance as a potential renewable solution, particularly of interest in Europe. In this context, process systems engineering (PSE) helps with the decision-making at multiple scales and levels, aiming for optimum use of (renewable) resources. Fermentation using waste biomass or industrial off-gases is a promising way for the production of these products. However, due to the inhibitory effects or low substrate concentrations, relatively low product concentrations can be obtained. Consequently, significant improvements in downstream processing are needed to increase the competitiveness of the overall bioprocesses. This paper supports sustainable development by providing new PSE perspectives on the purification of volatile bioproducts from dilute fermentation broths. Since purification significantly contributes to the total cost of biochemical production processes (20%–40% of the total cost), enhancing this part may substantially improve the competitiveness of the overall bioprocesses. The highly advanced downstream process offers the possibility of recovering high-purity products while enhancing the fermentation step by continuously removing inhibitory products, and recycling microorganisms with most of the present water. Besides higher productivity, the upstream process can be greatly improved by avoiding loss of biomass, enabling closed-loop operation and decreasing the need for fresh water. Applying heat pumping, heat integration and other methods of process intensification (PI) can drastically reduce energy requirements and CO2 emissions. Additionally, the opportunity to use renewable electricity instead of conventional fossil energy presents a significant step toward (green) electrification and decarbonization of the chemical industry.","biochemical production; downstream processing; distillation; heat pumps; heat integration; process intensification; electrification","en","journal article","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:09cc0c72-d6c2-400c-878f-ea38b88064c6","http://resolver.tudelft.nl/uuid:09cc0c72-d6c2-400c-878f-ea38b88064c6","A longitudinal study on artificial intelligence adoption: understanding the drivers of ChatGPT usage behavior change in higher education","Polyportis, A. (TU Delft BT/Biotechnology and Society)","","2023","As the field of artificial intelligence (AI) continues to progress, the use of AI-powered chatbots, such as ChatGPT, in higher education settings has gained significant attention. This paper addresses a well-defined problem pertaining to the critical need for a comprehensive examination of students' ChatGPT adoption in higher education. To examine such adoption, it is imperative to focus on measuring actual user behavior. While measuring students' ChatGPT usage behavior at a specific point in time can be valuable, a more holistic approach is necessary to understand the temporal dynamics of AI adoption. To address this need, a longitudinal survey was conducted, examining how students' ChatGPT usage behavior changes over time among students, and unveiling the drivers of such behavior change. The empirical examination of 222 Dutch higher education students revealed a significant decline in students' ChatGPT usage behavior over an 8 month period. This period was defined by two distinct data collection phases: the initial phase (T1) and a follow-up phase conducted 8 months later (T2). Furthermore, the results demonstrate that changes in trust, emotional creepiness, and Perceived Behavioral Control significantly predicted the observed change in usage behavior. The findings of this research carry significant academic and managerial implications, as they advance our comprehension of the temporal aspects of AI adoption in higher education. The findings also provide actionable guidance for AI developers and educational institutions seeking to optimize student engagement with AI technologies.","chatbot in higher education; ChatGPT; artificial intelligence adoption; longitudinal survey; emotional creepiness; Perceived Behavioral Control; trust in artificial intelligence; student behavior change","en","journal article","","","","","","","","","","","BT/Biotechnology and Society","","",""
"uuid:f495ca1e-b982-4a59-afd9-b5ab4edeba61","http://resolver.tudelft.nl/uuid:f495ca1e-b982-4a59-afd9-b5ab4edeba61","Sparking the Repair “Can-Do” Attitude: Enhancing Users’ Willingness to Repair through Design Support in Fault Diagnostics","van den Berge, R.B.R. (TU Delft Marketing and Consumer Research); Magnier, L.B.M. (TU Delft Marketing and Consumer Research); Mugge, R. (TU Delft Marketing and Consumer Research; Universiteit van Amsterdam)","","2023","Current production and consumption patterns of consumer electronics have a negative impact on our environment. Designers can contribute to changing these patterns with more sustainable product design. Prolonging product lifetimes can have a positive impact, for which repair is a promising solution. However, the fact that a product can be physically repaired does not mean that users will act accordingly. Users generally have a low ability to repair consumer electronics. We suggest that design interventions may increase users’ can-do repair mentality, leading to a higher intention to repair. In three experiments, we tested the effect of a design intervention, namely the presence of a fault indication, on users’ willingness to repair. Our results showed a significantly higher willingness to repair in the presence of a fault indication, which is explained by a higher level of perceived self-efficacy (i.e., a can-do attitude). However, this result only holds true for products that are relatively less likely to be professionally repaired, such as coffee makers and (handstick cordless) vacuum cleaners, and not for washing machines, which are more likely to be professionally repaired. We end with practical design implications, limitations, and future research directions.","Design for Repair; Product Lifetime Extension; Fault Indication; User Behavior; Circular Economy","en","journal article","","","","","","","","","","","Marketing and Consumer Research","","",""
"uuid:c968a864-bee6-4bcb-8c2a-85b073bbdaed","http://resolver.tudelft.nl/uuid:c968a864-bee6-4bcb-8c2a-85b073bbdaed","Recycling waste tyre polymer for production of fibre reinforced cemented tailings backfill in green mining","Guo, Z. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Northeastern University); Qiu, Jingping (Northeastern University); Kirichek, Alex (TU Delft Rivers, Ports, Waterways and Dredging Engineering); Zhou, Hao (Shougang Group Co., Ltd.); Liu, C. (TU Delft Materials and Environment); Yang, Lei (Johns Hopkins University)","","2023","The increasing amount of solid waste, e.g., waste tyres from car industry and tailings from mine operations, causes substantial environmental and societal issues. The recycled tyre polymer fibre (RTPF) reinforced cemented tailings backfill (CTB) is a kind of composite that can treat waste tyres and tailings simultaneously and realize green mining, but its engineering properties have not been well understood. In this study, the rheology (i.e., static and dynamic yield stress, and structural build-up), strength (i.e., uniaxial and triaxial compressive, splitting tensile and flexural strengths), microstructure, and life cycle of RTPF reinforced CTB are comprehensively evaluated. For comparison, the engineering performance of the commonly used polypropylene fibre (PPF) reinforced CTB in mines is tested. The experimental results demonstrate that incorporating 0.6 wt% RTPF into CTB can achieve comparable fluidity and strength to the CTB reinforced with 0.3 wt% PPF at reduced cost and improved sustainability. A strength enhancement approach for RTPF reinforced CTB is also developed by adjusting the viscosity of suspending CTB before the addition of RTPF. With this approach, the splitting tensile strength increases by 68 %. The results obtained from this study pave the way for promoting the recycling of abandoned waste tyres and the safe design of backfill structures in mines.","Solid waste utilization; Recycled Tyre polymer fibre; Cemented tailings backfill; Rheology; Strength; Microstructure","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-08","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:0973a75e-0de0-427c-b392-d7150a363994","http://resolver.tudelft.nl/uuid:0973a75e-0de0-427c-b392-d7150a363994","Intermediate European Cities: Conditions Between Metropolis and Town","Sioli, A. (TU Delft Situated Architecture); Novak, Sonja (University of Osijek); Resta, Giuseppe (Universidade do Porto)","","2023","This article will discuss the conditions that define the intermediate European city at the beginning of the twenty-first century: the mid-size, other or secondary city as it many times appears in the relevant bibliography, although these terms fail to capture its full potential. We argue that the intermediate European city cannot simply be defined by parameters like population number, territorial extension, or other forms of scale. Instead, we propose an interpretation through categories of conditions from various scientific disciplines covering different perspectives, as provided by our network members. These conditions suggest a systematization of phenomena commonly manifested in the urban contexts under examination. The article aims to get closer to defining what an intermediate city is or is not, concluding with the concrete illustration of seven selected conditions: scale as a commodity, gravity, perceptual coherence, open-ended image, walkable distances, parochial realm and against fragmentability.","","en","journal article","","","","","","","","","","","Situated Architecture","","",""
"uuid:67e46ba9-df02-4bca-8041-62d1e61efbb8","http://resolver.tudelft.nl/uuid:67e46ba9-df02-4bca-8041-62d1e61efbb8","Kamza and Tirana: A Travel Captured in Correspondence. The Planned, the Unplanned, and Everything in Between","Pllumbi, Dorina (TU Delft Situated Architecture); Vogel, W.C. (TU Delft Situated Architecture)","","2023","The text offers a glimpse into the conversation between two of the three organizers behind the training school titled ‘The Planned, the Unplanned, and Everything in Between’ held in Tirana and Kamza in March 2023. Three months before the training school, the two correspondents, Willie, visiting Albania for the first time through an STSM, and Dorina, temporarily located in the Netherlands, exchange daily thoughts aiming to interpret the two cities’ urban situations and sociopolitical conditions. Albania’s historical position at the edge of Europe has seen a remarkable shift in recent times, turning into an emerging discovery. Simultaneously, a burgeoning self-awareness of subverting narratives of representation has begun to take root among the Albanian youth – a phenomenon that adds depth and complexity to the ongoing dialogue. The transformation of the cities and the country is explained as multivocal while acknowledging protests, loss and destruction as part of a glorified urban project.","","en","journal article","","","","","","","","","","","Situated Architecture","","",""
"uuid:4d1af824-954c-4c95-8711-e357a3e1b5b6","http://resolver.tudelft.nl/uuid:4d1af824-954c-4c95-8711-e357a3e1b5b6","Effect of Activator and Mineral Admixtures on the Autogenous Shrinkage of Alkali-Activated Slag/Fly Ash","Ma, Yuwei (Guangzhou University); Gong, Jihao (Guangzhou University); Ye, G. (TU Delft Materials and Environment; Guangzhou University); Fu, Jiyang (Guangzhou University)","","2023","The high autogenous shrinkage of alkali-activated fly ash/slag (AASF) poses a significant concern for the widespread application of AASF in structural engineering. The present study compares the efficacy of activator and mineral admixtures in mitigating the autogenous shrinkage of AASF, and discusses the underlying mechanism. The results show that the use of activators with a lower silicate modulus and a lower sodium content, as well as incorporating metakaolin (MK) or silica fume, can reduce the autogenous shrinkage of AAMs. These approaches delay the appearance of the second exothermic peak, which corresponds to the later formation of C-A-S-H gels and slower development of capillary pressure. The inclusion of MK not only retards the reaction but also facilitates the formation of N-A-S-H gels, resulting in a coarse pore structure and reduced water consumption. The use of the activator with a lower silicate modulus (reduced from 1.5 to 1.0) leads to a higher internal relative humidity and the reduced pore volume of silt-shaped and ink-bottle pores (2–50 nm) in AASF, thereby reducing the autogenous shrinkage without significant strength reduction.","alkali-activated fly ash/slag; autogenous shrinkage; capillary pressure; shrinkage mitigation","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:0dc503a7-50e4-410f-9f64-ff931336d851","http://resolver.tudelft.nl/uuid:0dc503a7-50e4-410f-9f64-ff931336d851","Dirty Work","Hirschbichler, M.P. (TU Delft Theory, Territories & Transitions)","","2023","There is a tradition in architecture and art – proclaimed by Leon Battista Alberti, Adolf Loos and others – to refrain from dirt. According to such an understanding, architectural and creative thinking and making are conceived as an intellectual and pure endeavor. Dirt, impurity, contamination are, however, inevitable when firmly grounding architecture and other ways of worldmaking in our complex reality. I therefore advocate “dirty work” as a modus operandi that is more suitable for the enormous challenges that we are facing. Dirty work demands active material and bodily engagements with places and environments instead of idealizations and abstractions from a distance. It relies on fieldwork as a practice of working in, with and through the field, its materiality and the immaterial relations that it is made up of.","","en","journal article","","","","","","","","","","","Theory, Territories & Transitions","","",""
"uuid:7fc47989-1d98-40b1-ba87-3bd189025859","http://resolver.tudelft.nl/uuid:7fc47989-1d98-40b1-ba87-3bd189025859","How do molecular motors fold the genome?","Dekker, C. (TU Delft BN/Cees Dekker Lab); Haering, Christian H. (Julius-Maximilians University); Peters, Jan Michael (Campus-Vienna-Biocenter 1); Rowland, B.D. (TU Delft BN/Benjamin Rowland Lab; Netherlands Cancer Institute)","","2023","A potential mechanism of DNA loop extrusion by molecular motors is discussed.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-10","","","BN/Cees Dekker Lab","","",""
"uuid:a8440896-a233-4e06-aea7-721504593c16","http://resolver.tudelft.nl/uuid:a8440896-a233-4e06-aea7-721504593c16","Estimating a Reliable Water Budget at A Basin Scale: A Comparison between the Geostatistical and Traditional Methods (Foro River Basin, Central Italy)","Di Giovanni, Alessia (University G. D'Annunzio of Chieti-Pescara); Di Curzio, Diego (TU Delft Sanitary Engineering); Pantanella, Davide (University G. D'Annunzio of Chieti-Pescara); Picchi, Cristiana (University G. D'Annunzio of Chieti-Pescara); Rusi, Sergio (University G. D'Annunzio of Chieti-Pescara)","","2023","Recently, new numerical methods have been applied to weather data for the estimation of water budget, especially when the lack of measured data is considerable. Geostatistics is one of the most powerful approaches when it comes to studying spatially relevant natural phenomena, as it considers the spatial correlation among measurements over a specific study area and provides the associate uncertainty. In this study, we tested the feasibility of using a geostatistical method to provide a reliable estimation of the water budget of the Foro river basin (Central Italy) by comparing the obtained results with those of a traditional yet robust method. The results obtained with the geostatistical approach proved to be in line with the ones from the traditional method. Additionally, it was possible to quantify the uncertainty associated with the discharge values, making the estimates more reliable than the ones obtained with the traditional approach. However, the yearly distribution of river discharge obtained using both methods appeared to be dissimilar to the measured ones. The surface water uses, as well as the regulatory effect of the carbonate and alluvial aquifer regime, may affect the river discharge variability over the year and then can account for similar discrepancies between the inflow and outflow water volumes.","water budget; Ordinary Kriging; alluvial basin","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:2210d069-7dfc-4ff0-a298-a1e28b479975","http://resolver.tudelft.nl/uuid:2210d069-7dfc-4ff0-a298-a1e28b479975","The association between human blood clot analogue computed tomography imaging, composition, contraction, and mechanical characteristics","Cruts, Janneke M. H. (Erasmus MC); Giezen, Jo-Anne (Student TU Delft); van Gaalen, Kim (Erasmus MC); Beurskens, Robert (Erasmus MC); Ridwan, Yanto (Erasmus MC); Dijkshoorn, Marcel L. (Erasmus MC); Boodt, Nikki (Erasmus MC); Gijsen, F.J.H. (TU Delft Medical Instruments & Bio-Inspired Technology); Cahalane, R.M.E. (Erasmus MC)","","2023","Background
Clot composition, contraction, and mechanical properties are likely determinants of endovascular thrombectomy success. A pre-interventional estimation of these properties is hypothesized to aid in selecting the most suitable treatment for different types of thrombi. Here we determined the association between the aforementioned properties and computed tomography (CT) characteristics using human blood clot analogues.
Methods
Clot analogues were prepared from the blood of 4 healthy human donors with 5 red blood cell (RBC) volume suspensions: 0%, 20%, 40%, 60% and 80% RBCs. Contraction was measured as the weight of the contracted clots as a percentage of the original suspension. The clots were imaged using CT with and without contrast to quantify clot density and density increase. Unconfined compression was performed to determine the high strain compressive stiffness. The RBC content was analysed using H&E staining.
Results
The 5 RBC suspensions formed only two groups of clots, fibrin-rich (0% RBCs) and RBC-rich (>90% RBCs), as determined by histology. The density of the fibrin-rich clots was significantly lower (31-38HU) compared to the RBC-rich clots (72-89HU), and the density increase of the fibrin-rich clots was significantly higher (82-127HU) compared to the RBC-rich clots (3-17HU). The compressive stiffness of the fibrin-rich clots was higher (178–1624 kPa) than the stiffness of the RBC-rich clots (6–526 kPa). Additionally, the degree of clot contraction was higher for the fibrin-rich clots (89–96%) compared to the RBC-rich clots (11–77%).
Conclusions
CT imaging clearly reflects clot RBC content and seems to be related to the clot contraction and stiffness. CT imaging might be a useful tool in predicting the thrombus characteristics. However, future studies should confirm these findings by analysing clots with intermediate RBC and platelet content.
een eigen onderzoek uit. Een opvallend onderzoek dit jaar richtte zich op de radioactiviteit
van fruit. Gezamenlijk doen we verslag","","nl","journal article","","","","","","","","","","","ImPhys/Docenten","","",""
"uuid:1a09dd57-8cf4-454d-afd6-893db86dc3f6","http://resolver.tudelft.nl/uuid:1a09dd57-8cf4-454d-afd6-893db86dc3f6","Evaluating the techno-economic potential of defossilized air-to-syngas pathways","Almajed, Hussain M. (University of Colorado Boulder); Guerra, Omar J. (National Renewable Energy Laboratory); Smith, W.A. (TU Delft ChemE/Materials for Energy Conversion and Storage; University of Colorado Boulder; National Renewable Energy Laboratory); Hodge, Bri Mathias (National Renewable Energy Laboratory; University of Colorado Boulder); Somoza Tornos, A. (TU Delft ChemE/Product and Process Engineering; University of Colorado Boulder)","","2023","Defossilizing the chemical industry using air-to-chemical processes offers a promising solution to driving down the emission trajectory to net-zero by 2050. Syngas is a key intermediate in the chemical industry, which can be produced from electrolytic H2 and air-sourced CO2. To techno-economically assess possible emerging air-to-syngas routes, we develop detailed process simulations of direct air CO2 capture, proton exchange membrane water electrolysis, and CO2 electrolysis. Our results show that renewable electricity prices of ≤$15 per MW h enable the replacement of current syngas production methods with CO2 electrolysis at CO2 avoidance costs of about $200 per t-CO2. In addition, we identify necessary future advances that enable economic competition of CO2 electrolysis with traditional syngas production methods, including a reverse water gas shift. Indeed, we find an improved CO2 electrolysis process (total current density = 1.5 A cm−2, CO2 single-pass conversion = 54%, and CO faradaic efficiency = 90%) that can economically compete with the reverse water gas shift at an optimal cell voltage of about 2.00 V, an electricity price of $28–42 per MW h, a CO2 capture cost of $100 per t-CO2, and CO2 taxes of $100–300 per t-CO2. Finally, we discuss the integration of the presented emerging air-to-syngas routes with variable renewable power systems and their social impacts in future deployments. This work paints a holistic picture of the targets required to economically realize a defossilized syngas production method that is in alignment with net-zero goals.","","en","journal article","","","","","","","","","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:40eca2da-1ca7-4e65-89cc-2a25c49cb385","http://resolver.tudelft.nl/uuid:40eca2da-1ca7-4e65-89cc-2a25c49cb385","Droplet-based assembly of magnetic superballs","Schyck, S.N. (TU Delft ChemE/Advanced Soft Matter); Meijer, Janne Mieke (Eindhoven University of Technology); Schelling, Max P. (Eindhoven University of Technology); Petukhov, Andrei V. (Universiteit Utrecht); Rossi, L. (TU Delft ChemE/Advanced Soft Matter)","","2023","The self-assembly of materials driven by the inherent directionality of the constituent particles is of both practical and fundamental interest because it enables the fabrication of complex and hierarchical structures with tailored functionalities. By employing evaporation assisted self-assembly, we form opal-like structures with micro-sized magnetic superball particles. We study the structure formation of different superball shapes during evaporation of a dispersion droplet with in-situ small angle x-ray scattering with microradian resolution in the absence and presence of an external magnetic field. In the absence of a magnetic field, strong shape-dependent structures form as the water evaporates from the system. Applying a magnetic field to the droplet has a unique effect on the system; strong magnetic fields inhibit the growth of well-ordered assemblies due to the formation of out-of-equilibrium dipolar structures while lower magnetic fields allow particles to rearrange and orient without inhibition. In this work, we show how the superball assembly inside a droplet can be controlled by the magnetic field strength and the superball shape. The tunability of these parameters not only enables the controllable formation of macroscopic colloidal assemblies but also opens up possibilities for the development of functional materials with tailored properties on a macro-scale.","self-assembly; small-angle x-ray scattering (SAXS); magnetic colloids","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:4e288183-b130-4b27-89a5-e80097ef108b","http://resolver.tudelft.nl/uuid:4e288183-b130-4b27-89a5-e80097ef108b","Knowledge Advancing Shopping Mall Living Labs and Customer Value Co-Creation, with a Focus on Social Integration","van Geenhuizen, M.S. (TU Delft Economics of Technology and Innovation)","","2023","This paper aims at a broad scan of needs for knowledge advancing living labs and broader customer value co-creation in shopping malls. Special attention is given to the meeting and social integration function and metaverse development. Living labs are understood as a methodology of co-creative and open experimentation that is customer-led in a real-life environment. Emerging metaverse development tends to change opportunities and limitations, about which almost nothing is known. The paper uses a qualitative approach in two steps. The first step explores relevant theory on systems, like retail systems, customer-value co-creation (marketing) and capability and personal traits in behavioural change (individuals), while distinguishing between three living lab stages, namely, anticipation, processes on the way, and ex-post evaluation. A few central themes that are becoming increasingly important are the emerging metaverse, if and how traditional malls can continue serving as physical meeting places, and the possibility they will change course towards new combinations of physical and virtual activity. In the second step, a broad array of knowledge-advancing needs is discussed, and this results in a smaller set of knowledge gaps on the basis of urgency, like interests of new ICT stakeholders in shopping malls, impacts from metaverse on site-specificity, and benefits and barriers of disabled persons. The paper also forwards the need for more attention to the ex-post evaluation of co-creation projects, which calls for standard protocols and AI-supported data systems. The paper closes with contributions, management implications, and ideas about future research.","shopping mall renovation; living lab; co-creation; social integration; disabled persons; metaverse","en","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:a73174a9-606c-42a2-bfde-a9d3481b0ca7","http://resolver.tudelft.nl/uuid:a73174a9-606c-42a2-bfde-a9d3481b0ca7","What Attentional Moral Perception Cannot Do but Emotions Can","Hutton, James (TU Delft Ethics & Philosophy of Technology)","","2023","Jonna Vance and Preston Werner argue that humans’ mechanisms of perceptual attention tend to be sensitive to morally relevant properties. They dub this tendency “Attentional Moral Perception” (AMP) and argue that it can play all the explanatory roles that some theorists have hoped moral perception can play. In this article, I argue that, although AMP can indeed play some important explanatory roles, there are certain crucial things that AMP cannot do. Firstly, many theorists appeal to moral perception to explain how moral knowledge is possible. I argue that AMP cannot put an agent in a position to acquire moral knowledge unless it is supplemented with some other capacity for becoming aware of moral properties. Secondly, theorists appeal to moral perception to explain “moral conversions”, i.e., cases in which an experience leads an agent to form a moral belief that conflicts with her pre-existing moral beliefs. I argue that AMP cannot explain this either. Due to these shortcomings, theorists should turn to emotions for a powerful and psychologically realistic account of virtuous agents’ sensitivity to the moral landscape.","moral epistemology; moral psychology; moral perception; attention; emotion; epistemic sentimentalism","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:dc6d3c17-d44b-46ea-9398-03e4c75b7541","http://resolver.tudelft.nl/uuid:dc6d3c17-d44b-46ea-9398-03e4c75b7541","Higher Order Singular Value Decomposition Filter for Contrast Echocardiography","Wahyulaksana, G. (Erasmus MC); Wei, Luxi (Erasmus MC); Voorneveld, J.D. (Erasmus MC); Hekkert, Maaike Te Lintel (Erasmus MC); Strachinaru, Mihai (Erasmus MC); Duncker, Dirk J. (Erasmus MC); de Jong, N. (TU Delft ImPhys/De Jong group; Erasmus MC); van der Steen, A.F.W. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Verweij group; Erasmus MC); Vos, H.J. (TU Delft ImPhys/Verweij group; Erasmus MC)","","2023","Assessing the coronary circulation with contrast-enhanced echocardiography has high clinical relevance. However, it is not being routinely performed in clinical practice because the current clinical tools generally cannot provide adequate image quality. The contrast agent's visibility in the myocardium is generally poor, impaired by motion and nonlinear propagation artifacts. The established multipulse contrast schemes (MPCSs) and the more experimental singular value decomposition (SVD) filter also fall short to solve these issues. Here, we propose a scheme to process amplitude modulation/amplitude-modulated pulse inversion (AM/AMPI) echoes with higher order SVD (HOSVD) instead of conventionally summing the complementary pulses. The echoes from the complementary pulses form a separate dimension in the HOSVD algorithm. Then, removing the ranks in that dimension with dominant coherent signals coming from tissue scattering would provide the contrast detection. We performed both in vitro and in vivo experiments to assess the performance of our proposed method in comparison with the current standard methods. A flow phantom study shows that HOSVD on AM pulsing exceeds the contrast-to-background ratio (CBR) of conventional AM and an SVD filter by 10 and 14 dB, respectively. In vivo porcine heart results also demonstrate that, compared to AM, HOSVD improves CBR in open-chest acquisition (up to 19 dB) and contrast ratio (CR) in closed-chest acquisition (3 dB).","Contrast-enhanced ultrasound (CEUS); higher order singular value decomposition (HOSVD); microbubble detection; myocardial perfusion; ultrafast imaging","en","journal article","","","","","","","","","","","ImPhys/Medical Imaging","","",""
"uuid:76b71fcb-b818-4187-8e0f-e14ab72342da","http://resolver.tudelft.nl/uuid:76b71fcb-b818-4187-8e0f-e14ab72342da","Crystal Growth and Physical Properties of Hybrid CoSn-YCo6Ge6 Structure Type LnxCo3(Ge1-ySny)3 (Ln = Y, Gd)","Bravo, Moisés (Baylor University); McCandless, Gregory T. (Baylor University); Baumbach, Ryan E. (Florida State University); Wang, Y. (TU Delft QN/Ali Lab; Baylor University; Kavli institute of nanoscience Delft); Ali, M.N. (TU Delft QN/Ali Lab; Baylor University; Kavli institute of nanoscience Delft); Chan, Julia Y. (Baylor University)","","2023","There is an ongoing interest in kagome materials because they offer tunable platforms at the intersection of magnetism and electron correlation. Herein, we examine single crystals of new kagome materials, LnxCo3(Ge1-ySny)3 (Ln = Y, Gd; y = 0.11, 0.133), which were produced using the Sn flux-growth method. Unlike many of the related chemical analogues with the LnM6X6 formula (M = transition metal and X = Ge, Sn), the Y and Gd analogues crystallize in a hybrid YCo6Ge6/CoSn structure, with Sn substitution. While the Y analogue displays temperature-independent paramagnetism, magnetic measurements of the Gd analogue reveal a magnetic moment of 8.48 μB, indicating a contribution from both Gd and Co. Through anisotropic magnetic measurements, the direction of Co-magnetism can be inferred to be in plane with the kagome net, as the Co contribution is only along H//a. Crystal growth and structure determination of YxCo3(Ge,Sn)3 and GdxCo3(Ge,Sn)3, two new hybrid kagome materials of the CoSn and YCo6Ge6 structure types. Magnetic properties, heat capacity, and resistivity on single crystals are reported.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-23","","","QN/Ali Lab","","",""
"uuid:11dc836a-e860-4e7b-8ba0-158e4778fdb8","http://resolver.tudelft.nl/uuid:11dc836a-e860-4e7b-8ba0-158e4778fdb8","Quantitative imaging through the production chain: from idea to application","Salameh, Najat (University of Aberdeen); Weingärtner, S.D. (TU Delft ImPhys/Computational Imaging; TU Delft ImPhys/Weingärtner group; Holland Particle Therapy Centre); Hilbert, Tom (University Hospital of Vaud (CHUV); EPFL Switzerland; Siemens Healthineers International AG, Lausanne); Vilgrain, Valérie (Université Paris Cité); Robson, Matthew D. (Perspectum, Oxford); Marques, José P. (Radboud Universiteit Nijmegen)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-05-11","","","ImPhys/Computational Imaging","","",""
"uuid:ce210766-ea39-4126-a127-c1bef6386f29","http://resolver.tudelft.nl/uuid:ce210766-ea39-4126-a127-c1bef6386f29","Hydrogen carriers for zero-emission ship propulsion using PEM fuel cells: an evaluation","Van Rheenen, E.S. (TU Delft Ship Design, Production and Operations); Padding, J.T. (TU Delft Complex Fluid Processing); Slootweg, J. C. (Universiteit van Amsterdam); Visser, K. (TU Delft Ship Design, Production and Operations)","","2023","Green hydrogen combined with PEM fuel cell systems is a viable option to meet the demand for alternative maritime fuels. However, hydrogen storage faces challenges, including low volumetric density, fire and explosion risks and transport challenges. We assessed over fifteen hydrogen carriers based on their maritime performance characteristics to determine their suitability for shipboard use. Evaluation criteria included energy density, locally zero-emission, circularity of process, safety, dehydrogenation process, logistic availability and handling. Thus, excluding ammonia and methanol because of these constraints, we found that borohydrides, liquid organic hydrogen carriers and ammoniaborane are the most promising hydrogen carriers to use on ships with PEM fuel cells. Borohydrides, specifically sodium borohydride, have high energy densities but face regeneration issues. The liquid organic hydrogen carrier dibenzyltoluene has a lower energy density but exhibits easy hydrogenation and good handling. Given varying operational demands, we developed a framework to assess the suitability of hydrogen carriers for use in different ship categories. Evaluating the three types of hydrogen carriers, using our framework and considering current practices, shows that these are viable options for almost all ship types. Thus, we have identified three types of hydrogen carriers, which should be the focus of future research.","alternative fuels; Hydrogen; hydrogen carriers; liquid hydrogen carriers; maritime transportation; solid hydrogen carriers","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:bfceaa04-f03c-4811-ae97-88b17723c48d","http://resolver.tudelft.nl/uuid:bfceaa04-f03c-4811-ae97-88b17723c48d","Dominant factors determining the hydraulic conductivity of sedimentary aquitards: A random forest approach","van Leer, Martijn D. (Universiteit Utrecht); Zaadnoordijk, Willem (TU Delft Water Resources; TNO); Zech, Alraune (Universiteit Utrecht); Buma, Jelle (TNO); Harting, Ronald (TNO); Bierkens, Marc F.P. (Universiteit Utrecht; Deltares); Griffioen, Jasper (Universiteit Utrecht; TNO)","","2023","Aquitards are common hydrogeological features and their hydraulic conductivity is an important property for various groundwater management issues. Predicting their hydraulic conductivity proves challenging, given its dependence on numerous variables. In this study, the dominant factors for predicting aquitard hydraulic conductivity are identified. To this end, a random forest model is trained on a dataset consisting of more than 1000 hydraulic conductivity measurements of core-scale sediment samples from a wide range of stratigraphic units and depths in the Netherlands. The dataset contains textural properties, such as the grain size distribution and porosity, as well as structural data, such as location, sampling depth, stratigraphical unit, lithofacies, organic carbon content, carbonate content and groundwater chloride concentration. Results show that clay fraction, stratigraphic unit, depth, lithofacies and x-coordinate are the most important features for predicting the hydraulic conductivity. Here, x-coordinate is presumably a proxy for distance from marine influence. Using a more detailed grain size distribution or using derived parameters such as the grain size percentiles does not improve the model any further. Our findings indicate that structural properties play a significant role in predicting aquitard conductivity, as they serve as indicators of processes such as compaction and soft-sediment deformation. The model is furthermore an effective method to estimate hydraulic conductivity for sediment samples without conducting costly and time-consuming hydraulic conductivity measurements.","Aquitards; Groundwater; Hydraulic conductivity; Machine learning; Parameterisation; the Netherlands","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:5dc3e7fe-b0fb-4177-ac9c-e368d510276f","http://resolver.tudelft.nl/uuid:5dc3e7fe-b0fb-4177-ac9c-e368d510276f","Visualisation and dissemination of 3D valuation units and groups – An LADM valuation information compliant prototype","Kara, A. (TU Delft Digital Technologies); van Oosterom, P.J.M. (TU Delft Digital Technologies); Kathmann, Ruud (The Netherlands Council for Real Estate Assessment); Lemmen, Christiaan (University of Twente)","","2023","The timely and effective dissemination of property values is an essential part of a transparent and efficient property valuation system. Over the last decade, web-based data-sharing systems have been increasingly used for the dissemination of assessed property values. 3D valuation units (e.g., condominium) and groups (aggregation of valuation units, e.g., a building floor in a multi-occupied building, a multi-occupied building, street, district or valuation zone) may be required to better communicate with users and to support a more effective and efficient dissemination. However, none of these systems share valuation information associated with 3D representation of the valuation units and groups. In this paper, a prototype of a web-based system is developed utilising the proposed Valuation Information part of the Edition II of the ISO 19152 Land Administration Domain Model compliant dataset for not only disseminating the spatial, physical, thematic and temporal characteristics of 3D valuation units, but also for sharing the valuation statistics at each designated level and with level-specific attributes. It is expected that the outcomes of this work may contribute to the development of local or national valuation systems and systems for geo-based data visualisation for effective and efficient sharing of valuation information. As such, it is anticipated that the study's outcomes will not only increase the level of communication with the public, but also support politicians and planners in their decision-making processes and help them to better analyse and understand the property market. With the proposed system, confidence in valuation results can be further increased due to the high level of transparency.","3D visualisation; Dissemination; ISO 19152 Land Administration Domain Model (LADM); LADM Part 4; Price index; Property valuation; Valuation information","en","journal article","","","","","","","","","","","Digital Technologies","","",""
"uuid:8d54f161-1019-4966-91f7-47eee19d37e5","http://resolver.tudelft.nl/uuid:8d54f161-1019-4966-91f7-47eee19d37e5","Moving goals and governance in megaprojects","Bourne, Mike (Cranfield University); Bosch-Rekveldt, M.G.C. (TU Delft Integral Design & Management); Pesämaa, Ossi (Luleå University of Technology)","","2023","Project management is known for its tools and techniques that are used to plan and deliver projects in a controlled context. Megaprojects don't always fit well into this paradigm due to their size, complexity and longevity. Megaprojects often start without precisely defined goals and without a detailed knowledge of how the project will progress or the outcomes will be delivered. We examine the requirements for governance of megaprojects by reviewing the literature and reflecting on practice. We use the analytical model of where, how and what to illustrate different units of analysis (i.e., context, governance and goals) in megaprojects in three countries and to illustrate how goals and governance move. Building upon the governance and performance management literature, the paper contributes to the understanding of moving goals and governance for ensuring performance. We propose a framework for diagnosing goals and we list six systemic errors that result in a misfit.","Goals; Governance; Megaproject; Project performance","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:09dfbb9b-88f3-43bb-95b7-950977e47f21","http://resolver.tudelft.nl/uuid:09dfbb9b-88f3-43bb-95b7-950977e47f21","Erratum: Wave-field representations with Green's functions, propagator matrices, and Marchenko-type focusing functions (J. Acoust. Soc. Am. (2022) 151 (587-608) DOI: 10.1121/10.0009236)","Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics)","","2023","For the elastodynamic wave equation discussed in Appendix A.4 in Ref. 1, the expressions for matrices ∼L 6 1 and ∼L 6 2 in Eqs. (A32) and (A33) must be multiplied by 61. In other words, the signs of ∼L _ 1and ∼L _ 2have to be changed, whereas the signs of ∼L 1 and ∼L 2 remain unchanged. With these corrections, matrix ∼L , defined in Eq. (A5), fulfills the symmetry property formulated by Eq. (A6). The corrections have no consequences for Eqs. (A36)-(A38).","","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:3c78a00a-6c2c-4e2f-bf3e-fc9b3f2500ba","http://resolver.tudelft.nl/uuid:3c78a00a-6c2c-4e2f-bf3e-fc9b3f2500ba","Web of attributes: analysing residents’ appreciation of a Dutch neighbourhood from a new heritage perspective","Spoormans, L.G.K. (TU Delft Heritage & Architecture); Pereira Roders, A. (TU Delft Heritage & Architecture); Czischke, D.K. (TU Delft Real Estate Management); de Jonge, W. (TU Delft Heritage & Architecture)","","2023","In the last century, the concept of what can be heritage has expanded in definition, opening to everyday architecture and living environments. More recently, the group of stakeholders to be involved in heritage assessment and management has slowly grown, with authorities acknowledging that heritage significance lies in the representation and identification for people and that people could help define it. Studying the significance of everyday residential neighbourhoods and the inclusion of individual responses creates a demand for new methods. Although in heritage studies these methods remain undefined, studies on housing preferences offer starting points for new approaches. This paper presents a significance assessment of an everyday living environment by its residents, from a new heritage perspective. By analysing individual responses, this research discusses more inclusive methods of assessing significance. A neighbourhood in the Dutch town Almere, is used as a case study. Based on a survey in diary format, residents’ appreciation of their living environment is analysed using values-attributes and means-end theory. Results show that assessments of individual residents consist of chains of tangible and intangible attributes. The paper proposes a new analytical model, the ‘Web of Attributes’, which visualizes residents’ responses and reveals the diversity and relations between the attributes best appreciated in a specific living environment. The Web of Attributes can serve as visual reporting in statements of significance, for listed and non-listed neighbourhoods. By combining theories from housing preferences and heritage significance assessment, this novel research explores narrow the gap between the assessments of heritage and everyday neighbourhoods.","Citizen participation; Heritage significance; Housing preferences; Means-end chains; Residential architecture; Values and attributes","en","journal article","","","","","","","","","","","Heritage & Architecture","","",""
"uuid:553d1d87-94d9-45ea-af72-74bd4b58c133","http://resolver.tudelft.nl/uuid:553d1d87-94d9-45ea-af72-74bd4b58c133","Electrophysiological markers for anticipatory processing of nocebo-augmented pain","Blythe, Joseph S. (Universiteit Leiden; Leiden Institute for Brain and Cognition, Leiden); Peerdeman, Kaya J. (Universiteit Leiden; Leiden Institute for Brain and Cognition, Leiden); Veldhuijzen, Dieuwke S. (Universiteit Leiden; Leiden Institute for Brain and Cognition, Leiden); Karch, Julian D. (Universiteit Leiden); Evers, A.W.M. (TU Delft Applied Ergonomics and Design; TU Delft Medical Delta; Universiteit Leiden; Leiden University Medical Center; Leiden Institute for Brain & Cognition, Leiden)","","2023","Nocebo effects on pain are widely thought to be driven by negative expectations. This suggests that anticipatory processing, or some other form of top-down cognitive activity prior to the experience of pain, takes place to form sensory-augmenting expectations. However, little is known about the neural markers of anticipatory processing for nocebo effects. In this event-related potential study on healthy participants (n = 42), we tested whether anticipatory processing for classically conditioned nocebo-augmented pain differed from pain without nocebo augmentation using stimulus preceding negativity (SPN), and Granger Causality (GC). SPN is a slow-wave ERP component thought to measure top-down processing, and GC is a multivariate time series analysis used to measure functional connectivity between brain regions. Fear of pain was assessed with the Fear of Pain Questionnaire-III and tested for correlation with SPN and GC metrics. We found evidence that both anticipatory processing measured with SPN and functional connectivity from frontal to temporoparietal brain regions measured with GC were increased for nocebo pain stimuli relative to control pain stimuli. Other GC node pairs did not yield significant effects, and a lag in the timing of nocebo pain stimuli limited interpretation of the results. No correlations with trait fear of pain measured after the conditioning procedure were detected, indicating that while differences in neural activity could be detected between the anticipation of nocebo and control pain trials, they likely were not related to fear. These results highlight the role that top-down processes play in augmenting sensory perception based on negative expectations before sensation occurs.","","en","journal article","","","","","","","","","","","Applied Ergonomics and Design","","",""
"uuid:354e9b05-5175-4d54-a93e-10c3a18d69ec","http://resolver.tudelft.nl/uuid:354e9b05-5175-4d54-a93e-10c3a18d69ec","Optimal Design of Multilayer Optical Color Filters for Building-Integrated Photovoltaic (BIPV) Applications","Ortiz Lizcano, J.C. (TU Delft Photovoltaic Materials and Devices); Villa, Simona (DIANA FEA); Zhou, Y. (TU Delft Photovoltaic Materials and Devices); Frantzi, Georgia (Student TU Delft); Vattis, Kyriakos (Student TU Delft); Calcabrini, A. (TU Delft Photovoltaic Materials and Devices); Yang, G. (TU Delft Photovoltaic Materials and Devices); Zeman, M. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2023","Herein, the application of a comprehensive modeling framework that can help optimize the design of multilayered optical filters for coloring photovoltaic (PV) modules is presented based on crystalline silicon solar cells. To overcome technical issues related to the implementation of color filters (CFs) on PV modules, like glare and color instability, colorimetry metrics, such as the hue, chroma, luminance color space, and the quantitative concept of difference between two colors are extensively deployed. It is showcased in this work that designing colored modules with high hue and chroma stability is possible by using a front-side texturing with edged geometry, like V-shaped grooves and inverted pyramids, while obtaining colors with relatively high luminance values, indicating good brightness. Furthermore, it is argued that adapting the rear surface of the front glass with a random textured layout where the CF is applied can improve color and luminance stability without significant loss of chroma while eliminating glare. Finally, the models can be used to optimize the number of layers for a given CF, reducing unnecessary optical losses. Compared to a standard PV module, performance simulation of optimized, bright-colored PV modules predicts relative energy yield losses ranging from 7% to 25%.","colors; design; integration; performance; photovoltaics","en","journal article","","","","","","","","","","","Photovoltaic Materials and Devices","","",""
"uuid:ef4c61bf-b60f-4a49-ae4a-45c50d55a2e7","http://resolver.tudelft.nl/uuid:ef4c61bf-b60f-4a49-ae4a-45c50d55a2e7","Post-fabrication frequency trimming of coplanar-waveguide resonators in circuit QED quantum processors","Valles Sanclemente, S. (TU Delft QuTech Advanced Research Centre; TU Delft QCD/DiCarlo Lab; Kavli institute of nanoscience Delft); van der Meer, S.L.M. (TU Delft QuTech Advanced Research Centre; TU Delft QCD/DiCarlo Lab; Kavli institute of nanoscience Delft); Finkel, M. (TU Delft QuTech Advanced Research Centre; TU Delft QCD/DiCarlo Lab; Kavli institute of nanoscience Delft); Muthusubramanian, N. (TU Delft QuTech Advanced Research Centre; TU Delft QN/Kavli Nanolab Delft; Kavli institute of nanoscience Delft); Beekman, M.C. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre); Ali, H.A.S. (TU Delft QuTech Advanced Research Centre; TU Delft QCD/DiCarlo Lab; Kavli institute of nanoscience Delft); Ferreira Marques, J.M. (TU Delft QCD/DiCarlo Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Zachariadis, C. (TU Delft QuTech Advanced Research Centre; TU Delft QN/Kavli Nanolab Delft; Kavli institute of nanoscience Delft); Veen, H.M. (TU Delft QuTech Advanced Research Centre; TU Delft QCD/DiCarlo Lab; Kavli institute of nanoscience Delft); Stavenga, T. (TU Delft QCD/DiCarlo Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Haider, S.N. (TU Delft QuTech Advanced Research Centre; TU Delft BUS/TNO STAFF); DiCarlo, L. (TU Delft QCD/DiCarlo Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2023","We present the use of a set of airbridges to trim the frequency of microwave coplanar-waveguide (CPW) resonators post-fabrication. This method is compatible with the fabrication steps of conventional CPW airbridges and crossovers and increases device yield by allowing compensation of design and fabrication uncertainty with 100 MHz range and 10 MHz resolution. We showcase two applications in circuit QED. The first is the elimination of frequency collisions between resonators intended to readout different transmons by frequency-division multiplexing. The second is frequency matching of readout and Purcell-filter resonator pairs. Combining this matching with transmon frequency trimming by laser annealing reliably achieves fast and high-fidelity readout across 17-transmon quantum processors.","","en","journal article","","","","","","","","","","","QCD/DiCarlo Lab","","",""
"uuid:2002c432-f427-4880-8d9a-79fc13fd7c15","http://resolver.tudelft.nl/uuid:2002c432-f427-4880-8d9a-79fc13fd7c15","Classification-Based Opinion Formation Model Embedding Agents’ Psychological Traits","Devia Pinzon, C.A. (TU Delft Team Tamas Keviczky); Giordano, G. (TU Delft Team Tamas Keviczky; Università di Trento)","","2023","We propose an agent-based opinion formation model characterised by a two-fold novelty. First, we realistically assume that each agent cannot measure the opinion of its neighbours about a given statement with infinite resolution and accuracy, and hence it can only perceive the opinion of others as agreeing much more, or more, or comparably, or less, or much less (than itself) with that given statement. This leads to a classification-based rule for opinion update. Second, we consider three complementary agent traits suggested by significant sociological and psychological research: conformism, radicalism and stubbornness. We rely on World Values Survey data to show that the proposed model has the potential to predict the evolution of opinions in real life: the classification-based approach and complementary agent traits produce rich collective behaviours, such as polarisation, consensus, and clustering, which can yield predicted opinions similar to survey results.","Agent-Based Social Simulation; Agent-Based Model; Opinion Formation; Opinion Dynamics; Real Data Validation; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Team Tamas Keviczky","","",""
"uuid:ee9c2ce7-4c8e-4689-a5be-18de1c93090c","http://resolver.tudelft.nl/uuid:ee9c2ce7-4c8e-4689-a5be-18de1c93090c","Gentrification and the Origin and Destination of Movers: A Systematic Review","Janssen, K.M.J. (TU Delft Urban Studies); Cottineau, C. (TU Delft Urban Studies); Kleinhans, R.J. (TU Delft Urban Studies); van Bueren, Ellen (TU Delft Management in the Built Environment)","","2023","Gentrification is a process whereby neighbourhoods and their socio-economic composition upgrade through residential moves and social mobility. Relatively little attention has been paid to the spatial aspect of gentrification-induced residential moves. This systematic literature review focuses on the origin and destination of moves to and from gentrifying neighbourhoods, by gentrifiers (movers in) and displacees (movers out). It identifies where, when, and how such research has been conducted and highlights gaps in the literature. Our results suggest that the destination of displaced households has been studied extensively, while an understanding of the spatial origins of gentrifiers is lacking. The few studies dedicated to gentrifiers' origins mostly focus on intra-urban environments, overlooking potential mobility dynamics from outside the city-region. We highlight that capturing both origins and destinations of movers at different spatial scales is necessary to demonstrate how residential mobility creates interactions and demographic interdependencies between neighbourhoods and cities.","displacement; Gentrification; residential mobility; systematic literature review","en","journal article","","","","","","","","","","Management in the Built Environment","Urban Studies","","",""
"uuid:c6607e4e-5164-48c2-87ee-5af866d2ed87","http://resolver.tudelft.nl/uuid:c6607e4e-5164-48c2-87ee-5af866d2ed87","Reduced order fluid modeling with generative adversarial networks","Kemna, Maarten (Student TU Delft); Heinlein, A. (TU Delft Numerical Analysis); Vuik, Cornelis (TU Delft Delft Institute of Applied Mathematics)","","2023","Surrogate models based on convolutional neural networks (CNNs) for computational fluid dynamics (CFD) simulations are investigated. In particular, the flow field inside two-dimensional channels with a sudden expansion and an obstacle is predicted using an image representation of the geometry as the input. Generative adversarial neural networks (GANs) have been shown to excel at such image-to-image translation tasks. This motivates the focus of this work on investigating the specific effect of adversarial training on model performance. Numerical results show that the overall accuracy of the GANs is generally lower compared to an identical generator model trained directly on the ground truth using an L1 data loss. On the other hand, GAN predictions are often visually more convincing and exhibit a lower continuity residual.","","en","journal article","","","","","","","","","","","Numerical Analysis","","",""
"uuid:8a9a4c99-fac3-4974-8834-4bacc7fd17d1","http://resolver.tudelft.nl/uuid:8a9a4c99-fac3-4974-8834-4bacc7fd17d1","Augmenting socioecological dynamics in urban leftover spaces: Landscape architectural design as a foundation","de Wit, S.I. (TU Delft Landscape Architecture); Luo, Sitong (Wageningen University & Research)","","2023","Leftover spaces are urban interstices that are open to spontaneous socioecological appropriation, complementary to defined and managed urban open spaces. The design intervention of leftover spaces poses a paradox: while repurposing leftover spaces to make them accessible, usable and meaningful, design simultaneously runs a risk of closing off opportunities for appropriation. This paper examines the role of landscape architecture design in transforming leftover spaces. Four analytical lenses: the morphological, material, ecological and social lenses, were developed to examine the Dalston Curve Garden. Two essential design lessons for engaging the interstitial condition of leftover spaces were concluded: to design with multiple site qualities and to nurture local stewardship. The study further highlights the role of spatio-physical design as ‘founding’: to establish the beginning of a place-bound transformation without fixing the endpoint and to allow a profound connection between people and place to emerge.","leftover space; urban interstices; socioecological dynamics; stewardship; design intervention","en","journal article","","","","","","","","","","","Landscape Architecture","","",""
"uuid:2d4d78ee-ea9b-4eb0-8486-4fb1413b35bf","http://resolver.tudelft.nl/uuid:2d4d78ee-ea9b-4eb0-8486-4fb1413b35bf","Pattern QUBOs: Algorithmic Construction of 3SAT-to-QUBO Transformations","Zielinski, Sebastian (LMU Munich); Nüßlein, Jonas (LMU Munich); Stein, Jonas (LMU Munich); Gabor, Thomas (LMU Munich); Linnhoff-Popien, Claudia (LMU Munich); Feld, S. (TU Delft Quantum Circuit Architectures and Technology)","","2023","One way of solving 3sat instances on a quantum computer is to transform the 3sat instances into instances of Quadratic Unconstrained Binary Optimizations (QUBOs), which can be used as an input for the QAOA algorithm on quantum gate systems or as an input for quantum annealers. This mapping is performed by a 3sat-to-QUBO transformation. Recently, it has been shown that the choice of the 3sat-to-QUBO transformation can significantly impact the solution quality of quantum annealing. It has been shown that the solution quality can vary up to an order of magnitude difference in the number of correct solutions received, depending solely on the 3sat-to-QUBO transformation. An open question is: what causes these differences in the solution quality when solving 3sat-instances with different 3sat-to-QUBO transformations? To be able to conduct meaningful studies that assess the reasons for the differences in the performance, a larger number of different 3sat-to-QUBO transformations would be needed. However, currently, there are only a few known 3sat-to-QUBO transformations, and all of them were created manually by experts, who used time and clever reasoning to create these transformations. In this paper, we will solve this problem by proposing an algorithmic method that is able to create thousands of new and different 3sat-to-QUBO transformations, and thus enables researchers to systematically study the reasons for the significant difference in the performance of different 3sat-to-QUBO transformations. Our algorithmic method is an exhaustive search procedure that exploits properties of (Formula presented.) dimensional pattern QUBOs, a concept which has been used implicitly in the creation of 3sat-to-QUBO transformations before, but was never described explicitly. We will thus also formally and explicitly introduce the concept of pattern QUBOs in this paper.","quantum annealing; pattern QUBO; automatic QUBO generation; QUBO; Ising; satisfiability; 3SAT; combinatorial optimization","en","journal article","","","","","","","","","","","Quantum Circuit Architectures and Technology","","",""
"uuid:9a873452-5392-44cb-8335-d11504b28a97","http://resolver.tudelft.nl/uuid:9a873452-5392-44cb-8335-d11504b28a97","建筑的意义:与赫尔曼·赫茨伯格的对话","van Bergeijk, H.D. (TU Delft History, Form & Aesthetics); Zhu, P. (TU Delft History, Form & Aesthetics); Zhu, Yin (Harbin Institute of Technology); Li, Y. (TU Delft Design & Construction Management)","","2023","本文介绍了荷兰建筑史学家赫尔曼·凡·贝赫艾克和其博士生团队对荷兰著名建筑师赫尔曼·赫茨伯格的专访。此次采访是为了准备 2022 年赫茨伯格 90 岁生日的庆祝活动。讨论的重点包括时间和手绘、结构主义、设计的延续性、建筑教育以及赫茨伯格目前关注的领域。在采访中,凡·贝赫艾克和他的团队成员就手绘在设计过程中的重要性、赫茨伯格对结构主义建筑运动的看法以及设计中延续性与时间概念的关系提出了引人思考的问题。此外,讨论还涉及赫茨伯格在建筑教育方面的经验和想法,以及他对该领域目前的兴趣。这次专访提供了对赫茨伯格在建筑基本方面看法宝贵见解,并深入了解了他对于设计标志性建筑的理念。
This article presents an interview with renowned Dutch architect Herman Hertzberger conducted by
Dutch architectural historian Herman van Bergeijk and his Ph.D. students. The interview was done in preparation for Hertzberger’s 90th birthday celebration in 2022. The discussion centered on five key topics:time and hand-drawing,structuralism,continuity in design,architectural education,and Hertzberger’s present interests. During the interview,Van Bergeijk and his Ph.D. students posed thought-provoking questions related to the importance of hand-drawing in the design process,Hertzberger’s perspectives on the architectural movement known as structuralism,and the relationship between continuity in design and the concept of time. Additionally, the discussion touched on Hertzberger’s experiences and thoughts on architectural education,as well as his current interests within the field. This interview provides valuable insights into Hertzberger’s views on fundamental aspects of architecture and offers a deeper understanding of his approach to designing iconic buildings.
Methods: This study analyzed nearly 7,500 publications on energy access through a combination of bibliometric review and computational text analysis of their titles and abstracts to examine whether and how they have engaged with public policy perspectives, specifically, policy process research, policy design studies, and the literature on policy evaluation.
Results: We discovered 27 themes in the literature on energy access, but public policy was not among them. Subsequently, we identified 23 themes in a new analysis of the 1,751 publications in our original dataset, mentioning “policy” in their title or abstract. However, few of them engaged with public policy, and even those that did comprised a rather small share of the literature. Finally, we extracted phrases pertaining to public policy in this reduced dataset, but found limited mention of terms related to the policy process, policy design, or policy evaluation.
Discussion: While to some extent this might reflect the multidisciplinary nature of the research on energy access, a manual review of the abstracts of select publications corroborated this finding. Also, it shed light on how the literature has engaged with public policy and helped identify opportunities for broadening and deepening policy relevant research on energy access. We conclude that, despite their relevance to energy access, public policy perspectives have infrequently and unevenly informed existing research on the topic, and call on scholars in both communities to address this gap in the future.","","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:37fea6f7-0efe-442c-af9a-c8c5b43d123e","http://resolver.tudelft.nl/uuid:37fea6f7-0efe-442c-af9a-c8c5b43d123e","Stoichiometric model of a fully closed bioregenerative life support system for autonomous long-duration space missions","Vermeulen, A.C.J. (TU Delft System Engineering; SEADS (Space Ecologies Art and Design)); Papic, Alvaro (SEADS (Space Ecologies Art and Design)); Nikolic, I. (TU Delft System Engineering); Brazier, F.M. (TU Delft System Engineering)","","2023","Bioregenerative life support systems (BLSS) are vital for long-duration and remote space missions to increase mission sustainability. These systems break down human waste materials into nutrients and CO2 for plants and other edible organisms, which in turn provide food, fresh water, and oxygen for astronauts. The central idea is to create a materially closed loop, which can significantly reduce mission mass and volume by cutting down or even eliminating disposable waste. In most BLSS studies only a fraction of the resources, such as food, are provided by the system itself, with the rest taken on board at departure or provided through resupply missions. However, for autonomous long-duration space missions without any possibility of resupply, a BLSS that generates all resources with minimal or no material loss, is essential. The goal of this study is to develop a stoichiometric model of a conceptually fully closed BLSS that provides all the metabolic needs of the crew and organisms. The MELiSSA concept of the European Space Agency is used as reference system, consisting of five interconnected compartments, each inhabited by different types of organisms. A detailed review of publicly available MELiSSA literature from 1989 to 2022 revealed that no existing stoichiometric model met the study’s requirements. Therefore, a new stoichiometric model was developed to describe the cycling of the elements C, H, O, and N through all five MELiSSA compartments and one auxiliary compartment. A compact set of chemical equations with fixed coefficients was established for this purpose. A spreadsheet model simulates the flow of all relevant compounds for a crew of six. By balancing the dimensions of the different compartments, a high degree of closure is attained at steady state, with 12 out of 14 compounds exhibiting zero loss, and oxygen and CO2 displaying only minor losses between iterations. This is the first stoichiometric model of a MELiSSA-inspired BLSS that describes a continuous provision of 100% of the food and oxygen needs of the crew. The stoichiometry serves as the foundation of an agent-based model of the MELiSSA loop, as part of the Evolving Asteroid Starships (E|A|S) research project.","","en","journal article","","","","","","","","","","","System Engineering","","",""
"uuid:bd75bfa9-0186-45bb-a347-b5ff43e410f3","http://resolver.tudelft.nl/uuid:bd75bfa9-0186-45bb-a347-b5ff43e410f3","Uncertainties in wave-driven longshore sediment transport projections presented by a dynamic CMIP6-based ensemble","Zarifsanayei, Amin Reza (Griffith University); Antolínez, José A. Á. (TU Delft Coastal Engineering); Cartwright, Nick (Griffith University); Etemad-Shahidi, Amir (Edith Cowan University; Griffith University); Strauss, Darrell (Griffith University); Lemos, Gil (University of Lisbon); Semedo, Alvaro (IHE Delft Institute for Water Education); Kumar, Rajesh (Centre for Climate Research Singapore); Dobrynin, Mikhail (Deutscher Wetterdienst); Akpınar, Adem (Bursa Uludağ University)","","2023","In this study four experiments were conducted to investigate uncertainty in future longshore sediment transport (LST) projections due to: working with continuous time series of CSIRO CMIP6-driven waves (experiment #1) or sliced time series of waves from CSIRO-CMIP6-Ws and CSIRO-CMIP5-Ws (experiment #2); different wave-model-parametrization pairs to generate wave projections (experiment #3); and the inclusion/exclusion of sea level rise (SLR) for wave transformation (experiment #4). For each experiment, a weighted ensemble consisting of offshore wave forcing conditions, a surrogate model for nearshore wave transformation and eight LST models was used. The results of experiment # 1 indicated that the annual LST rates obtained from a continuous time series of waves were influenced by climate variability acting on timescales of 20-30 years. Uncertainty decomposition clearly reveals that for near-future coastal planning, a large part of the uncertainty arises from model selection and natural variability of the system (e.g., on average, 4% scenario, 57% model, and 39% internal variability). For the far future, the total uncertainty consists of 25% scenario, 54% model and 21% internal variability. Experiment #2 indicates that CMIP6 driven wave climatology yield similar outcomes to CMIP5 driven wave climatology in that LST rates decrease along the study area’s coast by less than 10%. The results of experiment #3 indicate that intra- and inter-annual variability of LST rates are influenced by the parameterization schemes of the wave simulations. This can increase the range of uncertainty in the LST projections and at the same time can limit the robustness of the projections. The inclusion of SLR (experiment #4) in wave transformation, under SSP1-2.6 and SSP5-8.5 scenarios, yields only meagre changes in the LST projections, compared to the case no SLR. However, it is noted that future research on SLR influence should include potential changes in nearshore profile shapes.","uncertainty in LST projections; climate change; CMIP6 CSIRO wave projections; ensemble modelling; coastal evolution","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:d46d2d51-e4b0-456a-a784-2d1d48b30c6d","http://resolver.tudelft.nl/uuid:d46d2d51-e4b0-456a-a784-2d1d48b30c6d","Risk Analysis of Laboratory Fire Accidents in Chinese Universities by Combining Association Rule Learning and Fuzzy Bayesian Networks","Yang, Fuqiang (Fuzhou University); Li, Xin (Fuzhou University); Yuan, S. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2023","Targeting the challenges in the risk analysis of laboratory fire accidents, particularly considering fire accidents in Chinese universities, an integrated approach is proposed with the combination of association rule learning, a Bayesian network (BN), and fuzzy set theory in this study. The proposed approach has the main advantages of deriving conditional probabilities of BN nodes based on historical accident data and association rules (ARs) and making good use of expert elicitation by using an augmented fuzzy set method. In the proposed approach, prior probabilities of the cause nodes are determined based on expert elicitation with the help of an augmented fuzzy set method. The augmented fuzzy set method enables the effective aggregation of expert opinions and helps to reduce subjective bias in expert elicitations. Additionally, an AR algorithm is applied to determine the probabilistic dependency between the BN nodes based on the historical accident data of Chinese universities and further derive conditional probability tables. Finally, the developed fuzzy Bayesian network (FBN) model was employed to identify critical causal factors with respect to laboratory fire accidents in Chinese universities. The obtained results show that H4 (bad safety awareness), O1 (improper storage of hazardous chemicals), E1 (environment with hazardous materials), and M4 (inadequate safety checks) are the four most critical factors inducing laboratory fire accidents.","laboratory fire accidents; Bayesian network; association rules; fuzzy set theory; fire safety","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:852323ed-f8b1-4cd2-b7fa-e916e23d7b6a","http://resolver.tudelft.nl/uuid:852323ed-f8b1-4cd2-b7fa-e916e23d7b6a","Towards affordable 3D physics-based river flow rating: application over the Luangwa River basin","Samboko, H.T. (TU Delft Water Resources); Schurer, S. (TU Delft Water Resources); Savenije, Hubert (TU Delft Water Resources); Makurira, Hodson (University of Zimbabwe); Kawawa, Banda (University of Zambia); Winsemius, H.C. (TU Delft Water Resources; Deltares; Rainbow Sensing)","","2023","Uncrewed aerial vehicles (UAVs), affordable precise global navigation satellite system hardware, multi-beam echo sounders, open-source 3D hydrodynamic modelling software, and freely available satellite data have opened up opportunities for a robust, affordable, physics-based approach to monitoring river flows. Traditional methods of river discharge estimation are based on point measurements, and heterogeneity of the river geometry is not contemplated. In contrast, a UAV-based system which makes use of geotagged images captured and merged through photogrammetry in order to generate a high-resolution digital elevation model (DEM) provides an alternative. This UAV system can capture the spatial variability in the channel shape for the purposes of input to a hydraulic model and hence probably a more accurate flow discharge. In short, the system can be used to produce the river geometry at greater resolution so as to improve the accuracy in discharge estimations. Three-dimensional hydrodynamic modelling offers a framework to establish relationships between river flow and state variables such as width and depth, while satellite images with surface water detection methods or altimetry records can be used to operationally monitor flows through the established rating curve. Uncertainties in the data acquisition may propagate into uncertainties in the relationships found between discharge and state variables. Variations in acquired geometry emanate from the different ground control point (GCP) densities and distributions used during photogrammetry-based terrain reconstruction. In this study, we develop a rating curve using affordable data collection methods and basic principles of physics. The basic principal involves merging a photogrammetry-based dry bathymetry and wet bathymetry measured using an acoustic Doppler current profiler (ADCP). The output is a seamless bathymetry which is fed into the hydraulic model so as to estimate discharge. The impact of uncertainties in the geometry on discharge estimation is investigated. The impact of uncertainties in satellite observation of depth and width is also analysed. The study shows comparable results between the 3D and traditional river rating discharge estimations. The rating curve derived on the basis of 3D hydraulic modelling was within a 95 % confidence interval of the traditional gauging-based rating curve. The 3D-hydraulic-model-based estimation requires determination of the roughness coefficient within the stable bed and the floodplain using field observation at the end of both the dry and wet season. Furthermore, the study demonstrates that variations in the density of GCPs beyond an optimal number have no significant influence on the resultant rating relationships. Finally, the study observes that which state variable approximation (water level and river width) is more accurate depends on the magnitude of the flow. Combining stage-appropriate proxies (water level when the floodplain is entirely filled and width when the floodplain is filling) in data-limited environments yields more accurate discharge estimations. The study was able to successfully apply advanced UAV and real-time kinematic positioning (RTK) technologies for accurate river monitoring through hydraulic modelling. This system may not be cheaper than in situ monitoring; however, it is notably more affordable than other systems such as crewed aircraft with lidar. In this study the calibration of the hydraulic model is based on surface velocity and the water depth. The validation is based on visual inspection of an RTK-based waterline. In future studies, a larger number of in situ gauge readings may be considered so as to optimize the validation process.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:abe04394-053f-44fc-9a79-52fe14bd1c22","http://resolver.tudelft.nl/uuid:abe04394-053f-44fc-9a79-52fe14bd1c22","Feasibility Study of Controlled-Source Electromagnetic Method for Monitoring Low-Enthalpy Geothermal Reservoirs","Eltayieb, M.F.M.I. (TU Delft Applied Geophysics and Petrophysics; Swiss Federal Institute of Technology Zürich); Werthmüller, D. (TU Delft Geoscience and Engineering); Drijkoningen, G.G. (TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","","2023","Tracking temperature changes by measuring the resulting resistivity changes inside low-enthalpy reservoirs is crucial to avoid early thermal breakthroughs and maintain sustainable energy production. The controlled-source electromagnetic method (CSEM) allows for the estimation of sub-surface resistivity. However, it has not yet been proven that the CSEM can monitor the subtle resistivity changes typical of low-enthalpy reservoirs. In this paper, we present a feasibility study considering the CSEM monitoring of 4–8 Ω·m resistivity changes in a deep low-enthalpy reservoir model, as part of the Delft University of Technology (TU Delft) campus geothermal project. We consider the use of a surface-to-borehole CSEM for the detection of resistivity changes in a simplified model of the TU Delft campus reservoir. We investigate the sensitivity of CSEM data to disk-shaped resistivity changes with a radius of 300, 600, 900, or 1200 m at return temperatures equal to 25, 30, …, 50 °C. We test the robustness of CSEM monitoring against various undesired effects, such as random noise, survey repeatability errors, and steel-cased wells. The modelled differences in the electric field suggest that they are sufficient for the successful CSEM detection of resistivity changes in the low-enthalpy reservoir. The difference in monitoring data increases when increasing the resistivity change radius from 300 to 1200 m or from 4 to 8 Ω·m. Furthermore, all considered changes lead to differences that would be detectable in CSEM data impacted by undesired effects. The obtained results indicate that the CSEM could be a promising geophysical tool for the monitoring of small resistivity changes in low-enthalpy reservoirs, which would be beneficial for geothermal energy production.","low-enthalpy reservoirs; TU Delft campus geothermal project; geothermal energy; sustainable utilization; CSEM monitoring; feasibility study","en","journal article","","","","","","","","","","Geoscience and Engineering","Applied Geophysics and Petrophysics","","",""
"uuid:db14b882-cbba-4023-9d99-0084b7f3bb36","http://resolver.tudelft.nl/uuid:db14b882-cbba-4023-9d99-0084b7f3bb36","De risico’s van chatGPT voor het verkrijgen van veiligheidsinformatie en -advies: Voor jou sammengevat","van Nunen, K.L.L. (TU Delft Safety and Security Science; Universiteit Antwerpen)","","2023","Een internationaal en multidisciplinair consortium onder leiding van onderzoekers van de TU Delft onderzocht de mogelijkheden van ChatGPT om veiligheidsgerelateerde adviezen te geven. De resultaten van dit onderzoek zijn te lezen in het artikel “The risks of using ChatGPT to obtain common safety-related information and advice”1. In wat volgt kan je een samenvatting van dit artikel lezen.","","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:c83bbded-69ce-4a9c-b74a-8e1dea9caa33","http://resolver.tudelft.nl/uuid:c83bbded-69ce-4a9c-b74a-8e1dea9caa33","Safety cultivation - An integrative approach to improve organisational safety culture: Samenvatting proefschrift","van Nunen, K.L.L. (TU Delft Safety and Security Science; Universiteit Antwerpen)","","2023","","","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:08f4a6dc-3033-44d6-a0d8-bd6ea3b74c89","http://resolver.tudelft.nl/uuid:08f4a6dc-3033-44d6-a0d8-bd6ea3b74c89","Heatwave vulnerability across different spatial scales: Insights from the Dutch built environment","Ahmed, Istiaque (TU Delft Urban Design); van Esch, M.M.E. (TU Delft Environmental Technology and Design); van der Hoeven, F.D. (TU Delft 100% Research; TU Delft Urban Design)","","2023","Heatwaves in urbanized areas, even in temperate regions like the Netherlands, are getting serious attention. The Royal Netherlands Meteorological Institute predicts more frequent and intense heat events in the future. Studies have explored how Dutch cities contribute to heatwaves and suggested design and planning responses to mitigate their effects. However, a review of heatwave research in the Netherlands specifically focusing on the built environment has hardly been reported in the literature. This study aims to provide such a review utilizing the vulnerability framework. Following the PRISMA protocol, 57 articles are analysed based on the components of exposure, sensitivity, and adaptive capacity within the vulnerability framework. Subsequently, findings have been classified into five built environment scales - block, neighbourhood, district, city, and region - to critically reflect upon the extent to which the studies address various vulnerability components and the specific scales they primarily focus on. Results demonstrate that most of the studies concentrate on the hazard itself and its spatial distribution from a macro perspective on a city and regional scale. The review underlines the necessity of micro-level research on the phenomena, incorporating people's everyday experiences and resilience during heat events to find context-specific adaptation and mitigation strategies.","Heatwave Hazard; Vulnerability; Built environment; the Netherlands","en","journal article","","","","","","","","","","","Urban Design","","",""
"uuid:5f1280f0-2190-493c-a057-3611b0bb3058","http://resolver.tudelft.nl/uuid:5f1280f0-2190-493c-a057-3611b0bb3058","Three-Dimensional Mapping of Habitats Using Remote-Sensing Data and Machine-Learning Algorithms","Amani, Meisam (Henan Polytechnic University; WSP Global Inc.); Foroughnia, Fatemeh (TU Delft Geo-engineering); Moghimi, Armin (Leibniz University Hannover); Mahdavi, Sahel (WSP Global Inc.); Jin, Shuanggen (Chinese Academy of Sciences; Henan Polytechnic University)","","2023","Progress toward habitat protection goals can effectively be performed using satellite imagery and machine-learning (ML) models at various spatial and temporal scales. In this regard, habitat types and landscape structures can be discriminated against using remote-sensing (RS) datasets. However, most existing research in three-dimensional (3D) habitat mapping primarily relies on same/cross-sensor features like features derived from multibeam Light Detection And Ranging (LiDAR), hydrographic LiDAR, and aerial images, often overlooking the potential benefits of considering multi-sensor data integration. To address this gap, this study introduced a novel approach to creating 3D habitat maps by using high-resolution multispectral images and a LiDAR-derived Digital Surface Model (DSM) coupled with an object-based Random Forest (RF) algorithm. LiDAR-derived products were also used to improve the accuracy of the habitat classification, especially for the habitat classes with similar spectral characteristics but different heights. Two study areas in the United Kingdom (UK) were chosen to explore the accuracy of the developed models. The overall accuracies for the two mentioned study areas were high (91% and 82%), which is indicative of the high potential of the developed RS method for 3D habitat mapping. Overall, it was observed that a combination of high-resolution multispectral imagery and LiDAR data could help the separation of different habitat types and provide reliable 3D information.","habitat mapping; remote sensing; satellite imagery; LiDAR; 3D mapping","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:2d4393c6-a002-411a-b32e-7d0ac1fb744b","http://resolver.tudelft.nl/uuid:2d4393c6-a002-411a-b32e-7d0ac1fb744b","War, Reciprocity and the Moral Equality of Combatants","Miller, S.R.M. (TU Delft Ethics & Philosophy of Technology; Charles Sturt University; University of Oxford)","","2023","In this article I address differences between myself and Uwe Steinhoff in relation to the moral principle of reciprocity and its implications for the doctrine of the moral equality of combatants. Whereas I agree with Steinhoff that there is a principle of reciprocity in play in war, contra Steinhoff, I suggest that this principle and, indeed, moral principles of reciprocity more generally, are particularist principles, although if conventionalised or given legal status they can assume a generalised form. Moreover, I also hold that there is a moral difference between those fighting a just war and those fighting an unjust war and this difference, taken in conjunction with the moral equality of combatants doctrine, generates a degree of moral complexity that seems to have gone unrecognised by Steinhoff (and, for that matter, by the two dominant schools of thought in this area, revisionists and Walzerians).","","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:6dbf9e23-507c-4e58-a4f6-27ccd6767923","http://resolver.tudelft.nl/uuid:6dbf9e23-507c-4e58-a4f6-27ccd6767923","The Pattern Language Approach as a Bridge Connecting Formal and Informal Urban Planning Practices in Africa","Namwanje, Priscilla (Makerere University); Muñoz Sanz, V. (TU Delft Urban Design); Rocco, Roberto (TU Delft Spatial Planning and Strategy)","","2023","This article explores the use of the pattern language approach in bridging the gap between formal and informal urban planning practices in the African context. This study focuses on a case application within the urbanised region encompassing the Nakivubo wetland located in Kampala, Uganda. As in other cities in Africa with a colonial past, Kampala’s planning system signals a profound gap between a technocratic, European paradigms-based type of planning and the everyday practices of citizens. This results in a “dual city,” with formal and informal communities using resources and spaces differently, leading to spatial segregation and non-implementation of urban plans. To overcome this challenge, the pattern language approach is utilised in this research to link formal and informal practices through facilitating meaningful community participation and integrating tacit knowledge into the planning process. To achieve this, the researchers conducted fieldwork and interacted with the local community in informal settlements to develop informal patterns, while analysing the history and current organisation of formal planning institutions in Kampala to formulate formal patterns. The patterns were used as input for a community workshop, which resulted in a pattern language of wetland management practices and a framework that begins to bridge both formal and informal domains of urban practice. By using the pattern language approach as a tool to understand informal practices and their possible incorporation into a planning process that captures the needs of citizens, this research offers relevant insights into achieving sustainable and inclusive urban environments.","community participation; formal practices; Kampala; informal practices; informal settlements; pattern language","en","journal article","","","","","","","","","","","Urban Design","","",""
"uuid:f30423a5-5a9e-4c13-9fc4-3cd92fae5067","http://resolver.tudelft.nl/uuid:f30423a5-5a9e-4c13-9fc4-3cd92fae5067","Stable water isotopes and tritium tracers tell the same tale:: no evidence for underestimation of catchment transit times inferred by stable isotopes in StorAge Selection (SAS)-function models","Wang, S. (TU Delft Water Resources); Hrachowitz, M. (TU Delft Water Resources); Schoups, G.H.W. (TU Delft Water Resources); Stumpp, Christine (BOKU-University of Natural Resources and Life Sciences)","","2023","Stable isotopes (I18O) and tritium (3H) are frequently used as tracers in environmental sciences to estimate age distributions of water. However, it has previously been argued that seasonally variable tracers, such as I18O, generally and systematically fail to detect the tails of water age distributions and therefore substantially underestimate water ages as compared to radioactive tracers such as 3H. In this study for the Neckar River basin in central Europe and based on a >20-year record of hydrological, I18O and 3H data, we systematically scrutinized the above postulate together with the potential role of spatial aggregation effects in exacerbating the underestimation of water ages. This was done by comparing water age distributions inferred from I18O and 3H with a total of 21 different model implementations, including time-invariant, lumped-parameter sine-wave (SW) and convolution integral (CO) models as well as StorAge Selection (SAS)-function models (P-SAS) and integrated hydrological models in combination with SAS functions (IM-SAS). We found that, indeed, water ages inferred from I18O with commonly used SW and CO models are with mean transit times (MTTs) of g1/4g1-2 years substantially lower than those obtained from 3H with the same models, reaching MTTs of g1/410 years. In contrast, several implementations of P-SAS and IM-SAS models not only allowed simultaneous representations of storage variations and streamflow as well as I18O and 3H stream signals, but water ages inferred from I18O with these models were, with MTTs of g1/4g11-17 years, also much higher and similar to those inferred from 3H, which suggested MTTs of g1/4g11-13 years. Characterized by similar parameter posterior distributions, in particular for parameters that control water age, P-SAS and IM-SAS model implementations individually constrained with I18O or 3H observations exhibited only limited differences in the magnitudes of water ages in different parts of the models and in the temporal variability of transit time distributions (TTDs) in response to changing wetness conditions. This suggests that both tracers lead to comparable descriptions of how water is routed through the system. These findings provide evidence that allowed us to reject the hypothesis that I18O as a tracer generally and systematically ""cannot see water older than about 4 years""and that it truncates the corresponding tails in water age distributions, leading to underestimations of water ages. Instead, our results provide evidence for a broad equivalence of I18O and 3H as age tracers for systems characterized by MTTs of at least 15-20 years. The question to which degree aggregation of spatial heterogeneity can further adversely affect estimates of water ages remains unresolved as the lumped and distributed implementations of the IM-SAS model provided inconclusive results. Overall, this study demonstrates that previously reported underestimations of water ages are most likely not a result of the use of I18O or other seasonally variable tracers per se. Rather, these underestimations can largely be attributed to choices of model approaches and complexity not considering transient hydrological conditions next to tracer aspects. Given the additional vulnerability of time-invariant, lumped SW and CO model approaches in combination with I18O to substantially underestimate water ages due to spatial aggregation and potentially other still unknown effects, we therefore advocate avoiding the use of this model type in combination with seasonally variable tracers if possible and instead adopting SAS-based models or time-variant formulations of CO models.","","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:fb154a8f-e65b-4b17-88f2-9541cd2d5f49","http://resolver.tudelft.nl/uuid:fb154a8f-e65b-4b17-88f2-9541cd2d5f49","Enhancing water access monitoring through mapping multi-source usage and disaggregated geographic inequalities with machine learning and surveys","Geleijnse, J. (TU Delft Sanitary Engineering; Unicef); Rutten, M.M. (TU Delft Water Resources); de Villiers, D.J. (TU Delft Sanitary Engineering); Bamwenda, James Tayebwa (Makerere University); Abraham, E. (TU Delft Water Resources)","","2023","Monitoring safe water access in developing countries relies primarily on household health survey and census data. These surveys are often incomplete: they tend to focus on the primary water source only, are spatially coarse, and usually happen every 5-10 years, during which significant changes can happen in urbanisation and infrastructure provision, especially in sub Saharan Africa. In this work, we present a data-driven approach that utilises and compliments survey based data of water access, to provide context-specific and disaggregated monitoring. The level of access to improved water and sanitation has been shown to vary with geographical inequalities related to the availability of water resources and terrain, population density and socio-economic determinants such as income and education. We use such data and successfully predict the level of water access in areas for which data is lacking, providing spatially explicit and community level monitoring possibilities for mapping geographical inequalities in access. This is showcased by applying three machine learning models that use such geographical data to predict the number of presences of water access points of eight different access types across Uganda, with a 1km by 1km grid resolution. Two Multi-Layer-Perceptron (MLP) models and a Maximum Entropy (MaxEnt) model are developed and compared, where the former are shown to consistently outperform the latter. The best performing Neural Network model achieved a True Positive Rate of 0.89 and a False Positive Rate of 0.24, compared to 0.85 and 0.46 respectively for the MaxEnt model. The models improve on previous work on water point modeling through the use of neural networks, in addition to introducing the True Positive - and False Positive Rate as better evaluation metrics to also assess the MaxEnt model. We also present a scaling method to move from predicting only the relative probability of water point presences, to predicting the absolute number of presences. To challenge both the model results and the more standard health surveys, a new household level survey is carried out in Bushenyi, a mid-sized town in the South-West of Uganda, asking specifically about the multitude of water sources. On average Bushenyi households reported to use 1.9 water sources. The survey further showed that the actual presence of a source, does not always imply that it is used. Therefore it is no option to rely solely on models for water access monitoring. For this, household surveys remain necessary but should be extended with questions on the multiple sources that are used by households.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:058270bc-d7ad-403e-94de-5b19563cf0fb","http://resolver.tudelft.nl/uuid:058270bc-d7ad-403e-94de-5b19563cf0fb","Decision Support Framework for Optimal Reservoir Operation to Mitigate Cyanobacterial Blooms in Rivers","Kim, J. (TU Delft Water Resources; IHE Delft Institute for Water Education; K-water); Jonoski, Andreja (IHE Delft Institute for Water Education); Solomatine, D.P. (TU Delft Water Resources; IHE Delft Institute for Water Education); Goethals, Peter L. M. (Universiteit Gent)","","2023","Flow control flushing water from reservoirs has been imposed in South Korea for mitigating harmful cyanobacterial blooms (CyanoHABs) in rivers. This measure, however, can cause water shortage in reservoirs, as the measure adopting this flow control may require an additional amount of water which exceeds the water demand allocated to the reservoirs. In terms of sustainability, a trade-off between improving water quality and alleviating water shortage needs to be considered. This study aimed at establishing a practical framework for a decision support system for optimal joint operation of the upstream reservoirs (Andong and Imha) to reduce the frequency of CyanoHABs in the Nakdong River, South Korea. Methodologically, three models were introduced: (1) a machine learning model (accuracy 88%) based on the k-NN (k-Nearest Neighbor) algorithm to predict the occurrence of CyanoHABs at a selected downstream location (the Chilgok Weir located approximately 140 km downstream from the Andong Dam), (2) a multiobjective optimization model employing NSGA-II (Nondominated Sorting Genetic Algorithm II) to determine both the quantity and quality of water released from the reservoirs, and (3) a river water quality model (R2 0.79) using HEC-RAS to simulate the water quality parameter at Chilgok Weir according to given upstream boundary conditions. The applicability of the framework was demonstrated by simulation results using observational data from 2015 to 2019. The simulation results based on the framework confirmed that the frequency of CyanoHABs would be decreased compared with the number of days when CyanoHABs were observed at Chilgok Weir. This framework, with a combination of several models, is a novelty in terms of efficiency, and it can be a part of a solution to the problem of CyanoHABs without using an additional amount of water from a reservoir.","harmful cyanobacterial blooms; reservoir operation; framework; machine learning model; river water quality model","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:376e3de2-43f9-4acc-9574-4f8dbbdba434","http://resolver.tudelft.nl/uuid:376e3de2-43f9-4acc-9574-4f8dbbdba434","Missão Covid-19: Potenciais e limites para a construção de uma Política de Inovação Orientada a Missões","de Oliveira Santos, Guilherme (Universidade Federal do Rio de Janeiro); Penna, C.C.R. (TU Delft Economics of Technology and Innovation); Lebre La Rovere, Renata (Universidade Federal do Rio de Janeiro)","","2023","This article aims to analyze whether federal Science, Technology and Innovation
initiatives to tackle the Covid-19 pandemic in Brazil have the potential to be structured as a Mission-Oriented Policies (MOP). A qualitative research was carried out divided into five stages. Despite having valuable assets, the weakening and lack of mobilization of different capacities has severely restricted the possibilities of building a POM anchored in the mapped C, T & I initiatives.","mission-oriented policy; Covid-19; institutional capacity; public policy","pt","journal article","","","","","","","","","","","Economics of Technology and Innovation","","",""
"uuid:6e1cb924-6f47-4c46-b7d6-9fd13a6657d1","http://resolver.tudelft.nl/uuid:6e1cb924-6f47-4c46-b7d6-9fd13a6657d1","A Mini Review of Ceramic-Based MOF Membranes for Water Treatment","Wang, Xueling (Zhengzhou University); Wang, Man (Zhengzhou University); Chen, M. (TU Delft Sanitary Engineering); Zhang, Yatao (Zhengzhou University)","","2023","Ceramic membranes have been increasingly employed in water treatment owing to their merits such as high-stability, anti-oxidation, long lifespan and environmental friendliness. The application of ceramic membranes mainly focuses on microfiltration and ultrafiltration processes, and some precise separation can be achieved by introducing novel porous materials with superior selectivity. Recently, metal–organic frameworks (MOFs) have developed a wide spectrum of applications in the fields of the environment, energy, water treatment and gas separation due to the diversity and tunable advantages of metal clusters and organic ligands. Although the issue of water stability in MOF materials inhibits the development of MOF membranes in water treatment, researchers still overcome many obstacles to advance the application of MOF membranes in water treatment processes. To the best of our knowledge, there is still a lack of a reviews on the development process and prospects of ceramic-based MOF membranes for water treatment. Therefore, in this review, we mainly summarize the fabrication method for ceramic-based MOF membranes and their application in water treatment, such as water/salt separation, pollutant separation, heavy metal separation, etc. Following this, based on the high structural, thermal and chemical stability of ceramic substrates, and the high controllability of MOF materials, the superiority and insufficient use of ceramic-based MOF membranes in the field of water treatment are critically discussed.","ceramic membrane; metal–organic framework; water treatment; fabrication method","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:11b2abc9-c5f3-4291-aa2d-1ba116d57dd8","http://resolver.tudelft.nl/uuid:11b2abc9-c5f3-4291-aa2d-1ba116d57dd8","Shrinking the Variance in Experts’ “Classical” Weights Used in Expert Judgment Aggregation","Dharmarathne, Gayan (University of Colombo); Nane, G.F. (TU Delft Applied Probability); Robinson, Andrew (University of Melbourne); Hanea, Anca M. (University of Melbourne)","","2023","Mathematical aggregation of probabilistic expert judgments often involves weighted linear combinations of experts’ elicited probability distributions of uncertain quantities. Experts’ weights are commonly derived from calibration experiments based on the experts’ performance scores, where performance is evaluated in terms of the calibration and the informativeness of the elicited distributions. This is referred to as Cooke’s method, or the classical model (CM), for aggregating probabilistic expert judgments. The performance scores are derived from experiments, so they are uncertain and, therefore, can be represented by random variables. As a consequence, the experts’ weights are also random variables. We focus on addressing the underlying uncertainty when calculating experts’ weights to be used in a mathematical aggregation of expert elicited distributions. This paper investigates the potential of applying an empirical Bayes development of the James–Stein shrinkage estimation technique on the CM’s weights to derive shrinkage weights with reduced mean squared errors. We analyze 51 professional CM expert elicitation studies. We investigate the differences between the classical and the (new) shrinkage CM weights and the benefits of using the new weights. In theory, the outcome of a probabilistic model using the shrinkage weights should be better than that obtained when using the classical weights because shrinkage estimation techniques reduce the mean squared errors of estimators in general. In particular, the empirical Bayes shrinkage method used here reduces the assigned weights for those experts with larger variances in the corresponding sampling distributions of weights in the experiment. We measure improvement of the aggregated judgments in a cross-validation setting using two studies that can afford such an approach. Contrary to expectations, the results are inconclusive. However, in practice, we can use the proposed shrinkage weights to increase the reliability of derived weights when only small-sized experiments are available. We demonstrate the latter on 49 post-2006 professional CM expert elicitation studies.","shrinkage estimation; James–Stein; performance weights; classical model; structured expert judgment","en","journal article","","","","","","","","","","","Applied Probability","","",""
"uuid:d851470b-3d9f-4d37-a1e8-f14620224026","http://resolver.tudelft.nl/uuid:d851470b-3d9f-4d37-a1e8-f14620224026","Applying a logistic growth equation to model flocculation of sediment in the presence of living and dead organic matter","Safar, Z. (TU Delft Environmental Fluid Mechanics); Deng, Zhirui (Guangdong Research Institute of Water Resources and Hydropower); Chassagne, C. (TU Delft Environmental Fluid Mechanics)","","2023","In the present study, we aim to parameterize a flocculation model, based on a logistic growth equation, by conducting laboratory experiments. The flocculation experiments are performed using two types of natural sediments and different flocculating agents: salt (monovalent and divalent), extracellular polymeric substances, and living and dead microalgae Skeletonema costatum. It was found that the median size of flocs (D50) did not exceed the Kolmogorov microscale when salt-induced flocculation was performed (in the absence of organic matter), which is in line with previous studies. Flocs with organic matter reach sizes that are larger than the Kolmogorov microscale, and both their growth and steady-state size are salinity-dependent. In particular, divalent salts are shown to promote flocculation of sediment to organic matter. The logistic growth model can be used to study either the evolution of a class volume concentration as function of time or the change in size of a given class as function of time. The fine particle volume concentration decreases in time, whereas the coarse particle volume concentration increases, during the flocculation process. The mass balance between the two classes as defined by Chassagne and Safar (Modelling flocculation: Towards an integration in large-scale sediment transport models. Marine Geology. 2020 Dec 1;430:106361) is estimated.","logistic growth; flocculation; population balance equation; clay; EPS; microalgae","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:5ebac3a0-1461-4787-b0b7-1d2a19cbc9a3","http://resolver.tudelft.nl/uuid:5ebac3a0-1461-4787-b0b7-1d2a19cbc9a3","Characterization and Design of Circular Binders","Hendrikse, Hans C. (Latexfalt B.V.); El Khallabi, Hamza (Hogeschool Utrecht); Hartog, Thomas (Latexfalt B.V.); Varveri, Aikaterini (TU Delft Pavement Engineering); Tolboom, Anthon (Latexfalt B.V.)","","2023","The concept of a circular economy, where waste materials are transformed into valuable resources, is gaining increasing attention. However, many waste streams are difficult to recycle due to their mixed composition and broad molecular distribution. This paper explores the potential of repurposing mixed materials, specifically focusing on creating a circular alternative to bitumen, a fossil-based binder used in road construction. The molecular weight and composition of bitumen are analyzed using gas chromatography (GC) and infrared spectroscopy (IR). This study proposes using waste plastics and bio-based oils to develop a paving binder with similar molecular distribution. Various plastic types, such as low-density polyethylene (LDPE), high-density polyethylene (HDPE), isotactic polypropylene (PP), polystyrene (PS) and polyethylene terephthalate (PET), are examined for their compatibility with different oils. It is observed that the compatibility of both the molecular weight and composition between the plastic and oil is crucial for the successful dissolution and homogeneity of the binder. Additionally, the crystallinity of the plastic plays a role in the flexibility and durability of the resulting binder. It is demonstrated that by carefully selecting waste materials and understanding their molecular characteristics, it is possible to create circular alternatives to fossil-based materials like bitumen. This approach has the potential to reduce waste, lower dependence on fossil resources, and contribute to sustainable and circular construction materials.","bitumen alternative; circular binder; gas chromatography; waste plastic; tall oil pitch; molecular distribution","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:aeab7ba5-0b93-41a7-9c6e-a8118bf5946f","http://resolver.tudelft.nl/uuid:aeab7ba5-0b93-41a7-9c6e-a8118bf5946f","Food in motion: Lively display of freshness or last spasms of living beings?","Schifferstein, Hendrik N.J. (TU Delft Design Aesthetics); Lemke, M. (TU Delft Design Aesthetics); Huisman, G. (TU Delft Human Information Communication Design)","","2023","The movement of food may suggest the food is very fresh but may also indicate the source of food is still alive. In this study, we explore the responses that different kinds of food movements can evoke among consumers. In an online study, we presented participants with 14 videos in which a food product changed shape or moved, before or while being eaten. They rated their emotional responses to the food (disgust, fear, fascination), their tendency to empathize with the beings in the video, characteristics of the movements, and how they experienced the food. Most foods that moved in the videos elicited more disgust than expected for those food items. Many product aspects that elicited disgust also evoked empathy, while fascination showed opposite patterns. Products elicited empathy and disgust when they seemed to be alive and potentially harmful, and their movements were twitchy. Participants empathized mainly with larger animals, while disgust was particularly high for smaller animals like maggots in cheese and crawling coconut worms. People became fascinated with foods they found safe, nutritious, and that looked attractive, while the food movements were subtle and looked natural with the food. These results showed that the movements of foods that appeared to be alive were different from what was considered natural for the food, and so they also evoked different emotional responses.","Movement; Aliveness; Liveliness; Insect foods; Fascination; Empathy; Fear; Disgust","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:f3de86bd-2767-4e35-bed8-98923bdb9b5f","http://resolver.tudelft.nl/uuid:f3de86bd-2767-4e35-bed8-98923bdb9b5f","Sustainable development goals as accountability mechanism? A case study of Dutch infrastructure agencies","Wagner, Ben (TU Delft Organisation & Governance; Inholland University of Applied Sciences); de Gooyert, Vincent (Radboud Universiteit Nijmegen); Veeneman, Wijnand (TU Delft Organisation & Governance)","","2023","The UN sustainable development goals (SDGs) were adopted by the United Nations as a “universal call to action to end poverty, protect the planet, and ensure that by 2030 all people enjoy peace and prosperity.” These goals prove to have a strong appeal to managers in both public and private sectors. Despite the popularity of the goals, little is known about the consequences (intended and unintended, desired and undesired) of organisations adopting SDGs in their management practices. Therefore, our research question is: “What is the potential role of SDGs as an accountability mechanism?” The following article will study how organisations responsible for technological infrastructures in the Netherlands use the SDGs as an accountability mechanism. To do this, the authors will first provide an overview of the SDGs and how we conceptualize accountability. We will then present our case study methodology before looking at a single case study based on interviews with employees from three organizations running infrastructure in the Netherlands. Based on this case study, we will provide a broader analysis of the key tensions that are becoming apparent when using the SDGs as an accountability mechanism. In conclusion, we will argue that the SDGs may provide a valuable tool to make organizations more accountable to societal needs, however further shifts are needed in the way the accountability mechanisms are designed in order to ensure meaningful accountability.","Accountability; Infrastructure governance; Responsible technology; Sustainable development goals; Technology governance","en","journal article","","","","","","","","","","","Organisation & Governance","","",""
"uuid:abe40181-f1ba-4797-9c21-26f182c6b102","http://resolver.tudelft.nl/uuid:abe40181-f1ba-4797-9c21-26f182c6b102","On the periodic motions of a one-degree-of-freedom oscillator","Kooij, Robert (TU Delft Network Architectures and Services; Unit ICT; DIANA FEA); Zegeling, André (Guangxi Normal University)","","2023","We present a mechanical model for an oscillator with one degree of freedom under the influence of a flowing medium. Under fairly general conditions we show that the ensuing differential equation has at most two limit cycles and we give examples where exactly two limit cycles will occur. The implications of this result are that it is possible for a system of this kind to exhibit galloping even when the so-called Den Hartog criterion of local instability is not satisfied.","Galloping; Limit cycles; Liénard system; Wind-induced vibrations","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:40cd3659-a1a9-43ff-9aa9-fa5bf427c8bd","http://resolver.tudelft.nl/uuid:40cd3659-a1a9-43ff-9aa9-fa5bf427c8bd","Hybrid Energy Storage System Based on a Multioutput Multilevel Converter","Lizana, Ricardo (Universidad Católica de la Santísima Concepción); Rivera, Sebastian (TU Delft Electrical Sustainable Energy; TU Delft DC systems, Energy conversion & Storage; Universidad Católica de la Santísima Concepción); Figueroa, Fidel (Universidad Católica de la Santísima Concepción); Flores-Bahamonde, Freddy (Universidad Andres Bello); Rodriguez, Jose (Universidad San Sebastian Santiago); Goetz, Stefan M. (University of Cambridge; Duke University)","","2023","Energy storage systems (ESSs) allow improving the stability and efficiency of the electrical grids with a high penetration of renewable energy sources. Moreover, the use of Hybrid ESSs (HESSs) enables storage solutions with both high-energy and high-power densities, by combining different storage technologies such as diverse battery chemistries, ultracapacitors, or hydrogen fuel cells to name a few. In this article, an HESS-based multioutput multilevel (MOM) converter is presented. The proposed topology enables decoupled control of each ac converter voltage output. The internal switching states further allow the use of different storage units and high-quality multilevel voltage in each ac output. The mathematical model of the proposed topology and the defined operation region of the system, besides a model-predictive control strategy, are developed. Finally, simulation and experimental results validate the performance of the proposed topology.","Energy storage system (ESS); modular multilevel series parallel converter (MMSPC); multioutput multilevel (MOM) converter","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-19","","Electrical Sustainable Energy","DC systems, Energy conversion & Storage","","",""
"uuid:2b22ce3d-6d85-45df-9371-86bd0a50af6f","http://resolver.tudelft.nl/uuid:2b22ce3d-6d85-45df-9371-86bd0a50af6f","Performance measurement in project management","Bosch-Rekveldt, M.G.C. (TU Delft Integral Design & Management); Bourne, Mike (Cranfield University); Forster, Rick (Cranfield University); Kirkham, Richard (The University of Manchester); Pesämaa, Ossi (Luleå University of Technology)","","2023","","","en","journal article","","","","","","","","","","","Integral Design & Management","","",""
"uuid:98dce95a-1860-494b-92b7-0c3c528aa7a5","http://resolver.tudelft.nl/uuid:98dce95a-1860-494b-92b7-0c3c528aa7a5","Urban political overrepresentation and access to public funding for municipalities in the Netherlands","Koreman, M.C.J. (TU Delft Urban Development Management)","","2023","Advantageous positions for politically overrepresented groups and rural political discontent are widely debated in academia. However, the role urban political overrepresentation may have in benefiting urban citizens and as an explanation for rural political discontent has hitherto received little attention. This paper addresses urban overrepresentation within national politics and suggests how this, in turn, engenders favorable policies for extremely urbanized municipalities. The paper refers to the Dutch context to illustrate how urban political overrepresentation operates, the access that municipalities with different degrees of urbanization have to public funding, and how they profit from the region deals between 2017 and 2020. The most urbanized municipalities in the Netherlands are found to be politically overrepresented at the national level and have relatively good access to public funding. This is likely to produce benefits for these municipalities and their inhabitants. This paper discusses how these benefits may be an explanation for political discontent in other municipalities.","Urban political overrepresentation; Local public finance; Distributive politics; Rural resentment; Region deals; The Netherlands","en","journal article","","","","","","","","","","","Urban Development Management","","",""
"uuid:0b48bd05-fe9b-428f-9801-2262bc8615da","http://resolver.tudelft.nl/uuid:0b48bd05-fe9b-428f-9801-2262bc8615da","Automated noise modelling using a triangulated terrain model","Hobeika, N. (TU Delft Urban Data Science); van Rijssel, L.N. (Student TU Delft); Prusti, M.K. (Student TU Delft); Dinklo, C.J.T. (Student TU Delft); Giannelli, D. (Student TU Delft); Dukai, B. (TU Delft Urban Data Science; 3DGI); Kok, Arnaud (Rijksinstituut voor Volksgezondheid en Milieu (RIVM)); van Loon, Rob (Rijksinstituut voor Volksgezondheid en Milieu (RIVM)); Nota, René (Ministerie van Infrastructuur en Waterstaat); Stoter, J.E. (TU Delft Urban Data Science)","","2023","Noise simulations are an important part of noise studies that investigate the impact of noise sources on the environment. In noise simulation, noise levels at receiver points are calculated based on the noise propagation paths between the receiver and source points. These paths are derived from the height of the terrain. In current calculation approaches implemented in noise simulation software, 3D polylines are used as input to describe the height of the terrain. These 3D polylines are semi-automatically generated to meet the highly demanding computing performance of simulation software. In addition, previous research showed that the reconstruction of appropriate height lines as used in noise simulation is very difficult to automate, if not impossible As a solution, this research investigates how noise propagation paths between receiver and source points can directly be generated from a Triangulate Irregular Network (TIN) without creating the height lines. This would allow us to use the automatically generated TIN as input for noise simulation instead of the height lines. In addition, a TIN enables better control of the quality of the data than height lines do. This study uses the 3D noise modeling guidelines of Common Noise Assessment Methods in Europe (CNOSSOS-EU). Algorithms have been developed and implemented in a prototype to generate and validate the paths between receiver and source points using a TIN that includes the buildings as well as the noise absorption properties of the terrain. The prototype is successfully tested on two scenarios from the Netherlands. Since CNOSSOS-EU guidelines were used, the prototype is applicable to the entire European Union and can be the first step in improving the automation of 3D noise modeling using currently available techniques and data.","Noise modelling; geomatics; TIN; CNOSSOS EU Directive on Noise Assessment; 3D urban applications; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:fb34e02f-bf38-4c90-a7bf-5d2ff35fe89a","http://resolver.tudelft.nl/uuid:fb34e02f-bf38-4c90-a7bf-5d2ff35fe89a","Facile synthesis of ZnIn2S4/Cu2O hierarchical heterostructures for enhanced selectivity and sensitivity of NH3 gas at room temperature","Bedala, Kranthi Kumar (Osmania University); Gonugunta, P. (TU Delft Team Peyman Taheri); Soleimani, M. (TU Delft Team Peyman Taheri); Mádai, E. (TU Delft Team Peyman Taheri); Taheri, P. (TU Delft Team Peyman Taheri); Padamati, Sandeep Kumar (University College London (UCL)); Nagaraju, P. (Srinidhi University); Upender, G. (Osmania University); Vijaya Kumar, B. (Osmania University)","","2023","The development of an effective and reliable sensor with the capability to detect ammonia (NH3) gas at room temperature exerts a significant influence on the sensor industry. The gas sensing performance is notably improved by the formation of a heterostructure between metal oxide with metal sulfides. In this study, pure ZnIn2S4 (ZIS), Cu2O and heterostructures of ZIS with 5, 10 and 20 wt% of Cu2O were successfully prepared using hydrothermal, co-precipitation and heat treatment methods, respectively. A thorough investigation has been carried out to examine the sensing capabilities of all the materials upon exposure to NH3 with different concentrations (1, 5, 10, 15, 20, 25 and 50 ppm) at room temperature (RT). Impressively, the composite material 0.9ZnIn2S4/0.1Cu2O (ZIS-10) has exhibited remarkable gas sensitivity compared to pristine ZIS and Cu2O towards 25 ppm NH3, low limit of detection (1 ppm) with fast response/recovery times (37/25 sec). The improved performance of the ZIS-10 composite sensor may be ascribed to the synergistic effect between ZIS and Cu2O, which facilitates the electron transfer from ZIS to the Cu2O at the interface. The plausible gas-sensing mechanism and the pathways responsible for enhanced sensing are also discussed in detail.","Chemoresistance; CuO; HRTEM; NH sensor; p-n heterojunction; ZnInS","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-22","","","Team Peyman Taheri","","",""
"uuid:9452de84-6177-473d-ae36-49b083268ce8","http://resolver.tudelft.nl/uuid:9452de84-6177-473d-ae36-49b083268ce8","Robust Lane Detection Through Self Pre-Training With Masked Sequential Autoencoders and Fine-Tuning With Customized PolyLoss","Li, Ruohan (Villanova University); Dong, Y. (TU Delft Transport and Planning)","","2023","Lane detection is crucial for vehicle localization which makes it the foundation for automated driving and many intelligent and advanced driving assistant systems. Available vision-based lane detection methods do not make full use of the valuable features and aggregate contextual information, especially the interrelationships between lane lines and other regions of the images in continuous frames. To fill this research gap and upgrade lane detection performance, this paper proposes a pipeline consisting of self pre-training with masked sequential autoencoders and fine-tuning with customized PolyLoss for the end-to-end neural network models using multi-continuous image frames. The masked sequential autoencoders are adopted to pre-train the neural network models with reconstructing the missing pixels from a random masked image as the objective. Then, in the fine-tuning segmentation phase where lane detection segmentation is performed, the continuous image frames are served as the inputs, and the pre-trained model weights are transferred and further updated using the backpropagation mechanism with customized PolyLoss calculating the weighted errors between the output lane detection results and the labeled ground truth. Extensive experiment results demonstrate that, with the proposed pipeline, the lane detection model performance on both normal and challenging scenes can be advanced beyond the state-of-the-art, delivering the best testing accuracy (98.38%), precision (0.937), and F1-measure (0.924) on the normal scene testing set, together with the best overall accuracy (98.36%) and precision (0.844) in the challenging scene test set, while the training time can be substantially shortened.","deep neural network; Feature extraction; Image reconstruction; Image segmentation; Lane detection; masked sequential autoencoders; Neural networks; Pipelines; PolyLoss; self pre-training; Task analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-22","","","Transport and Planning","","",""
"uuid:96c4ccec-5ab6-4a9f-9656-6d9805f1e9c1","http://resolver.tudelft.nl/uuid:96c4ccec-5ab6-4a9f-9656-6d9805f1e9c1","Buckling assessment of GFRP and carbon fiber-reinforced plastic filament-wound tubes using an acoustic emission-based methodology","Alimirzaei, Sajad (Amirkabir University of Technology); Ahmadi Najafabadi, Mehdi (Amirkabir University of Technology); Bani Mohammad Ali, Amir (Amirkabir University of Technology); Pahlavan, Lotfollah (TU Delft Ship and Offshore Structures)","","2023","The aim of this research is to investigate the failure mechanisms of the filament-wound composite tubes under axial compressional loading by using an acoustic emission approach. First, the mechanical properties of ±45°C composite tubes were obtained experimentally. Then, failure due to the buckling phenomenon and crashworthiness characteristics were studied utilizing numerical simulation and experimental methods. Tubes were next simulated in ABAQUS software, and a continuum damage mechanics model was implemented in a progressive framework to assess the failure modes. From the macroscale view, results showed that the damage behavior of composite tubes turned out to be dominated by local buckling followed by a post-buckling field, which is generated by longitudinal cracks along the winding direction. On the micro-scale, the acoustic emission-based procedure based on the wavelet packet transform method was adopted. The hierarchical modeled assessment resulted in the identity of four clusters of AE signals. In GFRP tubes, the fiber breakage and fiber/matrix separation could mostly control the higher percentage of damage and cause to increase the energy absorption. Finally, by comparing the results obtained from micro and macro scales, the local buckling failure mode was attributed to the low content of fiber/matrix debonding in the structure.","Acoustic emission; buckling analysis; failure mechanisms; filament wound composite tubes; finite element simulation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-22","","","Ship and Offshore Structures","","",""
"uuid:a26c33ce-b736-4b1b-8f91-9ac7830e0277","http://resolver.tudelft.nl/uuid:a26c33ce-b736-4b1b-8f91-9ac7830e0277","Light-Weight Wearable Gyroscopic Actuators Can Modulate Balance Performance and Gait Characteristics: A Proof-of-Concept Study","Sterke, B.T. (TU Delft Biomechatronics & Human-Machine Control; Erasmus MC); Poggensee, K. (TU Delft Human-Robot Interaction; Erasmus MC); Ribbers, G.M. (Rijndam Revalidatie; Erasmus MC); Lemus Perez, D.S. (TU Delft Biomechatronics & Human-Machine Control); Vallery, H. (TU Delft Biomechatronics & Human-Machine Control; Erasmus MC; Rheinisch-Westfälische Technische Hochschule)","","2023","Falling is a major cause of morbidity, and is often caused by a decrease in postural stability. A key component of postural stability is whole-body centroidal angular momentum, which can be influenced by control moment gyroscopes. In this proof-of-concept study, we explore the influence of our wearable robotic gyroscopic actuator “GyroPack” on the balance performance and gait characteristics of non-impaired individuals (seven female/eight male, 30 ± 7 years, 68.8 ± 8.4 kg). Participants performed a series of balance and walking tasks with and without wearing the GyroPack. The device displayed various control modes, which were hypothesised to positively, negatively, or neutrally impact postural control. When configured as a damper, the GyroPack increased mediolateral standing time and walking distance, on a balance beam, and decreased trunk angular velocity variability, while walking on a treadmill. When configured as a negative damper, both peak trunk angular rate and trunk angular velocity variability increased during treadmill walking. This exploratory study shows that gyroscopic actuators can influence balance and gait kinematics. Our results mirror the findings of our earlier studies; though, with more than 50% mass reduction of the device, practical and clinical applicability now appears within reach.","wearable robotics; balance; walking; control moment gyroscope; postural control; falling","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:e72e7182-1e6d-43e7-8816-f372fdf85196","http://resolver.tudelft.nl/uuid:e72e7182-1e6d-43e7-8816-f372fdf85196","Participatory Value Evaluation (PVE): A New Preference-Elicitation Method for Decision Making in Healthcare","Boxebeld, Sander (Erasmus Universiteit Rotterdam); Mouter, N. (TU Delft Transport and Logistics; Populytics B.V); van Exel, Job (Erasmus Universiteit Rotterdam)","","2023","Participatory value evaluation (PVE) has recently been introduced in the field of health as a new method to elicit stated preferences for public policies. PVE is a method in which respondents in a choice experiment are presented with various policy options and their attributes, and are asked to compose their portfolio of preference given a public-resource constraint. This paper aims to illustrate PVE’s potential for informing healthcare decision making and to position it relative to established preference-elicitation methods. We first describe PVE and its theoretical background. Next, by means of a narrative review of the eight existing PVE applications within and outside the health domain, we illustrate the different implementations of the main features of the method. We then compare PVE to several established preference-elicitation methods in terms of the structure and nature of the choice tasks presented to respondents. The portfolio-based choice task in a PVE requires respondents to consider a set of policy alternatives in relation to each other and to make trade-offs subject to one or more constraints, which more closely resembles decision making by policymakers. When using a flexible budget constraint, respondents can trade-off their private income with public expenditures. Relative to other methods, a PVE may be cognitively more demanding and is less efficient; however, it seems a promising complementary method for the preference-based assessment of health policies. Further research into the feasibility and validity of the method is required before researchers and policymakers can fully appreciate the advantages and disadvantages of the PVE as a preference-elicitation method.","","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:24c00e56-8ae5-4f5e-be6c-2c53b4e24603","http://resolver.tudelft.nl/uuid:24c00e56-8ae5-4f5e-be6c-2c53b4e24603","Update Scheduling for ADMM-based Energy Sharing in Virtual Power Plants Considering Massive Prosumer Access","Feng, Cheng (Tsinghua University); Zheng, Kedi (Tsinghua University); Zhou, Yangze (Zhejiang University); Palensky, P. (TU Delft Electrical Sustainable Energy); Chen, Qixin (Tsinghua University)","","2023","With the proliferation of distributed energy resources (DERs), electricity consumers in virtual power plants (VPPs) are transitioning into prosumers and are encouraged to share surplus energy with peers. Nevertheless, large-scale energy sharing among thousands of prosumers may encounter communication-related challenges. Communication network congestion may result in a significant increase in the negotiation waiting time to reach a sharing agreement, and potentially risks exceeding the deadline of negotiation before the market gate closes, rendering energy sharing ineffective. This paper proposes an online partial-update algorithm for the alternating direction method of multipliers (ADMM)-based energy sharing. By restricting the update connection between the VPP and the prosumers, the algorithm selects a subset of the prosumers participating in ADMM updates each round, hence eliminating the excessively long waiting time caused by communication congestion. Considering the delay induced by massive prosumer communication access requests, a method for determining the optimal number of prosumers participating in updates is provided. To fully utilize the limited update opportunities, a fair and efficient prosumer update scheduling policy is designed. The VPP schedules the participation of prosumers in updates such that the convergence-critical prosumers receive higher priority, yet every prosumer is granted sufficient update opportunities. Additionally, the extra computation and communication overheads brought by the prosumer scheduling are minimized, allowing the whole algorithm to be executed in real time. Numerical studies are conducted to validate the effectiveness of the algorithm and its performance in reducing the overall convergence time.","Energy Sharing; Virtual Power Plant; ADMM; Machine type Communications; Access Delay; Update Scheduling; Distributed Energy Resource","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-23","","Electrical Sustainable Energy","","","",""
"uuid:3c5465f6-8f9d-4459-aef4-858a3e6c4ef0","http://resolver.tudelft.nl/uuid:3c5465f6-8f9d-4459-aef4-858a3e6c4ef0","Experimental parametric investigation on the behavior of adhesively bonded CFRP/steel joints","Mohabeddine, A.I. (TU Delft Steel & Composite Structures; University of Porto); Malik, Ghassan (University of Bologna); Correia, José (University of Porto; INEGI); Silva, Filipe (INEGI); De Jesus, Abílio M.P. (University of Porto); Fantuzzi, Nicholas (University of Bologna); Castro, José Miguel (University of Porto)","","2023","This paper presents an extensive experimental and numerical study on the behavior of CFRP/Steel adhesively bonded double strap joints (DSJ). A total of 50 DSJ specimens were tested under static tensile loading. The digital image correlation (DIC) was used to measure the backface deformation. A total of six adhesives that feature different stiffness, strength, ductility, and toughness were analyzed. A parametric study including several variants was carried out. The influencing parameters considered are the following: the adhesive type, adhesive thickness, CFRP elastic modulus, CFRP length, surface treatment, and steel thickness. The results revealed that rigid adhesives commonly used in the construction industry do not provide the best performance for the CFRP/steel joints, instead, tough adhesives which combine high strength and ductility are more suitable for strengthening metallic infrastructures. Steel plastic yielding has a significant influence on the strength, behavior, and failure modes of the CFRP/steel adhesive joint. A numerical model validated with experimental data was developed in ABAQUS. The experimental observations including failure modes, joint strengths, and ductility were discussed using the numerical model. The joint strength efficiency is highly recommended as a design criterion for bonded joints.","CFRP; Steel; Adhesive joint; Strengthening; Metallic infrastructures","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:b770f475-5e08-43f4-a9bb-9f7e6548660c","http://resolver.tudelft.nl/uuid:b770f475-5e08-43f4-a9bb-9f7e6548660c","Research on the optimal dosage of bio-oil/lignin composite modified asphalt based on rheological and anti-aging properties","Zhang, Yi (Shijiazhuang Tiedao University); Si, Chundi (Shijiazhuang Tiedao University); Fan, Taotao (Shijiazhuang Tiedao University); Zhu, Yuefeng (Shijiazhuang Tiedao University); Li, Song (Shijiazhuang Tiedao University); Ren, S. (TU Delft Pavement Engineering); Lin, P. (TU Delft Pavement Engineering)","","2023","With the increasing awareness of environmental protection and attention to resource reuse, the development of high-performance and degradable green biomass pavement materials attracts a lot of interest. Lignin and bio-oil effectively combined to play a synergistic role can improve various properties of asphalt and partially replace petroleum-based asphalt. Therefore, this paper aims to study the rheological and aging properties of bio-oil/lignin composite modified asphalt (OLMA) and determine the optimal dosage by Dynamic Shear Rheometer (DSR), Bending Beam Rheometer (BBR), and Fourier transforms infrared spectroscopy (FTIR) tests. From the DSR tests, it can be seen that OLMA can improve the high-temperature, fatigue, cracking, and relaxation performance of asphalt. BBR test obtained that OLMA can improve the asphalt's low-temperature performance and critical temperature. The method for evaluating the aging degree of composite modified asphalt was proposed. FTIR test results revealed that OLMA could reduce the increased rate of the aging index. The optimum dosage of 10% bio-oil and 20% lignin composite modified asphalt was determined. It proved that lignin and bio-oil are promising asphalt additives, modifiers, and replacements.","","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:9af00083-96bf-4cce-b475-9f2563cd3397","http://resolver.tudelft.nl/uuid:9af00083-96bf-4cce-b475-9f2563cd3397","Robotic Skill Mutation in Robot-to-Robot Propagation During a Physically Collaborative Sawing Task","Maessen, Rosa E.S. (Student TU Delft); Prendergast, J.M. (TU Delft Human-Robot Interaction); Peternel, L. (TU Delft Human-Robot Interaction)","","2023","Skill propagation among robots without human involvement can be crucial in quickly spreading new physical skills to many robots. In this respect, it is a good alternative to pure reinforcement learning, which can be time-consuming, or learning from human demonstration, which requires human involvement. In the latter case, there may not be enough humans to quickly spread skills to many robots. However, propagation among robots without direct human supervision can result in robotic skills mutating from the original source. This can be beneficial when better skills might emerge or when a new skill is obtained to be used for other similar tasks. However, it can also be dangerous in terms of task execution safety. This letter studies the mutation of a robotic skill when it is propagated from one robot to another during a physically collaborative task. We chose the collaborative sawing task as a study case since it involves complex two-agent physical interaction/coordination and because its periodic nature can facilitate repetitive learning. The study employs periodic Dynamic Movement Primitives and Locally Weight Regression to encode and learn the motion and impedance required to execute the task. To explore what influences mutation, we varied several control and environment conditions such as the maximum stiffness, robot base position, friction coefficient of the sawed object, and movement period. The results showed that the skill varied over propagation steps and we identified several key aspects of mutation such as movement length, movement offset, and trajectory shape. Based on the results we identified possible benefits (skill mutations useful for different settings or different tasks, and energy efficiency) and dangers (high forces and skill mutations becoming useless for the original task) of the mutation.","Bioinspired Robot Learning; Collaboration; Compliance and Impedance Control; Cooperating Robots; Human-Robot Collaboration; Impedance; Physical Human-Robot Interaction; Robot kinematics; Robots; Sawing; Task analysis; Trajectory","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-21","","","Human-Robot Interaction","","",""
"uuid:923b8641-1880-47c6-9c7b-1baf254d5bb6","http://resolver.tudelft.nl/uuid:923b8641-1880-47c6-9c7b-1baf254d5bb6","Influence of sputter pressure on magnetic and structural properties of Permalloy thin films","Singh, Sukhvinder (Saarland University); Abelmann, L. (TU Delft Bio-Electronics; Korea Institute of Science and Technology); Gao, Haibin (Saarland University); Hartmann, Uwe (Saarland University)","","2023","Well-defined and technically relevant domain configurations are sought in patterned magnetic thin films. We used Magnetic Force Microscopy to investigate these in square-patterned Permalloy films. The films were prepared using dc sputter deposition by varying the Argon pressure from 1.5×10−3 to 30.0×10−3 mbar. The Landau domain configuration was found in films prepared at 1.5×10−3 mbar pressure. With an increase in pressure, tulip and irregular domains were consecutively formed. Based on magnetic and structural characterizations, an increase in coercivity and a decrease in Permalloy film density were observed at the same time.","Permalloy; Magnetic domains; Sputtering; Magnetic force microscopy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-21","","","Bio-Electronics","","",""
"uuid:0133add3-96c9-467f-8e67-b7abc592969b","http://resolver.tudelft.nl/uuid:0133add3-96c9-467f-8e67-b7abc592969b","Design for Emergency: How Digital Technologies Enabled an Open Design Platform to Respond to COVID-19","Colombo, S. (TU Delft Human-Centred Artificial Intelligence); Ciliotta, Estefania (Northeastern University); Marengo, Lucia (DocPlanner); Liu, Houjiang (The University of Texas at Austin); Molino, Piero (Predibase); Ciuccarelli, Paolo (Northeastern University)","","2023","In the COVID-19 pandemic, digital technologies (DT) supported the design and implementation of solutions addressing new needs and living conditions. We describe Design for Emergency, a digital open design platform developed to ideate solutions for people's fast-changing needs in the pandemic, to analyze how DT can affect human-centered design processes during emergencies. We illustrate how DT: i) helped quickly collect and analyse people's needs in different countries, visualize such data, and identify design directions and problem spaces; ii) facilitated the creation of a virtual network of stakeholders and an open-innovation digital platform; iii) inspired the ideation of solutions responding to people's changing needs and affected their implementation. We discuss the implications of adopting DT in designing for and during emergencies, as well as their current and future potential to promptly respond to emergency situations through a human-centered approach.","human–computer interaction; open design platform; digital technology; data visualization; COVID-19 emergency response; human-centered design","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-21","","","Human-Centred Artificial Intelligence","","",""
"uuid:95c6cce8-b6e0-4eb3-8f48-109e809a5f20","http://resolver.tudelft.nl/uuid:95c6cce8-b6e0-4eb3-8f48-109e809a5f20","Non-fatal traffic crashes among food delivery riders in Vietnam: What is the problem?","Nguyen, M. (TU Delft Electrical Engineering, Mathematics and Computer Science; The University of Da Nang); Nguyen-Phuoc, Duy Quy; Oviedo-Trespalacios, O. (TU Delft Technology, Policy and Management; TU Delft Safety and Security Science)","","2023","Objective: The rapid development of information technologies and the COVID-19 pandemic have resulted in the proliferation of online food shopping and food delivery motorcyclists. In contrast to the relatively ample literature on factors influencing fatalities and risky riding behaviors of food delivery motorcyclists, little is known about the determinants of non-fatal crashes involving online food delivery riders. The present study examines the prevalence and factors of non-fatal crashes among food delivery riders. Methods: The self-reported data of 393 online food delivery riders were collected in Hanoi and Hochiminh city, Vietnam. Binary logit regression was used to investigate the factors associated with non-fatal crashes. Results: The findings showed that more than half of riders (54%) reported being involved in at least one crash in the last 12 months. The most common risky riding behaviors associated with the crashes included using a mobile phone while riding, neglecting turn signals, red-light running, riding when tired/sleepy, and speeding. The riders who were national migrants, married, and worked on planned delivery routes mainly alone were more likely to experience crashes. At the same time, adequate perceived rewards for their work prevent crash involvement. Perceived risk was not a significant predictor of self-reported crashes. Conclusions: Ensuring road safety for delivery riders requires a systemic effort involving multiple stakeholders, and the private sector plays a crucial role in discouraging risky riding behaviors. It is imperative for the government and regulatory bodies to redefine the delivery job to alleviate the strain on riders and provide resources such as rewards. Specifically, riders should be considered employees rather than partners. Furthermore, it is crucial for the police to take a more active role in preventing dangerous behaviors among delivery riders, such as running red lights. At the same time, supporting financial strategies should be implemented for delivery riders, particularly for those who are migrants or married and may face additional challenges.","Accident; binary logistic regression; food delivery; non-fatal crashes; risky riding behavior; workload","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-24","Electrical Engineering, Mathematics and Computer Science","","Safety and Security Science","","",""
"uuid:fc61380c-de2e-48f4-89e4-d6ad554af389","http://resolver.tudelft.nl/uuid:fc61380c-de2e-48f4-89e4-d6ad554af389","The impact of product features on market orientation in technology-based new ventures","Zhou, Zhao (Shanghai University of Finance and Economics); Verburg, R.M. (TU Delft Economics of Technology and Innovation)","","2023","Little is known about the role of product features in shaping market orientation as most research focuses on organizational features, such as interdepartmental connectedness, centralization in the strategy formation process, and the nature of top management teams. In this study we draw on the theory of resource orchestration and hypothesize that the protect-ability and the scalability of new products relate positively to market orientation in technology-based new ventures. We also predict that the entrepreneurial experience of founders interacts positively with these product features in driving market orientation strategies. On the basis of original field data from 156 technology-based startups, we find support for the positive relationship between product features and market orientation and the proposed interaction. These findings contribute to the ongoing research on the antecedents of market orientation by showing how founders’ experience and product features shape the resource orchestration process within technology-based new ventures.","Market orientation; Product protect-ability; Product scalability; Resource orchestration theory; Technology entrepreneurship","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-24","","","Economics of Technology and Innovation","","",""
"uuid:975281dc-e00d-4cfa-beae-bd03cdd281de","http://resolver.tudelft.nl/uuid:975281dc-e00d-4cfa-beae-bd03cdd281de","Deformational response of a marine silty-clay with varying organic content in the triaxial compression space.","Ponzoni, Elisa (RINA Consulting S.p.A.); Muraro, S. (TU Delft Geo-engineering); Nocilla, Alessandra (University of Brescia); Jommi, C. (TU Delft Geo-engineering; Politecnico di Milano)","","2023","This study characterises the effects of naturally varying organic content on the compression and shear behaviour of a marine silty-clay from the Netherlands. Index properties and mechanical properties are determined through laboratory tests, including oedometer and multistage loading-unloading triaxial stress paths. The results indicate a significant impact of the organic content on the compression response, with both the loading and reloading indexes increasing as the loss on ignition increases from 3% to 7%. Additionally, the study suggests a directional response of the compression behaviour, with the loading index increasing with the stress ratio. The influence of the organic content on shear strength appears to be less significant. No brittle response is observed during shearing and a similar ultimate stress ratio is attained by all samples. However, a unique critical state line can only be identified for samples with similar organic content, as its intercept and slope are found to increase with increasing organic content. The experimental results from stress paths at constant stress ratio reveal an anisotropic pre-failure plastic deformation mode, which depends on the previous stress history and loading direction. This suggests that the stress-dilatancy relationship cannot be formulated as a unique function of the stress ratio. The high-quality experimental data presented in the paper enlarge the database on soft organic soils in view of the development of advanced constitutive models.","Soft soils; Triaxial tests; Compression behaviour; Shear behaviour; Organic matter","en","journal article","","","","","","","","2024-05-29","","","Geo-engineering","","",""
"uuid:daf27e62-9a93-4b92-8646-c7cd16f572ce","http://resolver.tudelft.nl/uuid:daf27e62-9a93-4b92-8646-c7cd16f572ce","3D BAG - Geactualiseerd op basis van AHN4","Peters, R.Y. (TU Delft Urban Data Science; 3DGI); Dukai, B. (TU Delft Urban Data Science; 3DGI); Gao, W. (TU Delft Urban Data Science); Stoter, J.E. (TU Delft Urban Data Science)","","2023","De 3D BAG bevat automatisch gereconstrueerde LoD2-modellen van alle panden in Nederland, en is voor het eerst gereconstrueerd in het voorjaar van 2021 op basis van AHN3.1 Op basis van AHN4 is een nieuwe versie van de 3D BAG gereconstrueerd, in een samenwerking tussen 3DGI en de onderzoeksgroep 3D Geoinformation (TU Delft). AHN4 is niet alleen van hogere actualiteit, maar heeft ook andere kenmerken dan AHN3. Voor de geactualiseerde versie van 3D BAG hebben we daarom onderzocht hoe beide datasets optimaal gebruikt kunnen worden.","","nl","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-17","","","Urban Data Science","","",""
"uuid:fd3d24fa-d20d-48ca-b8be-331bc91f9609","http://resolver.tudelft.nl/uuid:fd3d24fa-d20d-48ca-b8be-331bc91f9609","Ülke Planlamada Kentsel Dönüşümün Yeri: Türkiye Mekânsal Strateji Planı Örneği","Gülümser, Aliye Ahu (Istanbul Technical University); Öztürk, Nur Kardelen (Gebze Technical University); Akay, Mert (TU Delft Design Conceptualization and Communication); Belli, Burak (Istanbul Technical University)","","2023","Urban transformation is more prominent in the world regarding planning, architecture and design practices. However, this concept, which is legally and administratively essential and has a place to different extents, includes many definitions and application types. In Republic of Türkiye, the urban transformation has become a method primarily associated with natural disasters and has been used to increase the resilience of cities. Although each urban transformation implementation
has its own spatial, social and economic context, this article aims to describe the roadmap for implementing urban transformation to lower scales by revealing how it is handled in an upper scale spatial planning approach, such as the studies of the Spatial Strategy The plan of Türkiye at the country level was realised for the first time in Türkiye.
long-term aging. Consequently, it is recommended that the performance evaluation and design of open-graded asphalt mixtures containing HCPMB be based on post-aging performance.","Porous asphalt; High content polymer modified asphalt; mixtures; Aging resistance; Polyester fiber; Lignin fiber","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:e817f585-fdbb-40c4-822c-afbe67aa5cf2","http://resolver.tudelft.nl/uuid:e817f585-fdbb-40c4-822c-afbe67aa5cf2","Assessment of interfacial turbulence treatment models for free surface flows","Zou, P. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; University of Michigan); Kim, Taeksang (University of Michigan); Bricker, J.D. (TU Delft Hydraulic Structures and Flood Risk; University of Michigan); Uijttewaal, W.S.J. (TU Delft Environmental Fluid Mechanics)","","2023","The modelling of complex free surface flows is challenging due to the mobility and deformability of the interface and air entrainment characteristics, which are highly affected by turbulence. With the framework of Reynolds averaged Navier–Stokes (RANS) models and the volume of fluid (VOF) method, turbulence quantities at the air–water interface tend to be over-estimated. In this study, interfacial turbulence treatment methods including the buoyancy modification model based on the simple gradient diffusion hypothesis (SGDH) and Egorov’s turbulence damping model are investigated. Furthermore, due to the unconditionally unstable characteristics of the standard k-ε turbulence model, the stabilized k-ε turbulence model is applied as a comparison. The turbulence attenuation performance using different interfacial turbulence treatment methods in the vicinity of the interface is compared and discussed for stratified flows and free overflow weirs for aerated and non-aerated nappe scenarios. The turbulence quantities and free surface profile under different flow conditions are validated against experimental data and an analytical model. The results show that for free surface waves, both the SGDH model and the turbulence damping model give strong improvements in turbulence production compared with the standard k-ε model. The SGDH model augments the turbulence kinetic energy (TKE) in the unstable stratification, leading to unphysical behaviour for the partially dispersed and separated flow.","Air entrainment; buoyancy modification; CFD; free overflow weirs; free surface flows; turbulence damping","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:37b1d8f9-2ef4-4e22-be7e-1a7c0e553d7c","http://resolver.tudelft.nl/uuid:37b1d8f9-2ef4-4e22-be7e-1a7c0e553d7c","Intraprocedural assessment of ablation margins using computed tomography co-registration in hepatocellular carcinoma treatment with percutaneous ablation: IAMCOMPLETE study","Hendriks, P. (Leiden University Medical Center); van Dijk, Kiki M. (Leiden University Medical Center); Boekestijn, Bas (Leiden University Medical Center); Broersen, Alexander (Leiden University Medical Center); van Duijn-de Vreugd, Jacoba J. (Leiden University Medical Center); Coenraad, Minneke J. (Leiden University Medical Center); Dijkstra, J. (Leiden University Medical Center); de Geus-Oei, L.F. (TU Delft RST/Radiation, Science and Technology; Leiden University Medical Center; University of Twente); Burgmans, M.C. (Leiden University Medical Center)","","2023","Purpose: The primary objective of this study was to determine the feasibility of ablation margin quantification using a standardized scanning protocol during thermal ablation (TA) of hepatocellular carcinoma (HCC), and a rigid registration algorithm. Secondary objectives were to determine the inter- and intra-observer variability of tumor segmentation and quantification of the minimal ablation margin (MAM). Materials and methods: Twenty patients who underwent thermal ablation for HCC were included. There were thirteen men and seven women with a mean age of 67.1 ± 10.8 (standard deviation [SD]) years (age range: 49.1–81.1 years). All patients underwent contrast-enhanced computed tomography examination under general anesthesia directly before and after TA, with preoxygenated breath hold. Contrast-enhanced computed tomography examinations were analyzed by radiologists using rigid registration software. Registration was deemed feasible when accurate rigid co-registration could be obtained. Inter- and intra-observer rates of tumor segmentation and MAM quantification were calculated. MAM values were correlated with local tumor progression (LTP) after one year of follow-up. Results: Co-registration of pre- and post-ablation images was feasible in 16 out of 20 patients (80%) and 26 out of 31 tumors (84%). Mean Dice similarity coefficient for inter- and intra-observer variability of tumor segmentation were 0.815 and 0.830, respectively. Mean MAM was 0.63 ± 3.589 (SD) mm (range: -6.26–6.65 mm). LTP occurred in four out of 20 patients (20%). The mean MAM value for patients who developed LTP was -4.00 mm, as compared to 0.727 mm for patients who did not develop LTP. Conclusion: Ablation margin quantification is feasible using a standardized contrast-enhanced computed tomography protocol. Interpretation of MAM was hampered by the occurrence of tissue shrinkage during TA. Further validation in a larger cohort should lead to meaningful cut-off values for technical success of TA.","Ablation margin; Computed tomography; Hepatocellular carcinoma; Image processing; Thermal ablation","en","journal article","","","","","","","","","","RST/Radiation, Science and Technology","","","",""
"uuid:9d51bacb-ec0e-4095-aebe-212e5b9c3191","http://resolver.tudelft.nl/uuid:9d51bacb-ec0e-4095-aebe-212e5b9c3191","Exact solutions for geophysical flows with discontinuous variable density and forcing terms in spherical coordinates","Chu, Jifeng (Shanghai Normal University; University of Vienna); Iulian Martin, Calin (University of Vienna); Marynets, K. (TU Delft Mathematical Physics; University of Vienna)","","2023","We present here exact solutions to the equations of geophysical fluid dynamics that depict inviscid flows moving in the azimuthal direction on a circular path, around the globe, and which admit a velocity profile below the surface and along it. These features render this model suitable for the description of the Antarctic circumpolar current (ACC). The governing equations we work with–taken to be the Euler equations written in spherical coordinates–also incorporate forcing terms which are generally regarded as means that ensure the general balance of the ACC. Our approach allows for a variable density (depending on the depth and latitude) of discontinuous type which divides the water domain into two layers. Thus, the discontinuity gives rise to an interface. The velocity in both layers and the pressure in the lower layer are determined explicitly, while the pressure in the upper layer depends on the free surface and the interface. Functional analytical techniques render (uniquely) the surface and interface-defining functions in an implicit way. We conclude our discussion by deriving relations between the monotonicity of the surface pressure and the monotonicity of the surface distortion that concur with the physical expectations. A regularity result concerning the interface is also derived.","Coriolis force; discontinuous stratification; Exact solutions in spherical coordinates; forcing terms; the Antarctic Circumpolar Current","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:85251876-39b5-49c0-9a7f-015174ccee7e","http://resolver.tudelft.nl/uuid:85251876-39b5-49c0-9a7f-015174ccee7e","Π-ML: a dimensional analysis-based machine learning parameterization of optical turbulence in the atmospheric surface layer","Pierzyna, Maximilian (TU Delft Atmospheric Remote Sensing); Saathof, R. (TU Delft Space Systems Egineering); Basu, S. (University at Albany)","","2023","Turbulent fluctuations of the atmospheric refraction index, so-called optical turbulence, can significantly distort propagating laser beams. Therefore, modeling the strength of these fluctuations (𝐶2𝑛) is highly relevant for the successful development and deployment of future free-space optical communication links. In this Letter, we propose a physics-informed machine learning (ML) methodology, Π-ML, based on dimensional analysis and gradient boosting to estimate 𝐶2𝑛. Through a systematic feature importance analysis, we identify the normalized variance of potential temperature as the dominating feature for predicting 𝐶2𝑛. For statistical robustness, we train an ensemble of models which yields high performance on the out-of-sample data of R2 = 0.958 ± 0.001.","","en","journal article","","","","","","","","2024-02-19","","","Atmospheric Remote Sensing","","",""
"uuid:e276c3bb-145b-4b30-9d02-f925a8081870","http://resolver.tudelft.nl/uuid:e276c3bb-145b-4b30-9d02-f925a8081870","Modeling the fate of viruses in aquifers: multi-kinetics reactive transport, risk assessment, and governing parameters","Rafini, Silvain (Université du Québec); Chesnaux, Romain (Université du Québec); Lompe, K.M. (TU Delft Sanitary Engineering); Barbeau, Benoit (Polytechnique Montreal); Claveau-Mallet, Dominique (Polytechnique Montreal); Richard, Dominique (Université du Québec)","","2023","The transport of viruses in groundwater is a complex process controlled by both hydrodynamic and reaction parameters. Characterizing the transport of viruses in groundwater is of crucial importance for investigating health risks associated with groundwater consumption from private individual or residential pumping wells. Setback distances between septic systems, which are the source of viruses, and pumping wells must be designed to offer sufficient groundwater travel times to allow the viral load to degrade sufficiently to be acceptable for community health needs. This study consists of developing numerical simulations for the reactive transport of viruses in the subsurface. These simulations are validated using published results of laboratory and field experiments on virus transport in the subsurface and applying previously developed analytical solutions. The numerical model is then exploited to investigate the sensitivity of the fate of viruses in saturated porous media to hydraulic parameters and the coefficients of kinetic reactions. This sensitivity analysis provides valuable insights into the prevailing factors governing health risks caused by contaminated water in private wells in rural residential contexts. The simulations of virus transport are converted into health risk predictions through dose–response relationships. Risk predictions for a wide range of input parameters are compared with the international regulatory health risk target of a maximum of 10−4 infections/person/year and a 30 m setback distance to identify critical subsurface contexts that should be the focus of regulators.","Transport of viruses; Aquifers; Septic system; Pumping well; Setback distance; Multi-kinetics reactive transport","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-19","","","Sanitary Engineering","","",""
"uuid:891dd56e-3efd-4127-a7ed-618c1c72baa4","http://resolver.tudelft.nl/uuid:891dd56e-3efd-4127-a7ed-618c1c72baa4","Focal deblending: Marine data processing experiences","Kontakis, A. (TU Delft ImPhys/Verschuur group); Verschuur, D.J. (TU Delft Applied Geophysics and Petrophysics; TU Delft ImPhys/Verschuur group)","","2023","In contrast to conventional acquisition practices, simultaneous source acquisition allows for overlapping wavefields to be recorded. Relaxing the shot schedule in this manner has certain advantages, such as allowing for faster acquisition and/or denser shot sampling. This flexibility usually comes at the cost of an extra step in the processing workflow, where the wavefields are deblended, that is, separated. An inversion-type algorithm for deblending, based on the focal transform, is investigated. The focal transform uses an approximate velocity model to focus seismic data. The combination of focusing with sparsity constraints is used to suppress blending noise in the deblended wavefield. The focal transform can be defined in different ways to better match the spatial sampling of different types of marine surveys. To avoid solving a large inverse problem, involving a large part of the survey simultaneously, the input data can be split into sub-sets that are processed independently. We discuss the formation of such sub-sets for ocean bottom node and streamer-type acquisitions. Two deblending experiments are then carried out. The first is on numerically blended ocean bottom node field data. The second is on field-blended towed streamer data with a challenging signal overlap. The latter experiment is repeated using curvelet-based deblending for comparison purposes, showing the virtues of the focal deblending process. Several challenges of basing deblending around the focal transform are discussed as well as some suggestions for improved implementations.","data processing; noise; seismic acquisition; signal processing","en","journal article","","","","","","","","","","","ImPhys/Verschuur group","","",""
"uuid:39786092-218c-4699-92bf-4ddee37d11fa","http://resolver.tudelft.nl/uuid:39786092-218c-4699-92bf-4ddee37d11fa","Bias and noise in security risk assessments, an empirical study on the information position and confidence of security professionals","de Wit, J.J. (TU Delft Safety and Security Science); Pieters, Wolter (Radboud Universiteit Nijmegen); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science)","","2023","Professionals working in both the physical and cybersecurity domain need to assess and evaluate security risks. As information on risks in general and security risks in particular is often imperfect and intractable, these professionals are facing a challenge in judging both likelihood and consequences, but how much do their existing psychological biases play a role in these judgments? In this paper, we present new empirical evidence on the perception of the information position and confidence levels of security professionals, the influence of detailed information and the conjunction fallacy, and the level of noise in security assessments. This paper adds to the literature by examining, for the first time, risk assessments by professionals in realistic, real life, security cases. The results show clear indications for overconfidence, comparative ignorance, influence of the conjunction fallacy, and influence of individual experience on security decision making in the professional security domain. The observed phenomena might have far reaching effects on security risk management in organizations and society.","Confidence; Conjunction fallacy; Decision biases; Information position; Noise; Security assessment","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-01-06","","","Safety and Security Science","","",""
"uuid:4479f26a-d700-4d88-9f28-f1eb72292e69","http://resolver.tudelft.nl/uuid:4479f26a-d700-4d88-9f28-f1eb72292e69","Effects of Bitumen Thickness on the Aging Behavior of High-Content Polymer-Modified Asphalt Mixture","Lin, P. (TU Delft Pavement Engineering; Ministry of Transport of the People's Republic of China); Liu, X. (TU Delft Pavement Engineering); Ren, S. (TU Delft Pavement Engineering); Xu, Jian (Ministry of Transport of the People's Republic of China); Li, Y. (TU Delft Pavement Engineering); Li, Mingliang (Ministry of Transport of the People's Republic of China)","","2023","The film thickness of asphalt mixtures is critical for determining their performance and aging durability. However, understanding of the appropriate film thickness and its influence on performance and aging behavior for high-content polymer-modified asphalt (HCPMA) mixtures is still limited. This research aims to examine the relationship between film thickness, performance, and aging behavior of HCPMA mixtures in order to establish an optimal film thickness that ensures satisfactory performance and aging durability. HCPMA specimens with film thicknesses ranging from 6.9 μm to 17 μm were prepared using a 7.5% SBS-content-modified bitumen. Various tests, including Cantabro, SCB, SCB fatigue, and Hamburg wheel-tracking tests, were conducted to evaluate raveling, cracking, fatigue, and rutting resistance before and after aging. The key findings indicate that insufficient film thickness negatively affects aggregate bonding and performance, while excessive thickness reduces mixture stiffness and resistance to cracking and fatigue. A parabolic relationship between the aging index and film thickness was observed, suggesting that increasing film thickness improves aging durability up to a point, beyond which excessive thickness adversely impacts aging durability. The optimal film thickness for HCPMA mixtures, considering performance before and after aging and aging durability, falls within the 12.9 to 14.9 µm range. This range ensures the best balance between performance and aging durability, offering valuable insights for the pavement industry in designing and utilizing HCPMA mixtures.","film thickness; HCPMA; optimal film thickness; aging durability","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:297c1bb2-fb0f-4c5e-b067-54808bdbf51f","http://resolver.tudelft.nl/uuid:297c1bb2-fb0f-4c5e-b067-54808bdbf51f","Five golden principles to advance marine reef restoration by linking science and industry","ter Hofstede, R. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Van Oord); Bouma, Tjeerd J. (NIOZ Royal Netherlands Institute for Sea Research; Universiteit Utrecht; HZ University of Applied Sciences); van Koningsveld, M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Van Oord)","","2023","Marine reef ecosystems have degraded massively worldwide, and restoration efforts have as yet not managed to realize the scale required to reverse continued degradation. To achieve effective scales, scientific insights in restoration methods should be paired with industry-based approaches used for infrastructural development. We illustrate by five principles how long-standing experience of marine contractors with executing large-scale projects, can support reef restoration: i) utilizing industrial techniques to achieve positive impact at scale, ii) landscaping infrastructure to optimize habitat for targeted species, iii) inducing life to overcome connectivity bottle-necks and steer community composition, iv) designing nature development efforts to be self-sustainable, and v) ensuring continuity beyond project boundaries by early stakeholder engagement. Consciously connecting scientific knowledge to industry-based activities increases the likelihood that marine infrastructure development and ecosystem rehabilitation can be aligned. We plead that synergizing practices by science and industry is needed to upscale restoration efforts and truly improve marine reef ecosystems.","reefs; upscaling; restoration; industry; infrastructure","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:5d5621c8-d102-4f74-b41e-efe3507dd769","http://resolver.tudelft.nl/uuid:5d5621c8-d102-4f74-b41e-efe3507dd769","Adaptive Parameterized Control for Coordinated traffic Management Using Reinforcement Learning","Sun, D. (TU Delft Transport and Planning); Jamshidnejad, A. (TU Delft Control & Simulation); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2023","Traffic control is essential to reduce congestion in both urban and freeway traffic networks. These control measures include ramp metering and variable speed limits for freeways, and traffic signal control for urban traffic. However, current traffic control methods are either too simple to respond to complex traffic environment, or too sophisticated for real-life implementation. In this paper, we propose an adaptive parameterized control method for traffic management by using reinforcement learning algorithms. This method takes advantage of the simple structure of parameterized state-feedback controllers for traffic; meanwhile, a reinforcement learning agent is employed to adjust the parameters of the controllers on-line to react to the varying environment. Therefore, the proposed method requires limited real-time computational efforts, and is adaptive to external disturbances. Furthermore, the reinforcement learning agent can coordinate multiple local traffic controllers when adjusting their parameters. The method is validated by a numerical case study on a freeway network. Results show that the proposed method outperforms conventional controllers when the system is exposed to a changing environment.","Parameterized control; adaptive control; reinforcement learning; coordinated control; traffic network system","en","journal article","","","","","","","","","","Delft Center for Systems and Control","Transport and Planning","","",""
"uuid:b479cc5e-17da-48d3-900f-1ccad60ccc98","http://resolver.tudelft.nl/uuid:b479cc5e-17da-48d3-900f-1ccad60ccc98","Reterritorialisation of agricultural activities in land-use and food planning: comparing the Netherlands and France","Liu, Tianzhu (University of Bern); Korthals Altes, W.K. (TU Delft Urban Development Management); Melot, Romain (CNRS); Wallet, Frédéric (UMR AGIR)","","2023","The reterritorialisation of agricultural activities (RAA) consists of reinforcing local food production and its diversification activities oriented toward local consumers. RAA helps shape the local food system, which is an increasingly studied topic in the planning field. However, institutional impacts on planning approaches for RAA remain unknown. This study examines this question by comparing land-use and food planning in Dutch and French cases, where France defines food planning via national law and the Netherlands does not. Through analysis of planning documents and semi-structured interviews, we identified planning goals and instruments, and analysed governance models. We then linked these three components to understand institutional impacts. Our empirical findings reveal that regarding planning policies on RAA, there are differences between the two countries in terms of focused action fields, planning instruments, and links between land-use and food planning. Our results show that the dominance of state-local relationships in France and civil society-government relationships in the Netherlands has a significant effect on planning approaches. This study supports the need for an emphasis on institutional design for effective planning for RAA.","farm diversification; farmland preservation; food policy; Land-use regulations; local food system","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care. Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-20","","","Urban Development Management","","",""
"uuid:a6cd4925-eaa3-43af-a64b-7bee08aea99f","http://resolver.tudelft.nl/uuid:a6cd4925-eaa3-43af-a64b-7bee08aea99f","CMOS integrated circuits for the quantum information sciences","Anders, Jens (University of Stuttgart); Babaie, M. (TU Delft Electronics); Bashir, Imran (Equal 1. Labs, Fremont); Charbon, Edoardo (EPFL Neuchâtel); Geck, Lotte (Forschungszentrum Jülich GmbH; Rheinisch-Westfälische Technische Hochschule); Ibrahim, Mohamed I. (Massachusetts Institute of Technology; Cornell University); Sebastiano, F. (TU Delft Quantum Circuit Architectures and Technology); Staszewski, R.B. (University College Dublin; Equal 1. Labs, Dublin); Vladimirescu, A. (University of California; UC Berkeley)","","2023","Over the past decade, significant progress in quantum technologies has been made, and hence, engineering of these systems has become an important research area. Many researchers have become interested in studying ways in which classical integrated circuits can be used to complement quantum mechanical systems, enabling more compact, performant, and/or extensible systems than would be otherwise feasible. In this article - written by a consortium of early contributors to the field - we provide a review of some of the early integrated circuits for the quantum information sciences. Complementary metal - oxide semiconductor (CMOS) and bipolar CMOS (BiCMOS) integrated circuits for nuclear magnetic resonance, nitrogen-vacancy-based magnetometry, trapped-ion-based quantum computing, superconductor-based quantum computing, and quantum-dot-based quantum computing are described. In each case, the basic technological requirements are presented before describing proof-of-concept integrated circuits. We conclude by summarizing some of the many open research areas in the quantum information sciences for CMOS designers.","CMOS integrated circuits; Codes; Magnetic resonance imaging; Nuclear magnetic resonance; Quantum computing; quantum sensing; Quantum state; Qubit; Radio frequency; Superconducting magnets","en","journal article","","","","","","","","","","","Electronics","","",""
"uuid:bc66857f-33c9-4881-b146-056c9e64a120","http://resolver.tudelft.nl/uuid:bc66857f-33c9-4881-b146-056c9e64a120","Geospatial analysis of Indonesia's bankable utility-scale solar PV potential using elements of project finance","Langer, J.K.A. (TU Delft Energie and Industrie); Roosenboom-Kwee, Z. (TU Delft Economics of Technology and Innovation); Zhou, Y. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Ashqar, Ziad (Student TU Delft); Quist, J.N. (TU Delft Energie and Industrie); Praktiknjo, Aaron (Rheinisch-Westfälische Technische Hochschule); Blok, K. (TU Delft Energie and Industrie)","","2023","Geospatial analysis is useful for mapping the potential of renewables like solar PV. However, recent studies do not address PV’s bankable potential for which project financing can be secured. This paper proposes a framework that incorporates project finance into geospatial analyses to obtain the bankable potential of renewables. We demonstrate our framework for Indonesia, and compare the bankable potential with the socio-economic potential mostly used in literature. Using average inputs On average, the technical potential is 12,200 TWh/year and the socio-economic potential is 152.7 TWh/year if capped by 2030 demand (34% coverage). Considering PV’s financing risks, PV’s bankable potential is 16.0 TWh under current conditions if capped by 2030 demand (3.6% coverage). Both economic potentials are mainly in East Indonesia and absent on Java due to tariffs and land availability. For the bankable potential, the risk perception by banks and investors is another key influence. With a feed-in tariff of 11.5 US¢(2021)/kWh and temporary lift of import restrictions, the bankable potential is 23 TWh if capped by 2030 demand (5.2% coverage) and spreads to Java. For more widespread bankability, additional temporary measures are recommended until the PV’s costs have decreased further and trust by financial institutions has increased.","Solar PV; Geospatial Analysis; Project finance; Economic analysis; Indonesia; Monte Carlo simulation","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:65493e90-cc7e-4360-828e-64f9eb83320f","http://resolver.tudelft.nl/uuid:65493e90-cc7e-4360-828e-64f9eb83320f","Real-time laser speckle contrast imaging measurement during normothermic machine perfusion in pretransplant kidney assessment","Fang, Yitian (Erasmus MC); van Ooijen, Lisanne (Student TU Delft); Ambagtsheer, Gisela (Erasmus MC); Nikolaev, Anton V. (Erasmus MC); Clahsen-van Groningen, Marian C. (Erasmus MC; Rheinisch-Westfälische Technische Hochschule); Dankelman, J. (TU Delft Medical Instruments & Bio-Inspired Technology); de Bruin, Ron W.F. (Erasmus MC); Minnee, Robert C. (Erasmus MC)","","2023","Objectives: Normothermic machine perfusion (NMP) provides a platform for pre-transplant kidney quality assessment that is essential for the use of marginal donor kidneys. Laser speckle contrast imaging (LSCI) presents distinct advantages as a real-time and noncontact imaging technique for measuring microcirculation. In this study, we aimed to assess the value of LSCI in visualizing renal cortical perfusion and investigate the additional value of dual-side LSCI measurements compared to single aspect measurement during NMP. Methods: Porcine kidneys were obtained from a slaughterhouse and then underwent NMP. LSCI was used to measure one-sided cortical perfusion in the first 100 min of NMP. Thereafter, the inferior renal artery branch was occluded to induce partial ischemia and LSCI measurements on both ventral and dorsal sides were performed. Results: LSCI fluxes correlated linearly with the renal blood flow (R2 = 0.90, p < 0.001). After renal artery branch occlusion, absence of renal cortical perfusion could be visualized and semiquantified by LSCI. The overall ischemic area percentage of the ventral and dorsal sides was comparable (median interquartile range [IQR], 38 [24−43]% vs. 29 [17−46]%, p = 0.43), but heterogenous patterns between the two aspects were observed. There was a significant difference in oxygen consumption (mean ± standard deviation [SD], 2.57 ± 0.63 vs. 1.83 ± 0.49 mLO2/min/100 g, p < 0.001), urine output (median [IQR], 1.3 [1.1−1.7] vs. 0.8 [0.6−1.3] mL/min, p < 0.05), lactate dehydrogenase (mean ± SD, 768 ± 370 vs. 905 ± 401 U/L, p < 0.05) and AST (mean ± SD, 352 ± 285 vs. 462 ± 383 U/L, p < 0.01) before and after renal artery occlusion, while no significant difference was found in creatinine clearance, fractional excretion of sodium, total sodium reabsorption and histological damage. Conclusions: LSCI fluxes correlated linearly with renal blood flow during NMP. Renal cortical microcirculation and absent perfusion can be visualized and semiquantified by LSCI. It provides a relative understanding of perfusion levels, allowing for a qualitative comparison between regions in the kidney. Dual-side LSCI measurements are of added value compared to single aspect measurement and renal function markers.","kidney quality assessment; laser speckle contrast imaging; normothermic machine perfusion; renal cortical microcirculation","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:b9170689-5fe6-4cfd-ac70-5ec0fbd9bef4","http://resolver.tudelft.nl/uuid:b9170689-5fe6-4cfd-ac70-5ec0fbd9bef4","A Wideband Digital-Intensive Current-Mode Transmitter Line-Up","Shen, Y. (TU Delft Electronics); Hoogelander, M. (TU Delft Tera-Hertz Sensing); Bootsman, R.J. (TU Delft Electronics); Alavi, S.M. (TU Delft Electronics); de Vreede, L.C.N. (TU Delft Electronics)","","2023","A current-mode direct-digital RF modulator (DDRM)-based transmitter (TX) architecture is proposed in this article for energy-efficient wireless applications. To demonstrate its key principles, a 2×13 bit demonstrator is implemented in a 40-nm CMOS technology. This DDRM can operate standalone or as a driver for a common-gate (CG)/common-base (CB) power amplifier (PA). The proposed DDRM is based on current-steering radio frequency digital-to-analog converters (RFDACs) that feature an extra current division path to allow the generation of the optimum current-mode class-B drive profile for the final CG/CB PA, facilitating energy-efficient TX operation without compromising linearity. For this purpose, the DDRM uses signed-IQ mapping combined with a class-B harmonic rejection (HR) technique. In addition, an advanced dynamic biasing technique is introduced to further enhance the TX line-up efficiency in deep power back-off (PBO) region. The DDRM driver standalone can provide 19.6-dBm RF peak output power. It supports a '160-MHz 256-QAM' signal at 2.4 GHz with an adjacent channel leakage ratio (ACLR) of -40.3 dBc and an error vector magnitude (EVM) of -33 dB, without using any digital pre-distortion (DPD). When connected to a CB SiGe PA, the overall TX line-up achieves an output power of 27 dBm and an overall TX system efficiency of 20%. This DPD-free TX line-up achieves an ACLR of -37.7 dBc and an EVM of -30 dB, respectively, when operating with an '80-MHz 64-QAM' signal at 2.2 GHz.","Common-gate (CG)/common-base (CB) power amplifier (PA); current division path; digital pre-distortion (DPD)-free; direct-digital RF modulator (DDRM); dynamic biasing; harmonic rejection (HR); IQ-mapping; quadrature up-converter; radio frequency digital-to-analog converter (RFDAC); TX line-up","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-25","","","Electronics","","",""
"uuid:87c9c52c-5781-4da3-9cd4-8134ce54362b","http://resolver.tudelft.nl/uuid:87c9c52c-5781-4da3-9cd4-8134ce54362b","A General Hierarchical Control System to Model ACC Systems: An Empirical Study","Ruan, Tiancheng (Southeast University); Wang, Hao (Southeast University); Jiang, Rui (Beijing Jiaotong University); Li, Xiaopeng (University of Wisconsin-Madison); Xie, N. (TU Delft Electronic Instrumentation); Xie, Xinjian (Guangzhou Baiyun International Airport Co); Hao, Ruru (Chang'an University); Dong, Changyin (Southeast University)","","2023","Urged by a close future perspective of a traffic flow made of a mix of human-driven vehicles and automated vehicles (AVs), research has recently focused on studying the traffic flow characteristics of Adaptive Cruise Controls (ACCs), the most typical AV. However, in most works, the ACC system is studied under a simplifying and unrealistic assumption, or the ACC system modeled is inaccurate. This paper proposes a general hierarchical control system to model ACC systems with several assumptions based on the deficiencies above. Moreover, a field experiment was conducted, and the corresponding experimental data was used to verify the proposed hierarchical control system and assumptions. In addition, string stability is explored along with sensitivity analyses of control parameters based on an example under the constant time gap policy. The results show that different upper-level controller parameters have different delays, where the delay of the speed is negligible; the introduction of actuator delay and lag in the lower-level controller can significantly improve the model goodness of fit. Furthermore, optimizing the delay and lag in the lower-level controller can significantly enhance the string stability of ACCs than optimizing the control parameters.","Actuators; Adaptive cruise control; Control systems; Data models; Delays; field experiments; hierarchical control system; Mathematical models; Process control; Stability criteria; string stability","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-25","","","Electronic Instrumentation","","",""
"uuid:4a9250d3-662f-49b0-9620-bdbcc69808da","http://resolver.tudelft.nl/uuid:4a9250d3-662f-49b0-9620-bdbcc69808da","A Synchronized Switch Harvesting Rectifier With Reusable Storage Capacitors for Piezoelectric Energy Harvesting","Yue, X. (TU Delft Electronic Instrumentation); Du, S. (TU Delft Electronic Instrumentation)","","2023","Synchronized ac-dc rectifiers are widely used for energy rectification in piezoelectric energy harvesting (PEH), which have to employ a bulky inductor or some dedicated flying capacitors for high energy conversion efficiency. This article proposes a synchronized switch harvesting on shared capacitors (SSHSC) rectifier achieving synchronized voltage flipping without inductors or dedicated flying capacitors for PEH. The proposed SSHSC rectifier employs only three energy-storage capacitors with a specific capacitance ratio (3:3:1). These three capacitors mainly serve as storage capacitors; they can also be reused as flying capacitors for bias-flip operations. Thanks to the capacitor-sharing technique, this SSHSC rectifier takes a small volume and fewer I/O pads compared to prior SSHC rectifiers. This design was fabricated in a 180-nm BCD process, and the measured results show 78% voltage flipping efficiency and 7.58 × power enhancement.","Bias-flip rectifier; energy harvesting; inductorless; miniaturization; piezoelectric transducers (PTs); rectifier; Synchronization; synchronized switch harvesting on capacitors (SSHC)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-25","","","Electronic Instrumentation","","",""
"uuid:a52a4c89-97b4-4c80-9376-d31823651424","http://resolver.tudelft.nl/uuid:a52a4c89-97b4-4c80-9376-d31823651424","Governing Equation Identification of Nonlinear Single Degree-of-Freedom Oscillators With Coulomb Friction Using Explicit Stick and Slip Temporal Constraints","Mahajan, Saurabh (Student TU Delft); Cicirello, A. (TU Delft Mechanics and Physics of Structures)","","2023","The friction force at joints of engineering structures is usually unknown and not directly identifiable. This contribution explores a procedure for obtaining the governing equation of motion and correctly identifying the unknown Coulomb friction force of a mass-springdashpot system. In particular, a single degree-of-freedom system is investigated both numerically and experimentally. The proposed procedure extends the state-of-the-art datadriven sparse identification of nonlinear dynamics (SINDy) algorithm by developing a methodology that explicitly imposes constraints encoding knowledge of the nonsmooth dynamics experienced during stick-slip phenomena. The proposed algorithm consists of three steps: (i) data segregation of mass-motion from mass-sticking during stick-slip response; (ii) application of SINDy on the mass-motion dataset to obtain the functional form of the governing equation; and (iii) applying sticking and slipping conditions to identify the unknown system parameters. It is shown that the proposed approach yields an improved estimate of the uncertain system parameters such as stiffness, viscous damping, and magnitude of friction force (all mass normalized) for various signal-to-noise ratios compared to SINDy.","Coulomb friction; discontinuous nonlinearity; epistemic uncertainty; nonlinear dynamic system identification; SINDy; stick and slip temporal constraints","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-25","","","Mechanics and Physics of Structures","","",""
"uuid:7842f5f6-05a3-4eca-9128-0e3596df25a4","http://resolver.tudelft.nl/uuid:7842f5f6-05a3-4eca-9128-0e3596df25a4","Some realcompact spaces","Dow, Alan (University of North Carolina at Charlotte); Hart, K.P. (TU Delft Analysis); van Mill, J. (Universiteit van Amsterdam); Vermeer, J. (TU Delft Analysis)","","2023","We present examples of realcompact spaces with closed subsets that are C*-embedded but not C-embedded, including one where the closed set is a copy of N.
2, and H2S in aqueous MEA solutions. For this purpose, we computed densities of pure MEA and 30 wt% MEA/water solutions while scaling the LJ energy (ϵ) parameter and point charges of MEA. Results show that with a scaling factor of 0.80 applied to the point charges of MEA, computed densities agree well with the experimental ones from literature. This was tested by computing viscosities and the self-diffusivity of pure MEA and 30 wt% MEA/water solutions and comparing these with experiments. We showed that the scaling factor of 0.80 also works well for predicting transport properties of MEA/water solutions. Finally, we computed self-diffusivities of infinitely diluted CO2 and H2S for temperatures ranging from 293–353 K and MEA concentrations of 10–50 wt%. Our results show that the self-diffusivity of both acid gases depends significantly on the temperature and MEA concentration in the solution. The results of this study will contribute to the development of more efficient acid gas treatment processes.","Carbon dioxide; Diffusion; Hydrogen sulfide; Molecular simulation; Transport properties","en","journal article","","","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:0c56b2c3-0e1d-43d7-a4a4-a1985fbe6f11","http://resolver.tudelft.nl/uuid:0c56b2c3-0e1d-43d7-a4a4-a1985fbe6f11","A bidding mechanism for maintenance of generation units considering inter-ISO power exchange","Fu, J. (TU Delft Team Bart De Schutter); Nunez, Alfredo (TU Delft Railway Engineering); De Schutter, B.H.K. (TU Delft Team Bart De Schutter)","","2023","To ensure the reliability of power systems, the independent system operator (ISO) manages the planning process of the maintenance of generation units for generation companies (GENCOs). This paper focuses on a widely studied two-layer long-term predictive maintenance decision making framework in a deregulated environment. In the first layer the ISO-wide maintenance schedule is optimized for the GENCOs, targeting minimal total maintenance cost and degradation statuses. In the second layer, a bidding mechanism is designed for GENCOs who are not satisfied with the time slots scheduled by the first layer, so that they can bid for their preferred time slots. A novel bidding mechanism for the host ISO (i.e., the ISO that manages the maintenance planning process) is proposed, called interchangeable bidding mechanism for maintenance (IBMM). In this mechanism, the GENCOs of the host ISO can use their bid price to purchase the supportive energy from the GENCOs of the neighbor ISOs. Furthermore, they also can pay a penalty fee for reducing the amount of energy transmitted from the host ISO to the neighbor ISO with respect to what has been stipulated in the long-term inter-ISO power exchange contract. IBMM provides more opportunities for GENCOs of the host ISO to obtain their preferred maintenance time slots. Additionally, the power system reliability can be ensured. IBMM is formulated as a mixed-integer non-linear bidding programming problem. Then, the bidding programming problem is recast into a mixed-integer second-order cone programming (MISOCP) problem that can be solved using Gurobi. In the case study, the IEEE 118-bus network is studied to illustrate the performance of the proposed bidding strategy.","Generation unit maintenance; Inter-ISO energy exchange; Maintenance bidding mechanism; Mixed-integer non-linear programming problem","en","journal article","","","","","","","","","","","Team Bart De Schutter","","",""
"uuid:826ea042-1577-44b2-a372-437e8e0eadd9","http://resolver.tudelft.nl/uuid:826ea042-1577-44b2-a372-437e8e0eadd9","Magnetic and electric antennas synergy for partial discharge measurements in gas-insulated substations: Power flow and reflection suppression","Mier Escurra, C. (TU Delft High Voltage Technology Group); Mor, A. R. (Universitat Politécnica de Valencia); Vaessen, P.T.M. (TU Delft High Voltage Technology Group); Lathouwers, A.G.A. (TU Delft High Voltage Technology Group)","","2023","One of the main difficulties in measuring partial discharges (PD) in gas-insulated substations (GIS) is the overlapping of pulses at the sensor's location, which distorts the pulse resolution and the charge estimation. This research presents a new method called “synergy,” which identifies and suppresses reflections using magnetic and electric antennas in the very-high frequency range. By scaling the antennas’ outputs and adding them, it is possible to segregate forward and backward pulses. Additionally, by multiplying the electric and magnetic signals, the power flow of the pulses is obtained, which identifies the propagation direction and the location of discontinuities in the transmission path. The synergy method is evaluated in three scenarios: a fully matched test bench using a calibrated pulse, a full-scale GIS using a calibrated pulse, and a full-scale GIS using a PD defect. The results showed that the pulse reflections can be eliminated from the incident pulse, improving the charge calculation when the pulses overlap. The output of this research represents an improvement for PD monitoring in GIS, exhibiting a tool for better defect localization, pulse wave shape construction, charge estimation, and possible interference rejection.","GIS; magnetic antenna; partial discharges; PD sensors; pulse overlapping; UHF antenna","en","journal article","","","","","","","","","","","High Voltage Technology Group","","",""
"uuid:fe4c27ff-85cb-4084-8dfb-476fa7117f16","http://resolver.tudelft.nl/uuid:fe4c27ff-85cb-4084-8dfb-476fa7117f16","Investigating Effects of Heterogeneity and Fracture Distribution on Two-Phase Flow in Fractured Reservoir with adaptive time strategy","Wang, Lu Yu (The Hong Kong Polytechnic University; GeoRessources Lab); Chen, Wei Zhong (Chinese Academy of Sciences); Zhang, Yan Jun (College of Petroleum Engineering; Xi'an Shiyou University); Zhang, Xiao Dong (GeoRessources Lab); Vuik, Cornelis (TU Delft Delft Institute of Applied Mathematics)","","2023","Modeling of fluid flow in porous media is a pillar in geoscience applications. Previous studies have revealed that heterogeneity and fracture distribution have considerable influence on fluid flow. In this work, a numerical investigation of two-phase flow in heterogeneous fractured reservoir is presented. First, the discrete fracture model is implemented based on a hybrid-dimensional modeling approach, and an equivalent continuum approach is integrated in the model to reduce computational cost. A multilevel adaptive strategy is devised to improve the numerical robustness and efficiency. It allows up to 4-levels adaption, where the adaptive factors can be modified flexibly. Then, numerical tests are conducted to verify the the proposed method and to evaluate its performance. Different adaptive strategies with 3-levels, 4-levels and fixed time schemes are analyzed to evaluate the computational cost and convergence history. These evaluations demonstrate the merits of this method compared to the classical method. Later, the heterogeneity in permeability field, as well as initial saturation, is modeled in a layer model, where the effect of layer angle and permeability on fluid flow is investigated. A porous medium containing multiple length fractures with different distributions is simulated. The fine-scale fractures are upscaled based on the equivalent approach, while the large-scale fractures are retained. The conductivity of the rock matrix is enhanced by the upscaled fine-scale fractures. The difference of hydraulic property between homogeneous and heterogeneous situations is analyzed. It reveals that the heterogeneity may influence fluid flow and production, while these impacts are also related to fracture distribution and permeability.","Fracture distribution; Fractured porous media; Heterogeneity; Multilevel adaptive scheme; Two-phase flow","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-03","","","","","",""
"uuid:16e1e99a-b96a-4756-84dc-9458e37dfca3","http://resolver.tudelft.nl/uuid:16e1e99a-b96a-4756-84dc-9458e37dfca3","Assessment of Predictive Genomic Biomarkers for Response to Cisplatin-based Neoadjuvant Chemotherapy in Bladder Cancer","Gil Jimenez, A. (Netherlands Cancer Institute; Oncode Institute); van Dorp, Jeroen (Netherlands Cancer Institute); Contreras-Sanz, Alberto (University of British Columbia); van der Vos, Kristan (Netherlands Cancer Institute); Vis, Daniel J. (Netherlands Cancer Institute; Oncode Institute); Braaf, Linde (Netherlands Cancer Institute); Broeks, Annegien (Netherlands Cancer Institute); Kerkhoven, Ron (Netherlands Cancer Institute); van Kessel, Kim E.M. (Erasmus MC); Wessels, L.F.A. (TU Delft Pattern Recognition and Bioinformatics; Netherlands Cancer Institute; Oncode Institute)","","2023","Cisplatin-based neoadjuvant chemotherapy (NAC) followed by radical cystectomy is recommended for patients with muscle-invasive bladder cancer (MIBC). It has been shown that somatic deleterious mutations in ERCC2, gain-of-function mutations in ERBB2, and alterations in ATM, RB1, and FANCC are correlated with pathological response to NAC in MIBC. The objective of this study was to validate these genomic biomarkers in pretreatment transurethral resection material from an independent retrospective cohort of 165 patients with MIBC who subsequently underwent NAC and radical surgery. Patients with ypT0/Tis/Ta/T1N0 disease after surgery were defined as responders. Somatic deleterious mutations in ERCC2 were found in nine of 68 (13%) evaluable responders and two of 95 (2%) evaluable nonresponders (p = 0.009; FDR = 0.03). No correlation was observed between response and alterations in ERBB2 or in ATM, RB1, or FANCC alone or in combination. In an exploratory analysis, no additional genomic alterations discriminated between responders and nonresponders to NAC. No further associations were identified between the aforementioned biomarkers and pathological complete response (ypT0N0) after surgery. In conclusion, we observed a positive association between deleterious mutations in ERCC2 and pathological response to NAC, but not overall survival or recurrence-free survival. Other previously reported genomic biomarkers were not validated. Patient summary: It is currently unknown which patients will respond to chemotherapy before definitive surgery for bladder cancer. Previous studies described several gene mutations in bladder cancer that correlated with chemotherapy response. This study confirmed that patients with bladder cancer with a mutation in the ERCC2 gene often respond to chemotherapy.","Cisplatin-based chemotherapy; DNA sequencing; Muscle-invasive bladder cancer; Neoadjuvant chemotherapy; Response prediction; Somatic mutations","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public. Corrigendum to “Assessment of Predictive Genomic Biomarkers for Response to Cisplatin-based Neoadjuvant Chemotherapy in Bladder Cancer” [Eur Urol 2023;83:313–17] (European Urology (2023) 83(4) (313–317), (S0302283822025386), (10.1016/j.eururo.2022.07.023)) The authors regret that the following statement regarding author contributions was missed: Kristan van der Vos is currently a Scientific Editor for Cell Reports Medicine, which is published by Elsevier. Dr van der Vos was not involved in the peer-review process or editorial discussions about this manuscript. The authors would like to apologise for any inconvenience caused.","","2023-09-09","","","Pattern Recognition and Bioinformatics","","",""
"uuid:5e7b106d-061c-4596-9b1b-1727ee325811","http://resolver.tudelft.nl/uuid:5e7b106d-061c-4596-9b1b-1727ee325811","Exploring impacts of deployment sequences of industrial mitigation measures on their combined CO2 reduction potential","de Raad, B.W. (TU Delft Energie and Industrie; Rotterdam University of Applied Sciences); van Lieshout, Marit (Rotterdam University of Applied Sciences); Stougie, L. (TU Delft Energie and Industrie); Ramirez, Andrea (TU Delft Energie and Industrie)","","2023","","","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:73ff6d13-04a1-44cf-aa5a-dcf347311577","http://resolver.tudelft.nl/uuid:73ff6d13-04a1-44cf-aa5a-dcf347311577","Pore morphology in thermally-treated shales and its implication on CO2 storage applications: A gas sorption, SEM, and small-angle scattering study","Chandra, D. (TU Delft Applied Geophysics and Petrophysics; Indian Institute of Technology Bombay); Bakshi, Tuli (Indian Institute of Technology Bombay); Bahadur, Jitendra (Bhabha Atomic Research Centre; Homi Bhabha National Institute, Mumbai); Hazra, Bodhisatwa (Central Institute of Mining and Fuel Research); Vishal, Vikram (Indian Institute of Technology Bombay); Kumar, Shubham (Indian Institute of Technology Bombay); Sen, Debasis (Bhabha Atomic Research Centre; Homi Bhabha National Institute, Mumbai); Singh, T. N. (Indian Institute of Technology Bombay)","","2023","A combination of high-resolution imaging, low-pressure gas adsorption, and small-angle X-ray and neutron scattering quantifies changes in the pore characteristics of pulverized shale samples under oxic and anoxic environments up to 300 ℃. Clay-rich early-mature shales have a fair potential to generate hydrocarbons, the total organic carbon content of which lies within a range of 2.9 % to 7.4 %. High-resolution imaging indicates restructuring and coalescence of Type III kerogen-hosted pores due to oxic heating, which causes up to 580 % and 300 % increase in the surface area and pore volume of mesopores respectively. Similarly, up to 300 % and 1200 % increase in micropore surface area and pore volume is observed post oxic heating. However, during anoxic heating, bitumen mobilizes, leads to pore-blockage, and reduces the surface area and pore volume up to 45 % and 12 % respectively without any significant mass loss up to 350 °C. Between 400 and 550 °C, considerable loss in mass occurred due to breaking of organic matter, facilitated by the presence of siderite that caused up to 30 % loss in mass. The test conditions display starkly opposite effects in pores that have a width of < 100 nm when compared to the larger macropore domain, which has a pore width in the range of 100 to 700 nm as inferred from their small-angle X-ray (SAXS) and neutron (SANS) scattering behaviour, respectively. Despite the formation of new mesopores or the creation of new networks of pores with rougher surfaces, the fractal behavior of accessible mesopores in combusted shales minimally increase mesopore surface roughness. The pyrolyzed shales exhibit decreased mesopore surface roughness at higher temperatures, which indicates smoothening of pores due to pore blocking. Increase in pore volume and surface area due to oxic-heat treatment enhances the feasibility of long-term CO2 storage in shales.","CO storage; Combustion & inert heating; Organic matter; Pore characteristics; SANS; SAXS","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:fde7f207-8dca-4500-964a-dd24d297ec91","http://resolver.tudelft.nl/uuid:fde7f207-8dca-4500-964a-dd24d297ec91","Reliability testing for product return prediction","Zhao, Xiujie (Tianjin University); Chen, P. (TU Delft Statistics); Lv, Shanshan (Hebei University of Technology); He, Zhen (Tianjin University)","","2023","Return of products within the warranty coverage induces additional cost and loss of reputation to manufacturers. It is of practical interest to predict the return rate by experimental means before introducing a product to the market. In this paper, we propose to optimize accelerated reliability tests to achieve the goal within limited time. To describe the heterogeneity in the customers’ usage mode, a discrete random variable is employed to model the degradation rate in addition to the continuous stress variable. To further characterize the heterogeneity in the customers’ behavior, two models of product return are investigated: one assumes that customers return products once the degradation level reaches the minimum eligible return threshold and the other assumes that the threshold varies among different customers. Optimal reliability tests are planned under the large-sample assumption with two novel test schemes: global optimal planning and stress constrained planning. Insights regarding the optimal plans are gleaned to ameliorate the test planning procedure and verify the optimality. A real example from the battery industry is then presented along with the simulation study and sensitivity analysis to demonstrate the methods. We find that the randomness in return level results in different test plans. Furthermore, the constrained optimal plans offer more robustness to the compromise plans.","Accelerated degradation test; Fisher information; Optimal design; Reliability; Warranty prediction","en","journal article","","","","","","","","","","","Statistics","","",""
"uuid:337bdec5-c994-4d0c-ad23-b24ded0e0a20","http://resolver.tudelft.nl/uuid:337bdec5-c994-4d0c-ad23-b24ded0e0a20","Investigating rural public spaces with cultural significance using morphological, cognitive and behavioural data","Bai, N. (TU Delft Heritage & Values); Nourian, Pirouz (TU Delft Design Informatics); Pereira Roders, A. (TU Delft Heritage & Values); Bunschoten, Raoul (Technical University of Berlin); Huang, Weixin (Tsinghua University); Wang, Lu (Tsinghua University)","","2023","During the rural [re]vitalization process in China, national strategies required rural public spaces with cultural significance to be identified before planning decision-making. However, places identified as culturally significant by planners and visitors can differ from the ones mostly used and valued by locals. Even if there is a growing interest in integrating local perspectives and experiences in planning, studies seldom discuss and compare openly the adequacy of spatial configuration, cognition and behaviour to support it. This study took Anyi Historic Village Cluster as a case study to empirically investigate rural public spaces with three distinct, yet related approaches: (1) Morphological: spatial network centralities based on space syntax; (2) Cognitive: Lynchian village images with semi-structured interviews; (3) Behavioural: spatiotemporal occupation patterns using Wi-Fi positioning tracking. Significant places valued and used by locals and non-locals were detected with the multi-source data. Furthermore, multivariant regression models managed to characterize the relationship among different aspects of investigated rural public spaces, which also helped diagnose places of interest to prioritize in planning, demonstrating the advantage of integrating the sources of information in practice instead of studying them apart. Results can also assist rural planning on how to identify what to preserve, what to enhance, and how to develop such spaces, without overlooking the local needs or losing the rural identity.","Rural Planning; Space Syntax; Cognition; Big Data; Activity Space","en","journal article","","","","","","","","","","","Heritage & Values","","",""
"uuid:e3fa74ab-b1da-4fe5-929f-fd0e3a39fd52","http://resolver.tudelft.nl/uuid:e3fa74ab-b1da-4fe5-929f-fd0e3a39fd52","Design of a distributed optical fiber sensor system for measuring immersed tunnel joint deformations","Zhang, X. (TU Delft Geo-engineering); Broere, W. (TU Delft Geo-engineering)","","2023","Monitoring the deformations of immersed tunnels is important during the entire tunnel service life to assess the structural integrity of the tunnel. Conventional joint deformation monitoring is based on manual levelling measurements and normally occurs only at multi-year intervals, which does not allow to capture short term deformation behavior. In this study a new joint monitoring system using distributed optical fiber sensors (DOFS) is developed. A special sensor layout is designed that allows simultaneous measurements of both horizontal joint opening and vertical uneven settlement of the immersion and dilation joints. For this sensor scheme the transfer relation from fiber strain to joint deformation is derived and verified by in-lab experiments. The sensor system proves to be able to detect sub-millimeter joint deformations, indicating a more than sufficient accuracy for structural monitoring of immersed tunnel joints. Subsequently, the First Heinenoordtunnel in the Netherlands is instrumented using this distributed optical fiber sensing system, in order to obtain additional data for both long-term and short-term assessment of its structural condition.","Distributed optical fiber sensor; Immersed tunnel; Joint deformation","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:b274dfd4-9a7a-4754-b301-236acbce9179","http://resolver.tudelft.nl/uuid:b274dfd4-9a7a-4754-b301-236acbce9179","Cyclical Variational Bayes Monte Carlo for efficient multi-modal posterior distributions evaluation","Igea, Felipe (University of Oxford); Cicirello, A. (TU Delft Mechanics and Physics of Structures; University of Oxford)","","2023","Multi-modal distributions of some physics-based model parameters are often encountered in engineering due to different situations such as a change in some environmental conditions, and the presence of some types of damage and non-linearity. In statistical model updating, for locally identifiable parameters, it can be anticipated that multi-modal posterior distributions would be found. The full characterization of these multi-modal distributions is important as methodologies for structural condition monitoring in structures are frequently based in the comparison of the damaged and healthy models of the structure. The characterization of posterior multi-modal distributions using state-of-the-art sampling techniques would require a large number of simulations of expensive-to-run physics-based models. Therefore, when a limited number of simulations can be run, as it often occurs in engineering, the traditional sampling techniques would not be able to capture accurately the multi-modal distributions. This could potentially lead to large numerical errors when assessing the performance of an engineering structure under uncertainty. Therefore, an approach is proposed for drastically reducing the number of models runs while yielding accurate estimates of highly multi-modal posterior distributions. This approach introduces a cyclical annealing schedule into the Variational Bayes Monte Carlo (VBMC) method to improve the algorithm's phase of exploration and the finding of high probability areas in the multi-modal posteriors throughout the different cycles. Three numerical and one experimental investigations are used to compare the proposed cyclical VBMC with the standard VBMC algorithm, the monotonic VBMC and the Transitional Ensemble Markov Chain Monte Carlo (TEMCMC). It is shown that the standard VBMC fails in capturing multi-modal posteriors as it is unable to escape already found regions of high posterior density. In the presence of highly multi-modal posteriors, the proposed cyclical VBMC algorithm outperforms all the other approaches in terms of accuracy of the resulting posterior, and number of model runs required.","Bayesian inference; Bayesian quadrature; Cyclical annealing; Gaussian process; Model updating; Variational inference","en","journal article","","","","","","","","","","","Mechanics and Physics of Structures","","",""
"uuid:bdf55958-d148-4ff7-93aa-0487f71a0391","http://resolver.tudelft.nl/uuid:bdf55958-d148-4ff7-93aa-0487f71a0391","Climate change mitigation by coral reefs and seagrass beds at risk: How global change compromises coastal ecosystem services","James, R. K. (Universiteit Utrecht; Vrije Universiteit Brussel); Keyzer, L.M. (TU Delft Civil Engineering & Geosciences); Van de Velde, S.J.F. (TU Delft Landscape Architecture; Vrije Universiteit Brussel; Royal Belgian Institute of Natural Sciences); Herman, P.M.J. (TU Delft Environmental Fluid Mechanics; Deltares); van Katwijk, M. M. (Radboud Universiteit Nijmegen); Bouma, T. J. (Universiteit Utrecht)","","2023","Seagrass meadows provide valuable ecosystem services of coastal protection and chemical habitat formation that could help mitigate the impact of sea level rise and ocean acidification. However, the intensification of hydrodynamic forces caused by sea level rise, in addition to habitat degradation threaten the provision of these ecosystem services. With quantitative field measurements of the coastal protection and chemical habitat formation services of seagrass meadows, we statistically model the relationships between hydrodynamic forces, vegetation density and the provision of these ecosystem services. Utilising a high-resolution hydrodynamic model that simulates end of the century hydrodynamic conditions and three scenarios of coral reef degradation (i.e., keep up, remain or loss) we quantify how the environmental conditions within a tropical bay will change given changes to the provision of ecosystem services. Our study shows that increasing hydrodynamic forces lead to a seafloor made up of a larger grain size that is increasingly unstable and more vulnerable to erosion. The loss of a fringing reef leads to larger hydrodynamic forces entering the bay, however, the 0.87 m increase in depth due to sea-level rise reduces the bed shear stress in shallower areas, which limits the change in the ecosystem services provided by the current benthic seagrass meadow. Loss of seagrass constitutes the greatest change in a bay ecosystem, resulting in the sediment surface where seagrass existed becoming unstable and the median sediment grain size increasing by 5-7 %. The loss of seagrass also leads to the disappearance of the unique fluctuating chemical habitat, which leaves the surrounding community vulnerable to ocean acidification. A reduction or complete loss of these ecosystem services would impact the entire community assemblage while also leaving the surrounding coastline vulnerable to erosion, thus exacerbating negative effects brought about by climate change.","Caribbean; Coastal ecology; Habitat degradation; pH refugia; Sea-level rise; Thalassia testudinum; Tropical ecosystem","en","journal article","","","","","","","","","Civil Engineering & Geosciences","","Landscape Architecture","","",""
"uuid:ac148328-5b6f-495c-a862-cc16bd0610ce","http://resolver.tudelft.nl/uuid:ac148328-5b6f-495c-a862-cc16bd0610ce","Intelligent health indicator construction for prognostics of composite structures utilizing a semi-supervised deep neural network and SHM data","Moradi, M. (TU Delft Structural Integrity & Composites); Broer, Agnes A.R. (TU Delft Structural Integrity & Composites); Chiachío, Juan (University of Granada); Benedictus, R. (TU Delft Structural Integrity & Composites); Loutas, Theodoros H. (University of Patras); Zarouchas, D. (TU Delft Structural Integrity & Composites)","","2023","A health indicator (HI) is a valuable index demonstrating the health level of an engineering system or structure, which is a direct intermediate connection between raw signals collected by structural health monitoring (SHM) methods and prognostic models for remaining useful life estimation. An appropriate HI should conform to prognostic criteria, i.e., monotonicity, trendability, and prognosability, that are commonly utilized to measure the HI's quality. However, constructing such a HI is challenging, particularly for composite structures due to their vulnerability to complex damage scenarios. Data-driven models and deep learning are powerful mathematical tools that can be employed to achieve this purpose. Yet the availability of a large dataset with labels plays a crucial role in these fields, and the data collected by SHM methods can only be labeled after the structure fails. In this respect, semi-supervised learning can incorporate unlabeled data monitored from structures that have not yet failed. In the present work, a semi-supervised deep neural network is proposed to construct HI by SHM data fusion. For the first time, the prognostic criteria are used as targets of the network rather than employing them only as a measurement tool of HI's quality. In this regard, the acoustic emission method was used to monitor composite panels during fatigue loading, and extracted features were used to construct an intelligent HI. Finally, the proposed roadmap is evaluated by the holdout method, which shows a 77.3% improvement in the HI's quality, and the leave-one-out cross-validation method, which indicates the generalized model has at least an 81.77% score on the prognostic criteria. This study demonstrates that even when the true HI labels are unknown but the qualified HI pattern (according to the prognostic criteria) can be recognized, a model can still be built that provides HIs aligning with the desired degradation behavior.","Composite structures; Intelligent health indicator; Prognostic and health management; Semi-supervised deep neural network; Structural health monitoring","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:806783e4-0a88-429e-b2bd-8252cf90e2c8","http://resolver.tudelft.nl/uuid:806783e4-0a88-429e-b2bd-8252cf90e2c8","On the fracture behavior of cortical bone microstructure: The effects of morphology and material characteristics of bone structural components","Allahyari, P. (Isfahan University of Technology); Silani, M. (Isfahan University of Technology); Yaghoubi Nasrabadi, V. (TU Delft Structural Integrity & Composites); Milovanovic, P. (University of Belgrade); Schmidt, F. N. (University Medical Center Hamburg-Eppendorf); Busse, B. (University Medical Center Hamburg-Eppendorf); Qwamizadeh, M. (University Medical Center Hamburg-Eppendorf)","","2023","Bone encompasses a complex arrangement of materials at different length scales, which endows it with a range of mechanical, chemical, and biological capabilities. Changes in the microstructure and characteristics of the material, as well as the accumulation of microcracks, affect the bone fracture properties. In this study, two-dimensional finite element models of the microstructure of cortical bone were considered. The eXtended Finite Element Method (XFEM) developed by Abaqus software was used for the analysis of the microcrack propagation in the model as well as for local sensitivity analysis. The stress–strain behavior obtained for the different introduced models was substantially different, confirming the importance of bone tissue microstructure for its failure behavior. Considering the role of interfaces, the results highlighted the effect of cement lines on the crack deflection path and global fracture behavior of the bone microstructure. Furthermore, bone micromorphology and areal fraction of cortical bone tissue components such as osteons, cement lines, and pores affected the bone fracture behavior; specifically, pores altered the crack propagation path since increasing porosity reduced the maximum stress needed to start crack propagation. Therefore, cement line structure, mineralization, and areal fraction are important parameters in bone fracture. The parameter-wise sensitivity analysis demonstrated that areal fraction and strain energy release rate had the greatest and the lowest effect on ultimate strength, respectively. Furthermore, the component-wise sensitivity analysis revealed that for the areal fraction parameter, pores had the greatest effect on ultimate strength, whereas for the other parameters such as elastic modulus and strain energy release rate, cement lines had the most important effect on the ultimate strength. In conclusion, the finding of the current study can help to predict the fracture mechanisms in bone by taking the morphological and material properties of its microstructure into account.","Bone microstructure; Cement line; Cortical bone; Extended finite element method (XFEM); Fracture; Sensitivity analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Structural Integrity & Composites","","",""
"uuid:54599a2d-a3f5-485e-b370-d3fa8c0030bf","http://resolver.tudelft.nl/uuid:54599a2d-a3f5-485e-b370-d3fa8c0030bf","Optimization of multilayer graphene-based gas sensors by ultraviolet photoactivation","Peña, Álvaro (UCM-ADIF); Matatagui, Daniel (UCM-ADIF; Universidad Complutense de Madrid; Instituto de Tecnologías Físicas y de la Información); Ricciardella, F. (TU Delft Electronic Instrumentation); Sacco, L.N. (TU Delft Electronic Components, Technology and Materials); Vollebregt, S. (TU Delft Electronic Components, Technology and Materials); Otero, Daniel (UCM-ADIF); López-Sánchez, Jesús (CSIC - Instituto de Ceramica y Vidrio (ICV)); Marín, Pilar (UCM-ADIF; Universidad Complutense de Madrid); Horrillo, Mari Carmen (Instituto de Tecnologías Físicas y de la Información)","","2023","Nitrogen dioxide (NO2) is a potential hazard to human health at low concentrations, below one part per million (ppm). NO2 can be monitored using gas sensors based on multi-layered graphene operating at ambient temperature. However, reliable detection of concentrations on the order of parts per million and lower is hindered by partial recovery and lack of reproducibility of the sensors after exposure. We show how to overcome these longstanding problems using ultraviolet (UV) light. When exposed to NO2, the sensor response is enhanced by 290 % − 550 % under a 275 nm wavelength light emitting diode irradiation. Furthermore, the sensor's initial state is completely restored after exposure to the target gas. UV irradiation at 68 W/m2 reduces the NO2 detection limit to 30 parts per billion (ppb) at room temperature. We investigated sensor performance optimization for UV irradiation with different power densities and target gases, such as carbon oxide and ammonia. Improved sensitivity, recovery, and reproducibility of UV-assisted graphene-based gas sensors make them suitable for widespread environmental applications.","Ammonia; Carbon monoxide; Graphene gas sensors; Limit of detection; Nitrogen dioxide; Ultraviolet","en","journal article","","","","","","","","","","","Electronic Instrumentation","","",""
"uuid:cbb28343-d285-488f-ac0a-8426e2619a03","http://resolver.tudelft.nl/uuid:cbb28343-d285-488f-ac0a-8426e2619a03","Sonic assessment of physical ageing of plastic pipes","Makris, K. (TU Delft Sanitary Engineering); Langeveld, J.G. (TU Delft Sanitary Engineering; Partners4UrbanWater); Clemens-Meyer, François H.L.R. (Deltares; Norwegian University of Science and Technology (NTNU)); Watts, Joanna (University of Sheffield); Begum, Hasina (University of Sheffield); Horoshenkov, Kirill V. (University of Sheffield)","","2023","This article explores the potential of vibro-acoustics to detect physical ageing of plastic pipes. For this purpose, two different topics are combined: the ability of vibro-acoustics to estimate the storage modulus of a plastic pipe, and the sensitivity of the estimated storage modulus to changes due to ageing. Concerning the first topic, a vibro-acoustic method was applied to two water-filled HDPE pipes, one surrounded by air and another by sand. The excitation was achieved via an impact hammer and the propagating signal was recorded with the aid of hydrophones. Signal analysis led to the estimation of the axial wavenumber of the propagating axisymmetric fluid-borne wave. This value was used in the dispersion equation for the propagating mode to evaluate the storage modulus of the pipe material for a given experimental setup. Results revealed that the vibro-acoustic method gives consistent and reliable estimations of the storage modulus. Concerning the second topic, samples from two PVC pipes with an age difference of 41 years were subjected to dynamic mechanical analysis to study the behaviour of the storage modulus as a function of frequency. Results showed that it is feasible to distinguish discrepancies in the magnitude of the storage modulus due to ageing, provided that the measurement uncertainty is small. The uncertainty analysis highlighted the parameters that need to be more accurately known in order to lower the overall uncertainty of the estimated storage modulus when the proposed vibro-acoustic method is used. Irrespectively of the medium surrounding the pipe (air or soil), the distance between the points of the recording signals should be sufficiently long to measure the signal phase accurately. It was found that the accurate knowledge of the pipe's geometry, i.e. the wall thickness and internal radius, was more or equally important for controlling the overall uncertainty than that of the parameters of surrounding soil.","Acoustic waves; Ageing; Dispersion equation; Fluid-filled pipe; Storage modulus; Wave propagation","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:ee22d4bb-9bd3-4e8d-8e05-38a2448b00fe","http://resolver.tudelft.nl/uuid:ee22d4bb-9bd3-4e8d-8e05-38a2448b00fe","Passive Earth Pressure in Narrow Cohesive-Frictional Backfills","Li, Chutian (Hong Kong University of Science and Technology); Lai, F. (TU Delft Geo-engineering; Southeast University); Shiau, Jim (University of Southern Queensland); Keawsawasvong, Suraparb (Thammasat University); Huang, Hanhui (Fuzhou University)","","2023","A narrow backfill zone is formed when retaining walls are built near existing stabilized structures (e.g., rock faces). In such circumstances, the classical passive earth pressure coefficient is no longer applicable, and a correction factor is required for the design. This paper aims to develop analytical solutions for estimating the passive earth pressure problem of narrow cohesive-frictional backfills behind retaining walls. The novel arched differential element method considers both effects of the horizontal shear stress in backfills and the soil arching, and it is employed to estimate the passive earth pressure distribution along with wall depth. The solutions are compared against those published experimental data, analytical approaches, and finite-element limit analysis solutions. The factors influencing the distribution of passive earth pressure are also undertaken using a series of parametric studies. To implement the derived solutions into a routine design, a modified practical design equation is presented following the standard Coulomb's solutions. This work provides a theoretical guideline for the initial design of retaining walls with narrow soils, and it should be of great interest to practitioners.","Arched differential element method; Cohesive-frictional materials; Narrow backfill; Passive earth pressure; Retaining structure; Soil arching effect","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Geo-engineering","","",""
"uuid:dc96cbbf-2eba-4303-a669-8bad2b0af3a1","http://resolver.tudelft.nl/uuid:dc96cbbf-2eba-4303-a669-8bad2b0af3a1","Applying Massively Parallel Interface for MPFA scheme with advanced linearization for fluid flow in porous media","Li, Longlong (Chinese Academy of Sciences; Hamad Bin Khalifa University (HBKU)); Khait, M. (TU Delft Reservoir Engineering); Voskov, D.V. (TU Delft Reservoir Engineering; Stanford University); Terekhov, Kirill M. (Russian Academy of Sciences); Abushaikha, Ahmad (Hamad Bin Khlifa University)","","2023","We apply Massively Parallel Interface for MPFA-O scheme with state-of-the-art Operator-Based Linearization (OBL) approach for multiphase flow in porous media. The implementation of MPFA-O scheme enhances the modelling capabilities for non-K-orthogonal mesh. A fully implicit scheme is applied to guarantee the stability of solutions when a mass-based formulation is involved to keep the flexibility of the framework for general-purpose reservoir simulation. As the MPFA-O introduces more non-zeros elements in the Jacobian matrix than the traditional TPFA, massively parallel computations via Message Passing Interface (MPI) in this work help to guarantee competitive computational efficiency for high-fidelity geological models. Concerning the Jacobian assembly hassle, we apply the OBL approach which introduces operators combining the fluid and rock properties in the conservation equations and discretizes the operators in the physical parameter space. By computing values and derivatives of the operators via a multilinear interpolation, the assembly of Jacobian matrix and residual vector could be drastically simplified. Another benefit of the OBL is that by only evaluating operator values on the predefined nodes in the physical parameter space, the overhead related to complex phase behavior and property evaluation is significantly reduced. In the end, we present several benchmark cases to rigorously demonstrate the accuracy, convergence, and robustness of the framework and two challenging field-scale cases to further prove its computing performance and parallel scalability.","Full tensor permeability; Multipoint flux approximation; Operator-based linearization; Parallel framework; Reservoir simulation; Unstructured grid","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-09","","","Reservoir Engineering","","",""
"uuid:fc2aa8bc-e0d9-4fbf-a290-3a5248444e52","http://resolver.tudelft.nl/uuid:fc2aa8bc-e0d9-4fbf-a290-3a5248444e52","Natural Language Processing for systems engineering: Automatic generation of Systems Modelling Language diagrams","Zhong, Shaohong (University of Oxford); Scarinci, Andrea (Massachusetts Institute of Technology); Cicirello, A. (TU Delft Mechanics and Physics of Structures; University of Oxford)","","2023","The design of complex engineering systems is an often long and articulated process that highly relies on engineers’ expertise and professional judgment. As such, the typical pitfalls of activities involving the human factor often manifest themselves in terms of lack of completeness or exhaustiveness of the analysis, inconsistencies across design choices or documentation, as well as an implicit degree of subjectivity. An approach is proposed to assist systems engineers in the automatic generation of systems diagrams from unstructured natural language text. Natural Language Processing (NLP) techniques are used to extract entities and their relationships from textual resources (e.g., specifications, manuals, technical reports, maintenance reports) available within an organisation, and convert them into Systems Modelling Language (SysML) diagrams, with particular focus on structure and requirement diagrams. The intention is to provide the users with a more standardised, comprehensive and automated starting point onto which subsequently refine and adapt the diagrams according to their needs. The proposed approach is flexible and open-domain. It consists of six steps which leverage open-access tools, and it leads to an automatic generation of SysML diagrams without intermediate modelling requirement, but through the specification of a set of parameters by the user. The applicability and benefits of the proposed approach are shown through six case studies having different textual sources as inputs, and benchmarked against manually defined diagram elements.","Automated diagrams from text; NLP; Requirement diagram; Structure diagram; SysML diagram; Text-to-diagram","en","journal article","","","","","","","","","","","Mechanics and Physics of Structures","","",""
"uuid:c6203086-9794-4639-97be-68f488503537","http://resolver.tudelft.nl/uuid:c6203086-9794-4639-97be-68f488503537","Isogeometric solution of helmholtz equation with dirichlet boundary condition in regions with irregular boundary: Numerical experiences","Mederos, Victoria Hernández (Instituto de Cibernética, La Habana); Ugalde, Isidro Abelló (Univ. de La Habana, La Habana); Alfonso, Rolando M.Bruno (Univ. de La Habana, La Habana); Lahaye, D.J.P. (TU Delft Mathematical Physics); Ones, Valia Guerra (Universidad de La Laguna, La Laguna)","","2023","In this paper we use the Isogeometric Analysis (IgA) to solve the Helmholtz equation with Dirichlet boundary condition over a bounded physical 2D domain. Starting from the variational formulation of the problem, we show how to apply IgA to obtain an approximated solution based on biquadratic B-spline functions. We focus the attention on problems where the physical domain has very irregular boundary. To solve these problems successfully a high quality parametrization of the domain must be constructed. This parametrization is also a biquadratic tensor product B-spline function, with control points computed as the vertices of a quadrilateral mesh with optimal geometric properties. We study experimentally the influence of the wave number and the parametrization of the physical domain in the accuracy of the approximated solution. A comparison with classical Finite Element Method is also included. The power of IgA is shown solving several difficult model problems, which are particular cases of the Helmholtz equation and where the solution has discontinuous gradient in some points, or it is highly oscillatory. For all model problems we explain how to select the knots of B-spline quadratic functions and how to insert knew knots in order to obtain good approximations. The results obtained with our imple-mentation of the method prove that IgA approach is successful, even on regions with irregular boundary, since it is able to offer smooth solutions having at the same time some singular points and high number of oscillations.","Helmholtz equation; irregular regions; Isogeometric analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Mathematical Physics","","",""
"uuid:728312a7-2249-46df-bf5b-7b86543a9e5d","http://resolver.tudelft.nl/uuid:728312a7-2249-46df-bf5b-7b86543a9e5d","Techno-economic analysis of sidestream ammonia removal technologies: Biological options versus thermal stripping","Ochs, Pascal (Cranfield University; Thames Water Utilities Ltd.); Martin, Ben (Thames Water Utilities Ltd.); Germain-Cripps, Eve (Thames Water Utilities Ltd.); Stephenson, Tom (Cranfield University); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Soares, Ana (Cranfield University)","","2023","Over the past twenty years, various commercial technologies have been deployed to remove ammonia (NH4–N) from anaerobic digestion (AD) liquors. In recent years many anaerobic digesters have been upgraded to include a pre-treatment, such as the thermal hydrolysis process (THP), to produce more biogas, increasing NH4–N concentrations in the liquors are costly to treat. This study provides a comparative techno-economic assessment of sidestream technologies to remove NH4–N from conventional AD and THP/AD dewatering liquors: a deammonification continuous stirred tank reactor (PNA), a nitrification/denitrification sequencing batch reactor (SBR) and thermal ammonia stripping process with an ammonia scrubber (STRIP). The SBR and PNA were based on full-scale data, whereas the STRIP was designed using a computational approach to achieve NH4–N removals of 90–95%. The PNA presented the lowest whole-life cost (WLC) over 40 years, with £7.7 M, while the STRIP had a WLC of £43.9 M. This study identified that THP dewatering liquors, and thus a higher ammonia load, can lead to a 1.5–3.0 times increase in operational expenditure with the PNA and the SBR. Furthermore, this study highlighted that deammonification is a capable and cost-effective nitrogen removal technology. Processes like the STRIP respond to current pressures faced by the water industry on ammonia recovery together with targets to reduce nitrous oxide emissions. Nevertheless, ammonia striping-based processes must further be demonstrated in WWTPs and WLC reduced to grant their wide implementation and replace existing technologies.","Deammonification; Denitrification; Nitrification; Sludge dewatering liquors; Wastewater","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:da39598a-a8e7-4bcd-af8a-8ae49799aeae","http://resolver.tudelft.nl/uuid:da39598a-a8e7-4bcd-af8a-8ae49799aeae","Frequency domain multiplexing readout for large arrays of transition-edge sensors","Vaccaro, D. (SRON Netherlands Institute for Space Research); Akamatsu, H. (SRON Netherlands Institute for Space Research); Gottardi, L. (SRON Netherlands Institute for Space Research); Bruijn, M. P. (SRON Netherlands Institute for Space Research); van der Linden, A. J. (SRON Netherlands Institute for Space Research); van Leeuwen, B. J. (SRON Netherlands Institute for Space Research); van der Hulst, P. (SRON Netherlands Institute for Space Research); de Vries, C. P. (SRON Netherlands Institute for Space Research); Gao, J.R. (TU Delft ImPhys/Optics; SRON Netherlands Institute for Space Research)","","2023","We report our most recent progress and demonstration of a frequency domain multiplexing (FDM) readout technology for transition-edge sensor (TES) arrays, both of which we have been developing in the framework of the X-IFU instrument on board the future Athena X-ray telescope. Using Ti/Au TES micro-calorimeters, high-Q LC filters and analog/digital electronics developed at SRON and low-noise two-stage SQUID amplifiers from VTT Finland, we demonstrated the feasibility of our FDM readout technology, with the simultaneous readout of 37 pixels with an energy resolution of 2.23 eV at an energy of 6 keV. We finally outline our plans for further scaling up and improving our technology in the future.","Athena X-IFU; Frequency domain multiplexing; Squid; Superconductivity; Transition-edge sensors; X-ray micro-calorimeters","en","journal article","","","","","","","","","","","ImPhys/Optics","","",""
"uuid:ef08e9a2-48a9-4dc7-b97f-5c39500daf2c","http://resolver.tudelft.nl/uuid:ef08e9a2-48a9-4dc7-b97f-5c39500daf2c","Travel demand matrix estimation for strategic road traffic assignment models with strict capacity constraints and residual queues","Brederode, L.J.N. (TU Delft Transport and Planning; DAT.Mobility); Pel, A.J. (TU Delft Transport and Planning); Wismans, Luc (University of Twente; DAT.Mobility); Rijksen, Bernike (University of Twente); Hoogendoorn, S.P. (TU Delft Transport and Planning)","","2023","This paper presents an efficient solution method for the matrix estimation problem using a static capacity constrained traffic assignment (SCCTA) model with residual queues. The solution method allows for inclusion of route queuing delays and congestion patterns besides the traditional link flows and prior demand matrix whilst the tractability of the SCCTA model avoids the need for tedious tuning of application specific algorithmic parameters. The proposed solution method solves a series of simplified optimization problems, thereby avoiding costly additional assignment model runs. Link state constraints are used to prevent usage of approximations outside their valid range as well as to include observed congestion patterns. The proposed solution method is designed to be fast, scalable, robust, tractable and reliable because conditions under which a solution to the simplified optimization problem exist are known and because the problem is convex and has a smooth objective function. Four test case applications on the small Sioux Falls model are presented, each consisting of 100 runs with varied input for robustness. The applications demonstrate the added value of inclusion of observed congestion patterns and route queuing delays within the solution method. In addition, application on the large scale BBMB model demonstrates that the proposed solution method is indeed scalable to large scale applications and clearly outperforms the method mostly used in current practice.","Capacity constrained; Congestion patterns; Demand matrix estimation; Large scale; mathematical properties; Prior OD demand matrix; Route travel times; Static traffic assignment model; Strategic","en","journal article","","","","","","","","","","Transport and Planning","Transport and Planning","","",""
"uuid:a9727e30-4cb6-465f-bb4e-5dafc42b83b2","http://resolver.tudelft.nl/uuid:a9727e30-4cb6-465f-bb4e-5dafc42b83b2","Sticky PDMP samplers for sparse and local inference problems","Bierkens, G.N.J.C. (TU Delft Statistics); Grazzi, S. (TU Delft Statistics; University of Warwick); van der Meulen, F.H. (TU Delft Statistics; Vrije Universiteit Amsterdam); Schauer, M.R. (TU Delft Statistics; Chalmers University of Technology; University of Gothenburg)","","2023","We construct a new class of efficient Monte Carlo methods based on continuous-time piecewise deterministic Markov processes (PDMPs) suitable for inference in high dimensional sparse models, i.e. models for which there is prior knowledge that many coordinates are likely to be exactly 0. This is achieved with the fairly simple idea of endowing existing PDMP samplers with “sticky” coordinate axes, coordinate planes etc. Upon hitting those subspaces, an event is triggered during which the process sticks to the subspace, this way spending some time in a sub-model. This results in non-reversible jumps between different (sub-)models. While we show that PDMP samplers in general can be made sticky, we mainly focus on the Zig-Zag sampler. Compared to the Gibbs sampler for variable selection, we heuristically derive favourable dependence of the Sticky Zig-Zag sampler on dimension and data size. The computational efficiency of the Sticky Zig-Zag sampler is further established through numerical experiments where both the sample size and the dimension of the parameter space are large.","Bayesian variable selection; Big-data; High-dimensional problems; Monte Carlo; Non-reversible jump; Piecewise deterministic Markov process; Spike-and-slab","en","journal article","","","","","","","","","","","Statistics","","",""
"uuid:7d468279-37bc-4a79-8bfb-8f74879d0e06","http://resolver.tudelft.nl/uuid:7d468279-37bc-4a79-8bfb-8f74879d0e06","Split-based sequential sampling for realtime security assessment","Bugaje, A.-.A.B. (TU Delft Intelligent Electrical Power Grids; Imperial College London); Cremer, Jochen (TU Delft Electrical Sustainable Energy; TU Delft Intelligent Electrical Power Grids); Strbac, Goran (Imperial College London)","","2023","Machine learning (ML) for real-time security assessment requires a diverse training database to be accurate for scenarios beyond historical records. Generating diverse operating conditions is highly relevant for the uncertain future of emerging power systems that are completely different to historical power systems. In response, for the first time, this work proposes a novel split-based sequential sampling approach based on optimisation that generates more diverse operation scenarios for training ML models than state-of-the-art approaches. This work also proposes a volume-based coverage metric, the convex hull volume (CHV), to quantify the quality of samplers based on the coverage of generated data. This metric accounts for the distribution of samples across multidimensional space to measure coverage within the physical network limits. Studies on IEEE test cases with 6, 68 and 118 buses demonstrate the efficiency of the approach. Samples generated using the proposed split-based sampling cover 37.5% more volume than random sampling in the IEEE 68-bus system. The proposed CHV metric can assess the quality of generated samples (standard deviation of 0.74) better than a distance-based coverage metric which outputs the same value (standard deviation of <0.001) for very different data distributions in the IEEE 68-bus system. As we demonstrate, the proposed split-based sampling is relevant as a pre-step for training ML models for critical tasks such as security assessment.","Database generation; Machine learning; Power system operation; Sampling; Security assessment","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Intelligent Electrical Power Grids","","",""
"uuid:92531d43-c9f1-4c90-b3e0-780baaf01e03","http://resolver.tudelft.nl/uuid:92531d43-c9f1-4c90-b3e0-780baaf01e03","Performance of a Phase Change Material Battery in a Transparent Building","van den Engel, P.J.W. (TU Delft Building Services); Malin, Michael (CHAM, Bakery House); Venkatesh, Nikhilesh Kodur (Student TU Delft); de Araujo Passos, L.A. (TU Delft Team Bart De Schutter)","","2023","This research evaluates the performance of a Phase Change Material (PCM) battery integrated into the climate system of a new transparent meeting center. The main research questions are: a. “Can the performance of the battery be calculated?” and b. “Can the battery reduce the heating and cooling energy demand in a significant way?” The first question is answered in this document. In order to be able to answer the second question, especially the way the heat loading in winter should be improved, then more research is necessary. In addition to the thermal battery, which consists of Phase Change Material plates, the climate system has a cross-flow heat exchanger and a heat pump. The battery should play a central role in closing the thermal balance of the lightweight building, which can be loaded with hot return or cold outdoor air. The temperature of the battery plates is monitored by multi-sensors and simulated by the use of PHOENICS (Computational Fluid Dynamics) and MATLAB. This paper reports reasonable agreement between the numerical predictions and the measurements, with a maximum variance of 10%. The current coefficient of performance for heating and cooling is already high, more than 27. There is scope for increasing this much further by making use of the very low-pressure difference of the battery (below 25 Pascal), low pressure fans and the ventilation system as a whole.","Heat exchangers; Heating; Passive energy; Phase change material (pcm); Thermal battery; Ventilation and air-conditioning (hvac)","en","journal article","","","","","","","","","","","Building Services","","",""
"uuid:d3a38c97-88fb-4d64-bdc1-756bbe5d1c78","http://resolver.tudelft.nl/uuid:d3a38c97-88fb-4d64-bdc1-756bbe5d1c78","The techno-economic integrability of high-temperature heat pumps for decarbonizing process heat in the food and beverages industry","Dumont, Marina (Universiteit Leiden); Wang, Ranran (Universiteit Leiden); Wenzke, Diana (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Blok, K. (TU Delft Energie and Industrie); Heijungs, Reinout (Universiteit Leiden; Vrije Universiteit Amsterdam)","","2023","High-temperature heat pumps (HTHPs) are an emerging technology to improve overall process efficiency and reduce energy demand while enabling a switch from fossil fuels to renewable electricity. New industrial HTHP technologies aim to achieve an output heat temperature of 250 °C, suitable for decarbonising the food and beverages industry considering its temperature requirements of <250 °C. Here, we employ a bottom-up approach to investigate the techno-economic feasibility of integrating new HTHP technologies into heat processes of the German food and beverages industry and estimate emissions reduction potentials under waste heat scenarios. Our results indicate that the new HTHP technologies could meet 12 TWh of process heat demand in the German food and beverages industry and cut emissions by 9% considering Germany's current electricity fuel mix. A modest carbon tax of 38 €/t CO2 eq. or higher makes the HTHPs cost-competitive with an optimised fossil fuel-based alternative.","GHG emissions abatement; High-temperature heat pumps; Industrial decarbonisation; Techno-economic assessment","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Energie and Industrie","","",""
"uuid:8a3c02eb-ba2e-4e16-aab3-b3fb146d2a82","http://resolver.tudelft.nl/uuid:8a3c02eb-ba2e-4e16-aab3-b3fb146d2a82","Physics-based and data-driven modeling for stability evaluation of buried structures in natural clays","Lai, F. (TU Delft Geo-engineering; Southeast University); Shiau, Jim (University of Southern Queensland); Keawsawasvong, Suraparb (Thammasat University); Chen, Fuquan (Fuzhou University); Banyong, Rungkhun (Thammasat University); Seehavong, Sorawit (Thammasat University)","","2023","This study presents a hybrid framework to predict stability solutions of buried structures under active trapdoor conditions in natural clays with anisotropy and heterogeneity by combining physics-based and data-driven modeling. Finite-element limit analysis (FELA) with a newly developed anisotropic undrained shear (AUS) failure criterion is used to identify the underlying active failure mechanisms as well as to develop a numerical (physics-based) database of stability numbers for both planar and circular trapdoors. Practical considerations are given for natural clays to three linearly increasing shear strengths in compression, extension, and direct simple shear in the AUS material model. The obtained numerical solutions are compared and validated with published solutions in the literature. A multivariate adaptive regression splines (MARS) algorithm is further utilized to learn the numerical solutions to act as fast FELA data-driven surrogates for stability evaluation. The current MARS-based modeling provides both relative importance index and accurate design equations that can be used with confidence by practitioners.","Active trapdoor; Buried structures; Finite element limit analysis (FELA); Multivariate adaptive regression splines (MARS); Natural clays; Undrained stability","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:68c5fc91-e00b-43e0-96e6-f7bc9e9a8e99","http://resolver.tudelft.nl/uuid:68c5fc91-e00b-43e0-96e6-f7bc9e9a8e99","Analysis and validation of a scaled, launch-vehicle-like composite cylinder under axial compression","Rudd, M.T. (TU Delft Aerospace Structures & Computational Mechanics; NASA Marshall Space Flight Center); Eberlein, David J. (Student TU Delft); Waters, W. Allen (Analytical Mechanics Associates, Inc.); Gardner, Nathaniel W. (NASA Langley Research Center); Schultz, Marc R. (NASA Langley Research Center); Bisagni, C. (TU Delft Aerospace Structures & Computational Mechanics)","","2023","Launch vehicle structures, such as payload adapters and interstages, are increasingly designed and constructed using composite materials due to their high stiffness- and strength-to-weight ratios. Therefore, it is important to develop a validated finite element modeling methodology for designing and analyzing composite launch-vehicle shell structures. This can be achieved, in part, by correlating high-fidelity numerical models with test data. Buckling is often an important failure mode for cylindrical shells, and the buckling response of such structures is also often quite sensitive to imperfections in geometry and loading. Hence, it is crucial to understand the model parameters and details required to accurately predict the buckling load and behavior of composite cylindrical shells, especially if the shell is buckling critical. The inclusion of as-built features, such as radial imperfections, thickness variations, and loading imperfections can help improve the correlation between test and analysis. To demonstrate such an approach, a validated modeling methodology that was used to predict the buckling behavior of a scaled component for a launch-vehicle-like structure is presented, and results from the model are compared with experimental results. The modeling approach presented herein was used to successfully predict the buckling behavior.","Buckling propagation; Composite cylindrical shell; Measured imperfection; Test; Unconventional layup","en","journal article","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:c63c7a25-2446-4c0f-8bfd-9154ad2762df","http://resolver.tudelft.nl/uuid:c63c7a25-2446-4c0f-8bfd-9154ad2762df","The importance of accounting for large deformation in continuum damage models in predicting matrix failure of composites","Tijs, B.H.A.H. (TU Delft Aerospace Structures & Computational Mechanics; Fokker/GKN Aerospace); Dávila, C. G. (NASA Langley Research Center); Turon, A. (University of Girona); Bisagni, C. (TU Delft Aerospace Structures & Computational Mechanics)","","2023","The work presented in this paper investigates the ability of continuum damage models to accurately predict matrix failure and ply splitting. Two continuum damage model approaches are implemented that use different stress–strain measures. The first approach is based on small-strain increments and the Cauchy stress, while the second approach account for large deformation kinematics through the use of the Green–Lagrange strain and the 2nd Piola–Kirchhoff stress. The investigation consists of numerical benchmarks at three different levels: (1) single element; (2) unidirectional single ply open-hole specimen and (3) open-hole composite laminate coupon. Finally, the numerically predicted failure modes are compared to experimental failure modes at the coupon level. It is shown that it is important to account for large deformation kinematics in the constitutive model, especially when predicting matrix splitting failure modes. It is also shown that continuum damage models that do not account for large deformation kinematics can easily be adapted to ensure that the damage modes and failure strength are predicted accurately.","Continuum damage model; Large shear deformations; Ply splitting; Progressive failure","en","journal article","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:998c1eaa-e220-47f0-b79e-bb74d4a9eebb","http://resolver.tudelft.nl/uuid:998c1eaa-e220-47f0-b79e-bb74d4a9eebb","A cross-diffusion system obtained via (convex) relaxation in the JKO scheme","Ducasse, Romain (Laboratoire Jacques-Louis Lions); Santambrogio, Filippo (Institut Camille Jordan; Institut Universitaire de France); Yoldas, H. (TU Delft Mathematical Physics)","","2023","In this paper, we start from a very natural system of cross-diffusion equations, which can be seen as a gradient flow for the Wasserstein distance of a certain functional. Unfortunately, the cross-diffusion system is not well-posed, as a consequence of the fact that the underlying functional is not lower semi-continuous. We then consider the relaxation of the functional, and prove existence of a solution in a suitable sense for the gradient flow of (the relaxed functional). This gradient flow has also a cross-diffusion structure, but the mixture between two different regimes, that are determined by the relaxation, makes this study non-trivial.","","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:a5053581-1f08-4762-8280-6da5a222f20b","http://resolver.tudelft.nl/uuid:a5053581-1f08-4762-8280-6da5a222f20b","Dispersed-ply design and optimization to improve the brittle flexural behaviour of composite laminates","Mouri Sardar Abadi, P. (IMDEA Materials Institute; Student TU Delft); Baluch, Abrar H. (IMDEA Materials Institute; Institute of Space Technology, Islamabad); Sebaey, T. A. (COINS Research Group, Prince Sultan University); Peeters, D.M.J. (TU Delft Aerospace Structures & Computational Mechanics); Barzegar, M. (IMDEA Materials Institute; University of Girona); Lopes, C. S. (IMDEA Materials Institute; Luxembourg Institute of Science and Technology)","","2023","This work aims to improve the flexural behaviour of unidirectional fibre-reinforced laminates by means of coupling an optimization procedure for quasi-isotropic configurations with the design space opened by dispersed-ply orientations. The design approach consists of finding suitable alternatives to traditional laminates (with fibre orientations limited to 0°, ±45∘, and 90°), while maintaining their stiffness characteristics. This strategy isolates the interlaminar response as the objective function that is optimized to improve their flexural behaviour. To this end, a modified Ant Colony Optimization was implemented and geared towards optimizing the interlaminar stress profile, allowing plies at every possible 5° orientation, with the ultimate goal of delaying delamination. To validate the approach, a traditional reference laminate and derived fully dispersed designs were experimentally tested. The correlated responses show that it was not possible to improve flexural resistance. However, the typical flexural brittleness of laminates can be modified into a pseudo-ductile behaviour.","Ant colony algorithm; Dispersed-ply laminates; Flexural behaviour; Optimization","en","journal article","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:ba83a0e2-9eb2-4360-a16c-6a13be672e3a","http://resolver.tudelft.nl/uuid:ba83a0e2-9eb2-4360-a16c-6a13be672e3a","Impact of W doping on Fe-rich (Mn,Fe)2(P,Si) based giant magnetocaloric materials","Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy); Smits, Sebastian (Student TU Delft); Kiecana, A. (TU Delft RST/Fundamental Aspects of Materials and Energy); Batashev, I. (TU Delft RST/Fundamental Aspects of Materials and Energy); Shen, Q. (TU Delft RST/Fundamental Aspects of Materials and Energy); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2023","The influence of doping with the 5d transition metal W has been studied in the quaternary (Mn,Fe)2(P,Si) based giant magnetocaloric compounds, which is one of the most promising systems for magnetic refrigeration. It is found that W substitution can separately decrease the Curie temperature TC and retain the thermal hysteresis ∆Thys at an almost constant level (∼5 K) for Mn0.6Fe1.27-xWxP0.64Si0.36 (x ≤ 0.02). Low-content W doping conserves the good magnetocaloric effect (MCE) without an obvious degradation. For x ≤ 0.02 the average magnetic entropy change |∆Sm| amounts to 11.4 Jkg−1K−1 for an applied magnetic field change of 2 T and the adiabatic temperature change ∆Tad amounts to 3.9 K for an applied magnetic field change of 1.5 T. The occupancy of substitutional W atoms is determined by XRD experiments and DFT calculations. Our studies provide a good strategy to further optimize the MCE of this material family.","(Mn,Fe)(P,Si); Magnetic phase transition, low hysteresis; Magnetocaloric effect; W doping","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:48501229-ac4b-45e1-bd8a-a6c50df1881c","http://resolver.tudelft.nl/uuid:48501229-ac4b-45e1-bd8a-a6c50df1881c","Interacting Particle System based estimation of reach probability of General Stochastic Hybrid Systems","Ma, H. (TU Delft Air Transport & Operations; Northwestern Polytechnical University); Blom, H.A.P. (TU Delft Air Transport & Operations)","","2023","For diffusions, a well-developed approach in rare event estimation is to introduce a suitable factorization of the reach probability and then to estimate these factors through simulation of an Interacting Particle System (IPS). This paper studies IPS based reach probability estimation for General Stochastic Hybrid Systems (GSHS). The continuous-time executions of a GSHS evolve in a hybrid state space under influence of combinations of diffusions, spontaneous jumps and forced jumps. In applying IPS to a GSHS, simulation of the GSHS execution plays a central role. From literature, two basic approaches in simulating GSHS execution are known. One approach is direct simulation of a GSHS execution. An alternative is to first transform the spontaneous jumps of a GSHS to forced transitions, and then to simulate executions of this transformed version. This paper will show that the latter transformation yields an extra Markov state component that should be treated as being unobservable for the IPS process. To formally make this state component unobservable for IPS, this paper also develops an enriched GSHS transformation prior to transforming spontaneous jumps to forced jumps. The expected improvements in IPS reach probability estimation are also illustrated through simulation results for a simple GSHS example.","Factorization; Interacting Particles; Rare event; Reach probability; Stochastic Hybrid System","en","journal article","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:a82e8df1-0e2c-4345-8c9e-afc24ad26680","http://resolver.tudelft.nl/uuid:a82e8df1-0e2c-4345-8c9e-afc24ad26680","Imaging Photoplethysmography for Noninvasive Anastomotic Perfusion Assessment in Intestinal Surgery","van der Stel, Stefan D. (University of Twente; Netherlands Cancer Institute); Lai, Marco (Philips Research; Eindhoven University of Technology); Groen, Harald C. (Netherlands Cancer Institute); Witteveen, Mark (University of Twente; Netherlands Cancer Institute); Kuhlmann, Koert F.D. (Netherlands Cancer Institute); Grotenhuis, Brechtje A. (Netherlands Cancer Institute); Kok, Niels F.M. (Netherlands Cancer Institute); van Gastel, Mark (Eindhoven University of Technology; Philips Research); Hendriks, B.H.W. (TU Delft Medical Instruments & Bio-Inspired Technology; Philips Research); Ruers, Theo J.M. (University of Twente; Netherlands Cancer Institute)","","2023","Introduction: Anastomotic leakage after gastrointestinal surgery has a high impact on patient's quality of life and its origin is associated with inadequate perfusion. Imaging photoplethysmography (iPPG) is a noninvasive imaging technique that measures blood-volume changes in the microvascular tissue bed and detects changes in tissue perfusion. Materials and methods: Intraoperative iPPG imaging was performed in 29 patients undergoing an open segment resection of the small intestine or colon. During each surgery, imaging was performed on fully perfused (true positives) and ischemic intestines (true negatives) and the anastomosis (unknowns). Imaging consisted of a 30-s video from which perfusion maps were extracted, providing detailed information about blood flow within the intestine microvasculature. To detect the predictive capabilities of iPPG, true positive and true negative perfusion conditions were used to develop two different perfusion classification methods. Results: iPPG-derived perfusion parameters were highly correlated with perfusion—perfused or ischemic—in intestinal tissues. A perfusion confidence map distinguished perfused and ischemic intestinal tissues with 96% sensitivity and 86% specificity. Anastomosis images were scored as adequately perfused in 86% of cases and 14% inconclusive. The cubic-Support Vector Machine achieved 90.9% accuracy and an area under the curve of 96%. No anastomosis-related postoperative complications were encountered in this study. Conclusions: This study shows that noninvasive intraoperative iPPG is suitable for the objective assessment of small intestine and colon anastomotic perfusion. In addition, two perfusion classification methods were developed, providing the first step in an intestinal perfusion prediction model.","Anastomotic leakage; Gastrointestinal surgery; Imaging photoplethysmography; iPPG; Noninvasive; Perfusion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:77fd191c-ab96-4daa-af3b-f1b22400a1e6","http://resolver.tudelft.nl/uuid:77fd191c-ab96-4daa-af3b-f1b22400a1e6","Modelling of material removal due to sliding wear caused by bulk material","Yan, Y. (TU Delft Transport Engineering and Logistics); Helmons, R.L.J. (TU Delft Offshore and Dredging Engineering; Norwegian University of Science and Technology (NTNU)); Carr, Michael (The University of Newcastle, Australia); Wheeler, Craig (The University of Newcastle, Australia); Schott, D.L. (TU Delft Transport Engineering and Logistics)","","2023","A convex pattern surface has been proposed and optimized to reduce sliding wear of bulk handling equipment by adjusting the flow behaviour of bulk material. This study aims at modelling the surface deformation of the convex pattern sample to investigate how effectively the sample reduces sliding wear. Archard wear model and a deformable geometry technique are combined to capture the sample deformation. A short-time laboratory wear experiment is performed as a benchmark to validate the numerical model. The simulation resutls indicate that there is a linear relation between the wear volume of a plain sample and the simulated revolutions, while the convex pattern sample has a quadratic trend. The wear distribution displays that the convex pattern accounts for the majority of wear of the sample. The contact behaviour demonstrates that the convex pattern facilitates the rolling of particles, resulting in the reduction of sliding distance. The numerical results indicate that the deformed convex pattern sample leads to lower overall sliding wear than a plain sample, although its effectiveness weakens as wear evolves.","Convex pattern surface; Particle size; Scaling factor; Wear deformation; Wear experiment","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:169bf19f-9272-461b-af8c-1d57c0a86a83","http://resolver.tudelft.nl/uuid:169bf19f-9272-461b-af8c-1d57c0a86a83","A Petri-net approach for firefighting force allocation analysis of fire emergency response with backups","Zhou, Jianfeng (Guangdong University of Technology); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Cozzani, Valerio (University of Bologna)","","2023","Fire is one of the main accident scenarios occurring in chemical and process plants, and it can lead to domino effects due to thermal radiation. Emergency response is necessary to prevent fire escalation, in addition to safety barriers. In major industrial fire accidents, backup is usually required in emergency response, due to the lack of emergency response capacity of a single emergency response department. A method addressing the optimal allocation of emergency response forces, specifically considering the front-line departments, is developed in the present study. The emergency response of the front-line departments can reduce the thermal radiation received by the equipment adjacent to the primary fire and thus prolong the time to failure of the equipment, such that the backups have more time to get to the fire scene. The allocation analysis of emergency response teams is carried out considering the dynamic ttf of an adjacent equipment item resulting from the change in time of the thermal radiation received. A timed colored hybrid Petri-net (TCHPN) approach is proposed to model the emergency response process. The probability of preventing fire escalation is obtained from the TCHPN model and the optimal allocation of firefighting forces is determined. A case study illustrates the proposed approach, two scenarios are compared and results show that if a request for backup can be issued immediately according to the fire state, fewer emergency forces can be deployed on the front line department, such as the reduction from 6 emergency response teams to 3 teams to maintain the success probability of 0.91. The influence of other factors such as the position of fire departments and the layout of tanks on the allocation of emergency forces is also discussed.","Allocation analysis; Domino effect; Emergency response; Fire escalation; Petri-net","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:4f3c4d38-ed34-4781-a6ab-420f449139ea","http://resolver.tudelft.nl/uuid:4f3c4d38-ed34-4781-a6ab-420f449139ea","Effect of porosity on Curle's dipolar sources on an aerofoil in turbulent flow","Zamponi, R. (TU Delft Wind Energy; von Karman Institute for Fluid Dynamics); Satcunanathan, S. (Rheinisch-Westfälische Technische Hochschule); Moreau, S. (University of Sherbrooke); Meinke, M. (Rheinisch-Westfälische Technische Hochschule); Schröder, W. (Rheinisch-Westfälische Technische Hochschule); Schram, C. (von Karman Institute for Fluid Dynamics)","","2023","Integrating a porous material into the structure of an aerofoil constitutes a promising passive strategy for mitigating the noise from turbulence–body interactions that has been extensively explored in the past few decades. When a compact permeable body is considered in the aeroacoustic analogy derived by Curle to predict this noise source, a dipole associated with the non-zero unsteady Reynolds stresses appears on the surface in addition to the dipole linked to the pressure fluctuations. Nevertheless, the relative contribution of this source to the far-field noise radiated by a porous wing profile has not been clarified yet. The purpose of the current research work is twofold. On the one hand, it investigates the impact of porosity on the surface-pressure fluctuations of a thick aerofoil immersed in the wake of an upstream circular rod at a Mach number of 0.09. On the other hand, it quantifies the relevance of the Reynolds-stresses term on the surface as a sound-generation mechanism. The results from large-eddy simulations show that the porous treatment of the wing profile yields an attenuation of the unsteady-pressure peak, which is localised in the low-frequency range of the spectrum and is induced by the milder distortion of the incoming vortices. However, porosity is ineffective in breaking the spanwise coherence or in-phase behaviour of the surface-pressure fluctuations at the vortex-shedding frequency. The Reynolds-stresses term is found to be considerable in the stagnation region of the aerofoil, where the transpiration velocity is larger, and partly correlated with the unsteady surface pressure, suggesting constructive interference between the two terms. This results in a non-negligible contribution of this term to the far-field acoustic pressure emitted by the porous wing profile for observation angles near the stagnation streamline. The conclusions drawn in the present study eventually provide valuable insight into the design of innovative and efficient passive strategies to mitigate surface–turbulence interaction noise in industrial applications.","Curle's analogy; Large-eddy simulations; Porous materials; Rod-aerofoil configuration; Turbulence-interaction noise","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:b86dc8ba-f6a2-45ca-94ef-cc236678a1cf","http://resolver.tudelft.nl/uuid:b86dc8ba-f6a2-45ca-94ef-cc236678a1cf","Oxygen transfer efficiency in an aerobic granular sludge reactor: Dynamics and influencing factors of alpha","Strubbe, Laurence (Universiteit Gent); van Dijk, E.J.H. (TU Delft BT/Environmental Biotechnology; Royal HaskoningDHV); Deenekamp, Pascalle J.M. (Royal HaskoningDHV); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Volcke, Eveline I.P. (Universiteit Gent)","","2023","In the pursuit of reducing carbon footprint and in view of increasing energy prices, energy efficiency is more important than ever before. Batch-wise operated aerobic granular sludge reactors consume up to 50% less energy compared to conventional activated sludge systems because pumping energy is reduced and mixing equipment is not needed. Further energy reduction efforts should therefore target aeration energy requirements. The alpha factor is an important factor influencing the oxygen transfer efficiency, however the dynamic behaviour of alpha has hardly been investigated in general and never for an aerobic granular sludge reactor. This study showed that alpha increases during the aeration phase of a cycle due to the influence of different process parameters. Through a data analysis study of 175 batch cycles of the Prototype Nereda® installation in Utrecht over the summer and winter period of 2020–2021, the exchange ratio and temperature were identified as the main influencing factors on the rate of increase of alpha in a batch cycle. A higher exchange ratio was related to a slower increase in alpha over the aeration phase, while a higher temperature was related to a faster increase in alpha. Moreover, alpha was characterized by a same minimal value at the beginning of every aeration phase, which could be explained by the adsorption of soluble biodegradable organic carbon described by a Langmuir adsorption model. Two mathematical models, a decreasing exponential and a first order model, were set up to unravel the dynamic behaviour of alpha. Both models were discussed in view of their practical implications for the design and performance optimization of aerobic granular sludge reactors and other batch-wise operated aerobic wastewater treatment systems.","Aeration; Aerobic granular sludge (AGS); Alpha factor; Batch-wise operation; Oxygen transfer efficiency; Wastewater treatment","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","BT/Environmental Biotechnology","","",""
"uuid:0a7ccdfb-c401-4849-8069-69ae7ef8c2a8","http://resolver.tudelft.nl/uuid:0a7ccdfb-c401-4849-8069-69ae7ef8c2a8","Fischer-Tropsch synthesis over lignin-derived cobalt-containing porous carbon fiber catalysts","Valero Romero, M.J. (TU Delft ChemE/Catalysis Engineering; Universidad de Málaga); García-Mateos, F. J. (Universidad de Málaga); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering); Rodríguez-Mirasol, J. (Universidad de Málaga); Cordero, T. (Universidad de Málaga)","","2023","Cobalt-containing lignin-based fibers were synthesized in one step by electrospinning of Alcell lignin solutions as carbon precursor, a low-cost and renewable co-product of the paper making industry. The lignin fibers were thermostabilized in air to avoid the fusion during the carbonization process between 500 and 800 °C to obtain cobalt-containing porous carbon submicron fibers. These carbon fibers catalysts were studied for the Low-Temperature Fischer-Tropsch synthesis. The lignin-derived fibers containing Co catalyst located on the overall carbon fiber surface (internal and external) heat-treated at 500 °C (Co@CF-500) showed the best catalytic performance after 70 h on stream, with 75% and 60% selectivity to C5+ at 220 °C and H2/CO ratios of 1 and 2, respectively, attributed to the high Co dispersion, optimal Co-particle size and better Co accessibility. Higher heat-treatment temperatures leaded to Co-containing carbon fibers with larger metallic cobalt nanoparticles encapsulated in graphitic-type carbon, which rendered them inaccessible for FTS.","Co-containing carbon fibers; Electrospinning; Fischer-Tropsch synthesis; Lignin","en","journal article","","","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:de9d4c70-a621-4bb3-be1f-0c0134170156","http://resolver.tudelft.nl/uuid:de9d4c70-a621-4bb3-be1f-0c0134170156","Direct discerning reaction pathways in methanol-to-hydrocarbons by transient operation – FASPA","Liu, C. (TU Delft ChemE/Inorganic Systems Engineering); Uslamin, E. (TU Delft ChemE/Inorganic Systems Engineering); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering); Kapteijn, F. (TU Delft ChemE/Catalysis Engineering)","","2023","Monitoring complex catalytic pathways under industrially-relevant conditions is one of the key challenges in catalysis chemistry and technology. Herewith we describe a direct technique called ‘fast scanning-pulse analysis’ (FASPA) that allows the direct characterization and detailed kinetic analysis of intimately interweaved catalytic pathways. The power and potential of the FASPA approach are demonstrated with an industrially relevant methanol-to-hydrocarbons (MTH) process over H-ZSM-5 zeolite. This reaction proceeds via a hydrocarbon pool (HCP) mechanism producing olefins and aromatics. The HCP is built-up upon exposure to methanol during the induction period, followed by a transition regime to a quasi steady-state MTH operation. This FASPA technique allows (sub-)second resolution of the full temporal products response upon a methanol pulse providing direct and quantitative insights into the MTH reactions. Globally, two consecutive pathways can be discerned: a very fast primary product formation in the presence of methanol in a narrow active MTH reaction zone, followed by a slower formation of light aromatics, which is closely related to the decomposition and release of HCP species and secondary reactions in absence of methanol in the downstream part of the catalyst bed. The time delay between the appearance of inert tracer and primary products represents the time needed to build-up the HCP in the induction period, where methane is observed prior to other products. The primary products (alkanes, olefins, and light aromatics) are nearly instantaneously formed from the pulsed methanol. These results demonstrate the highly dynamic character of the HCP in the MTH process over H-ZSM-5.","Aromatization; FAst Scanning-Pulse Analysis; Methanol-to-hydrocarbons; Stimulus-response analysis; Transient operation","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:22637b55-7d20-4142-98cf-95c57c3740d2","http://resolver.tudelft.nl/uuid:22637b55-7d20-4142-98cf-95c57c3740d2","Combining numerical tools to determine wave forces on moored ships","Dobrochinski, João P.H. (Deltares); van Deyzen, Alex (Royal HaskoningDHV); Zijlema, Marcel (TU Delft Environmental Fluid Mechanics); van der Hout, A.J. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Deltares)","","2023","Accurate modelling of waves in harbours and the response of moored ships to that type of forcing is of prime importance to determine the safety and workability of ships moored at berths exposed to local wave conditions. This study investigates the combination of a non-hydrostatic wave-flow model (SWASH) and a 3D boundary-integral diffraction model (Harberth) to compute wave forces acting on moored ships. A series of systematic numerical tests has been performed to develop the proposed methodology and gain insight on its limits of application. The approach is validated using physical scale model test data of waves and forces acting on a restrained ship. Results indicate a good performance even for extremely energetic wave conditions, setting the investigated modelling approach as a potential alternative for future applications.","Coupling tool; Exposed berths; Mooring; Wave-ship interaction; Waves","en","journal article","","","","","","","","","","","Environmental Fluid Mechanics","","",""
"uuid:739c9b48-2fd6-41f8-abf9-e5eee96daf26","http://resolver.tudelft.nl/uuid:739c9b48-2fd6-41f8-abf9-e5eee96daf26","Effect of the heat treatment on the microstructure, magnetism and magnetocaloric effect in Fe-rich (Mn,Fe)y(P,Si) melt-spun ribbons","Kiecana, A. (TU Delft RST/Fundamental Aspects of Materials and Energy); Kwakernaak, C. (TU Delft Team Maria Santofimia Navarro); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2023","The effect of the heat treatment on the magnetism, magnetocaloric effect and microstructure formation has been systematically studied in Fe-rich (Mn,Fe)y(P,Si) melt-spun ribbons (1.80 ≤ y ≤ 2.00). XRD, SEM and EDS measurements demonstrate that a metal deficiency prompts the stable (Mn,Fe)Si phase, whereas in the metal-rich region the (Mn,Fe)3Si phase is formed. It is found that the annealing temperature influences the composition and lattice parameters of the (Mn,Fe)y(P,Si) alloys, which greatly affects the Curie temperature (TC). For the optimal metal/non-metal ratio y the magnetic entropy change (|ΔSm|) is found to increase from 5.5 to 15.0 Jkg−1K−1 in a magnetic field change of 2 T by varying the annealing temperature from 1313 to 1433 K, indicating an enhancement of the first-order magnetic transition (FOMT). The presented results reveal that the secondary phase and magnetic properties in the (Mn,Fe)y(P,Si) system can be tuned by varying the annealing temperature and by adjusting the metal/non-metal ratio y.","(Mn,Fe)(P,Si); Magnetic properties; Magnetocaloric materials","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:af4e4bb3-8595-4fee-90a8-bee10a1ee275","http://resolver.tudelft.nl/uuid:af4e4bb3-8595-4fee-90a8-bee10a1ee275","Storing CO2 in geothermal reservoir rocks from the Kizildere field, Turkey: Combined stress, temperature, and pore fluid dependence of seismic properties","Janssen, M.T.G. (TU Delft Applied Geophysics and Petrophysics); Draganov, D.S. (TU Delft Applied Geophysics and Petrophysics); Barnhoorn, A. (TU Delft Applied Geophysics and Petrophysics); Wolf, K.H.A.A. (TU Delft Applied Geophysics and Petrophysics)","","2023","As part of a seismic monitoring project in a geothermal field, where the feasibility of re-injection and storage of produced CO2 is being investigated, a P- and S-wave seismic velocity characterisation study was carried out. The effect of axial and radial (up to 42 MPa) stress, pore pressure (up to 17 MPa), pore fluid (100% brine or supercritical CO2) and temperature (21–100 °C) on seismic properties were studied in the laboratory for the two main reservoir formations at the Kızıldere geothermal reservoir. Each (un)confined compressive strength test performed revealed a similar trend: rapidly increasing velocity at low stresses followed by a more moderate increase at higher stresses. The data implied that the stress-dependency of the velocity increased with temperature. Increasing temperatures resulted in decreasing P-wave velocities due to mineral thermal expansion. This temperature-dependency increased with reducing stress levels. The S-wave velocity seems to be more sensitive to changes in pore pressure than the P-wave velocity. On the other hand, the S-wave velocity is less affected by an increasing axial stress compared to the P-wave velocity. By performing multiple nonlinear regression on the velocity dataset, related to a brine-saturated fractured marble, second-degree polynomial trends were found for the P- and S-wave velocity, as a function of temperature, axial stress, and pore pressure, that can potentially be used for predicting velocities at Kızıldere, or other similar, geothermal site(s). For distinguishing between a 100% brine-saturated versus a fully supercritical CO2-saturated fracture, the arrival times of the first arrivals were too close to each other to allow their utilization. The fracture aperture was too small compared to the wavelength of the source signal. However, differences in P- and S-wave amplitudes of the first arrivals were seen, where the supercritical CO2-saturated crack revealed consistently lower peak and trough amplitudes compared to the brine-saturated scenario.","CO storage; Fracture permeability; Fractured reservoir; Geothermal energy; Rock mechanics; Seismic velocity","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:e23e92a2-f07b-4fc9-8d8b-07b704e9e795","http://resolver.tudelft.nl/uuid:e23e92a2-f07b-4fc9-8d8b-07b704e9e795","Life cycle assessment of material footprint in recycling: A case of concrete recycling","Zhang, Chunbo (Universiteit Leiden; Cornell University); Hu, Mingming (Universiteit Leiden); van der Meide, Marc (Universiteit Leiden); Di Maio, F. (TU Delft Resources & Recycling); Yang, Xining (Universiteit Leiden); Gao, Xiaofeng (Chongqing University); Li, Kai (Universiteit Leiden); Zhao, Hailong (Tsinghua University); Li, Chen (Universiteit Leiden)","","2023","Meeting the current demand for concrete requires not only mining tons of gravel and sand, but also burning large amounts of fossil fuel resources in cement kilning. Consequently, concrete recycling is crucial to achieving a material-efficient society, especially with the application of various categories of concrete and the goal of phasing out fossil fuels. A comparative life cycle assessment (LCA) is used to assess the engineering material footprint (EMF) and the fossil fuel material footprint (FMF) in closed-loop recycling of three types of concrete: siliceous concrete, limestone concrete, and lightweight aggregate concrete. This study aims to investigate the impact of (i) concrete categories, (ii) methods to model recycling, and (iii) using renewable energy sources on the material footprint in concrete recycling. The results highlight that the concrete recycling system can reduce 99% of the EMF and 66–93% of the FMF compared with the baseline system, in which concrete waste is landfilled. All three recycling modeling approaches indicate that concrete recycling can considerably reduce EMF and FMF compared with the baseline system, primarily resulting from the displacement of virgin raw materials. Using alternative diesels is more sensitive than adopting renewable electricity in reduction of the FMF in concrete recycling. Replacing diesel with electrolysis- and coal-based synthetic diesel for concrete recycling could even increase the FMF, while using biodiesel made from rapeseed and wood-based synthetic diesel can reduce 47–51% and 84–89% of the FMF, respectively, compared to the virgin diesel-based recycling system. Finally, we discussed the multifunctionality and rebound effects of recycling, and double-counting risk in material and energy accounting.","Concrete; Construction and demolition waste; Life cycle assessment; Material footprint; Recycling; Renewable energy","en","journal article","","","","","","","","","","","Resources & Recycling","","",""
"uuid:8bc48e89-d9a9-4024-b4cb-01c0982e70e7","http://resolver.tudelft.nl/uuid:8bc48e89-d9a9-4024-b4cb-01c0982e70e7","Reviving the rock-salt phases in Ni-rich layered cathodes by mechano-electrochemistry in all-solid-state batteries","Wang, Zaifa (Yanshan University); Wang, Zhenyu (Guilin Electrical Equipment Scientific Research Institut, Gulin); Xue, Dingchuan (The Pennsylvania State University); Zhao, Jun (Yanshan University); Zhang, Xuedong (Xiangtan University, Xiangtan); Geng, Lin (Yanshan University); Li, Yanshuai (Yanshan University); Du, Congcong (Yanshan University); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2023","The rock-salt phase (RSP) formed on the surface of Ni-rich layered cathodes in liquid-electrolyte lithium-ion batteries is conceived to be electrochemically ""dead"". Here we show massive RSP forms in the interior of LiNixMnyCo(1−x-y)O2 (NMC) crystals in sulfide based all solid state batteries (ASSBs), but the RSP remains electrochemically active even after long cycles. The RSP and the layered structure constitute a two-phase mixture, a material architecture that is distinctly different from the RSP in liquid electrolytes. The tensioned layered phase affords an effective percolation channel into which lithium is squeezed out of the RSPs by compressive stress, rendering the RSPs electrochemically active. Consequently, the ASSBs with predominant RSP in the NMC cathode deliver remarkable long cycle life of 4000 cycles at high areal capacity of 4.3 mAh/cm2. Our study unveils distinct mechano-electrochemistry of RSPs in ASSBs that can be harnessed to enable high energy density and durable ASSBs.","All-solid-state batteries; Mechano-electrochemistry; Ni-rich cathodes; Rock-salt phases","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","RST/Storage of Electrochemical Energy","","",""
"uuid:0f60a746-a598-45b4-9b58-226abed3983f","http://resolver.tudelft.nl/uuid:0f60a746-a598-45b4-9b58-226abed3983f","Monitoring residual fouling after cleaning of multi-fiber membrane modules fiber-by-fiber using non-invasive MRI monitoring","Yan, Bin (University of Western Australia); Blankert, Bastiaan (King Abdullah University of Science and Technology); Vogt, Sarah J. (University of Western Australia); Vrouwenvelder, J.S. (TU Delft BT/Environmental Biotechnology; King Abdullah University of Science and Technology); Johns, Michael L. (University of Western Australia); Fridjonsson, Einar O. (University of Western Australia)","","2023","In this study non-invasive low field magnetic resonance imaging (MRI) technology was used to monitor fouling induced changes in fiber-by-fiber hydrodynamics inside a multi-fiber hollow fiber membrane module containing 401 fibers. Using structural and velocity images the fouling evolution of these membrane modules were shown to exhibit distinct trends in fiber-by-fiber volumetric flow, with increasing fouling causing a decrease in the number of flow active fibers. This study shows that the fouling rate is not evenly distributed over the parallel fibers, which results in a broadening of the fiber to fiber flowrate distribution. During cleaning, this distribution is initially broadened further, as relatively clean fibers are cleaned more rapidly compared to clogged fibers. By tracking the volumetric flow rate of individual fibers inside the modules during the fouling-cleaning cycle it was possible to observe a fouling memory-like effect with residual fouling occurring preferentially at the outer edge of the fiber bundle during repeated fouling-cleaning cycle. These results demonstrate the ability of MRI velocity imaging to quantitatively monitor these effects which are important when testing the effectiveness of cleaning protocols due to the long term effect that residual fouling and memory-like effect may have on the operation of membrane modules.","Cleaning strategies; Drinking water; Membrane; MRI velocity imaging; Ultrafiltration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","BT/Environmental Biotechnology","","",""
"uuid:112de280-d0db-407c-88fd-582c317ca4ef","http://resolver.tudelft.nl/uuid:112de280-d0db-407c-88fd-582c317ca4ef","Two-layer control structure for enhancing frequency stability of the MTDC system","Stojković, J. (University of Belgrade); Shetgaonkar, A.D. (TU Delft Intelligent Electrical Power Grids); Stefanov, Predrag (University of Belgrade); Lekić, A. (TU Delft Intelligent Electrical Power Grids)","","2023","This paper explores the possibilities of providing fast frequency support as an emergency support service to the disturbed AC system through the MTDC grid. A two-layer hierarchical control structure of the MTDC grid is proposed to assure the minimum cost of the frequency control actions, the minimum voltage deviations, or the minimal impact on the frequencies of not-affected AC systems while ensuring the stable operation of MTDC grid. An optimization algorithm is executed at the secondary control level to find the optimal reference values for the voltage-droop characteristics of the voltage-regulating converters, and consequently their DC voltages and active power references. Then, at the primary control level, the reference values are tuned with the optimization results. Implemented control structure confirms that MTDC can provide set values at its terminals without endangering its stability. The secondary control layer is implemented in MATLAB, while the performance of the controller is successfully evaluated through simulation in RSCAD.","Adaptive droop control; Frequency control; Multi-terminal DC grids; Power sharing","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Intelligent Electrical Power Grids","","",""
"uuid:233f48ea-33d9-42e4-93fc-5fbaf7bf9e3e","http://resolver.tudelft.nl/uuid:233f48ea-33d9-42e4-93fc-5fbaf7bf9e3e","Do logarithmic terms exist in the drag coefficient of a single sphere at high Reynolds numbers?","El Hasadi, Yousef M.F. (TU Delft Offshore Engineering; TU Delft Complex Fluid Processing); Padding, J.T. (TU Delft Complex Fluid Processing)","","2023","At the beginning of the second half of the twentieth century, Proudman and Pearson (J. Fluid. Mech.,2(3), 1956, pp.237–262) suggested that the functional form of the drag coefficient (CD) of a single sphere subjected to uniform fluid flow consists of a series of logarithmic and power terms of the Reynolds number (Re). In this paper, we will explore the validity of the above statement for Reynolds numbers up to 106 by using a symbolic regression machine learning method. The algorithm is trained by available experimental data and data from well-known correlations from the literature for Re ranging from 0.1 to 2×105. Our results show that the functional form of CD contains powers of log(Re), plus the Stokes term. The logarithmic CD expressions can generalize (extrapolate) better beyond the training data than pure power series of Re and are the first in the literature to predict with acceptable accuracythe onset of the rapid decrease (drag crisis) of CD at high Re, but also to follow the right behaviour towards zero Re. We also find a connection between the root of the Re-dependent terms in the CD expression and the first point of laminar separation. The generalization behaviour of power-based drag coefficient equations is worse than logarithmic-based ones, especially towards the zero Re regime in which they give non-physical results. The logarithmic based CD correctly describes the physics from the low Re regime to the onset of the drag crisis. Also, by applying a minor modification in the logarithmic based equations, we can predict the drag coefficient of an oblate spheroid in the high Re regime.","Drag coefficient; Machine learning; Matched asymptotic expansions; Multi-phase flows; sphere","en","journal article","","","","","","","","","","","Offshore Engineering","","",""
"uuid:a67a6d68-3868-4ace-ae7f-358491f521f7","http://resolver.tudelft.nl/uuid:a67a6d68-3868-4ace-ae7f-358491f521f7","A note on the modelling of lubrication forces in unresolved simulations","Nijssen, Tim M.J. (TU Delft BT/Bioprocess Engineering); Ottens, M. (TU Delft BT/Design and Engineering Education); Padding, J.T. (TU Delft Complex Fluid Processing)","","2023","Lubrication forces play a major role in the behaviour of fluid–solid systems, where they affect the collisions between particles. Current implementations of lubrication forces in unresolved simulations often suffer from shortcomings, such as neglecting parts of the physics or relying on arbitrarily defined parameters. In this short communication, we propose a novel implementation, rigorously defined based on physical and numerical factors. Both particle roughness and deformation are considered, and the model accuracy is demonstrated through comparison with experimental results.","CFD-DEM; Fluid–solid systems; Lubrication force; Multiphase modelling; Unresolved simulations","en","journal article","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:4f909abd-c4ea-455c-b91a-590a10cdb1e4","http://resolver.tudelft.nl/uuid:4f909abd-c4ea-455c-b91a-590a10cdb1e4","How to keep it adequate: A protocol for ensuring validity in agent-based simulation","Troost, Christian (University of Hohenheim); Huber, Robert (ETH Zürich); Bell, Andrew R. (Boston University); van Delden, Hedwig (Research Institute for Knowledge Systems); Filatova, T. (TU Delft Multi Actor Systems; TU Delft Policy Analysis); Le, Quang Bao (International Center for Agricultural Research in the Dry Areas); Lippe, Melvin (Thünen Institute of Forestry); Niamir, Leila (International Institute for Applied Systems Analysis, Laxenburg); Polhill, J. Gareth (The James Hutton Institute); Sun, Zhanli (Leibniz Institute of Agricultural Development in Transition Economies); Berger, Thomas (University of Hohenheim)","","2023","There has so far been no shared understanding of validity in agent-based simulation. We here conceptualise validation as systematically substantiating the premises on which conclusions from simulation analysis for a particular modelling context are built. Given such a systematic perspective, validity of agent-based models cannot be ensured if validation is merely understood as an isolated step in the modelling process. Rather, valid conclusions from simulation analysis require context-adequate method choices at all steps of the simulation analysis including model construction, model and parameter inference, uncertainty analysis and simulation. We present a twelve-step protocol to highlight the (often hidden) premises for methodological choices and their link to the modelling context. It is designed to aid modelers in understanding their context and in choosing and documenting context-adequate and mutually consistent methods throughout the modelling process. Its purpose is to assist reviewers and the community as a whole in assessing and discussing context-adequacy.","Calibration; Generalisation; Model inference; Model validation; Regime shift","en","journal article","","","","","","","","","","Multi Actor Systems","Policy Analysis","","",""
"uuid:236561ad-b070-4acb-8553-21ddb021b04c","http://resolver.tudelft.nl/uuid:236561ad-b070-4acb-8553-21ddb021b04c","Evaluating classifiers in SE research: the ECSER pipeline and two replication studies","Dell'Anna, D. (TU Delft Control & Simulation); Aydemir, Fatma Başak (Boğaziçi University); Dalpiaz, Fabiano (Universiteit Utrecht)","","2023","Context: Automated classifiers, often based on machine learning (ML), are increasingly used in software engineering (SE) for labelling previously unseen SE data. Researchers have proposed automated classifiers that predict if a code chunk is a clone, if a requirement is functional or non-functional, if the outcome of a test case is non-deterministic, etc. Objective: The lack of guidelines for applying and reporting classification techniques for SE research leads to studies in which important research steps may be skipped, key findings might not be identified and shared, and the readers may find reported results (e.g., precision or recall above 90%) that are not a credible representation of the performance in operational contexts. The goal of this paper is to advance ML4SE research by proposing rigorous ways of conducting and reporting research. Results: We introduce the ECSER (Evaluating Classifiers in Software Engineering Research) pipeline, which includes a series of steps for conducting and evaluating automated classification research in SE. Then, we conduct two replication studies where we apply ECSER to recent research in requirements engineering and in software testing. Conclusions: In addition to demonstrating the applicability of the pipeline, the replication studies demonstrate ECSER’s usefulness: not only do we confirm and strengthen some findings identified by the original authors, but we also discover additional ones. Some of these findings contradict the original ones.","Automated classification; Machine learning; Replication study; Software engineering","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:10b4e1ea-9420-4e98-8cf6-fa75fdd9ed9d","http://resolver.tudelft.nl/uuid:10b4e1ea-9420-4e98-8cf6-fa75fdd9ed9d","A data-driven high spatial resolution model of biomass accumulation and crop yield: Application to a fragmented desert-oasis agroecosystem","Chen, Qiting (Chinese Academy of Sciences); Jia, Li (Chinese Academy of Sciences); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Hu, Guangcheng (Chinese Academy of Sciences); Wang, Kun (Chinese Academy of Sciences); Yi, Zhiwei (Chinese Academy of Sciences); Zhou, Jie (Central China Normal University); Peng, Fei (Chinese Academy of Sciences, Lanzhou); Ma, Shaoxiu (Chinese Academy of Sciences, Lanzhou)","","2023","Information on crop yield is important for food security, in particular under the conditions of climate change and growing population worldwide. We developed a new fully distributed, high spatial resolution, model of biomass accumulation and crop yield applicable to a highly heterogeneous desert-oasis agroecosystem. The bulk of required input data is obtained by retrieving pixel-wise biogeophysical variables from a suite of very diverse satellite data. Both temperature and water stress conditions at field-scale are given full consideration, while the model was designed to strike a balance between model applicability and satisfactory characterization of the heterogeneous desert-oasis system to estimate field-scale yield. The development of this model relies on three main innovations. First, the start and end of the growing season were estimated for each pixel by calibrating the high spatial and temporal resolution observations of Normalized Difference Vegetation Index (NDVI) by Sentinal-2 (S2) MSI (Multi-Spectral Instrument) against limited local phenological information. Second, to monitor crop water stress, account taken of irrigation, a process-based water and energy balance model was applied to estimate the actual evapotranspiration (ET). This requires knowledge of soil water availability, which is characterized by downscaling the ASCAT (Advanced SCATterrometer) soil moisture data product. To capture the dominant features of the eco-hydrological conditions in the desert and oasis agroecosystem, ET was further downscaled from the 1 km resolution. Third, likewise the water stress indicator, the air temperature stress indicator was mapped after characterizing the thermal contrast and heterogeneity of the desert-oasis system, by generating time series of air temperature at 1 km spatial resolution using the MODIS (Moderate Resolution Imaging Spectroradiometer) Land Surface Temperature (LST) data product. In the temporal dimension, gaps were mitigated by applying time series analysis techniques to reconstruct cloud-free time series of LST, NDVI, fAPAR and albedo. These innovations add up to a high resolution characterization of crop response to the geospatial variability of weather and climate forcing in the desert-oasis agroecosystem. The model was applied to the dominant crops, i.e., spring wheat, maize, sunflower, and melon, in the oases of the Shiyang River Basin (northwestern China) characterized by a rather fragmented land use. The high resolution of pixel-wise ecohydrological parameters, i.e., crop phenology, temperature stress and water stress factors successfully reflect differences of crops with different phenology and location in the oases. The relative errors for wheat and maize yields compared to the census data are less than 5% at district level. At the county level, the relative errors of wheat yields of Liangzhou, Minqin, Gulang, Jinchuan, and Yongchang equal to 0.87%, 24.2%, 9.7%, 12.5%, and 7.2%. For maize, the dominant crop, the error on estimated yields was less than 5%, except in Gulang. The relative error on estimated yield for sunflower was less than 10% compared to agricultural census data. The relative error on estimated melon yield was 16%. This performance highlights the applicability of the model to estimate field-scale yields in agroecosystems characterized by fragmented land use.","Crop yield estimation; Heterogeneous agroecosystem; High resolution; Multi-source remote sensing data","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:ae0bd627-4a17-4395-9f0b-0c8428533e3a","http://resolver.tudelft.nl/uuid:ae0bd627-4a17-4395-9f0b-0c8428533e3a","High Cationic Dispersity Boosted Oxygen Reduction Reactivity in Multi-Element Doped Perovskites","Li, Wenhuai (Nanjing Tech University); Li, Mengran (TU Delft ChemE/Materials for Energy Conversion and Storage); Guo, Y. (Nanjing Tech University); Hu, Zhiwei (Max Planck Institute for Chemical Physics of Solids); Zhou, Chuan (Nanjing Tech University); Brand, Helen E.A. (Australian Nuclear Science and Technology Organisation); Peterson, Vanessa K. (Australian Nuclear Science and Technology Organisation); Pao, Chih Wen (Australian Nuclear Science and Technology Organisation); Chen, Chien Te (National Synchrotron Radiation Research Center, Hsinchu)","","2023","Oxygen-ion conducting perovskite oxides are important functional materials for solid oxide fuel cells and oxygen-permeable membranes operating at high temperatures (>500 °C). Co-doped perovskites have recently shown their potential to boost oxygen-related kinetics, but challenges remain in understanding the underlying mechanisms. This study unveils the local cation arrangement as a new key factor controlling oxygen kinetics in perovskite oxides. By single- and co-doping Nb5+ and Ta5+ into SrCoO3-δ, dominant factors affecting oxygen kinetics, such as lattice geometry, cobalt states, and oxygen vacancies, which are confirmed by neutron and synchrotron X-ray diffraction as well as high-temperature X-ray absorption spectroscopy, are controlled. The combined experimental and theoretical study unveils that co-doping likely leads to higher cation dispersion at the B-site compared to single-doping. Consequently, a high-entropy configuration enhances oxygen ion migration in the lattice, translating to improved oxygen reduction activity.","configuration entropy; local cation arrangement; oxygen reduction reaction; perovskite oxides; solid oxide fuel cell","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:ff684753-352b-4d2b-81ad-214c9f605570","http://resolver.tudelft.nl/uuid:ff684753-352b-4d2b-81ad-214c9f605570","Wavefield focusing using a generalised, potentially asymmetric homogeneous Green's function","Diekmann, Leon (Universiteit Utrecht); Vasconcelos, Ivan (Universiteit Utrecht); Wapenaar, C.P.A. (TU Delft Applied Geophysics and Petrophysics); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics); Snieder, Roel (Colorado School of Mines)","","2023","Marchenko-type integrals typically relate so-called focusing functions and Green's functions via the reflection response measured on the open surface of a volume of interest. Originating from one dimensional inverse scattering theory, the extension to two and three dimensions set in motion various new developments regarding imaging in complex materials. This extension, however, is based on wavefield decomposition inside the volume and a truncated medium state, i.e. a version of the medium that is reflection-free underneath the focusing location, suggesting that evanescent, refracted and diving waves cannot be included in the representation. We elaborate on a new derivation for Marchenko-like integrals that (i) extends the concept of wavefield focusing by using a generalised homogeneous Green's function, (ii) is based on partial differential equations and thereby allows for additional insights and a new physical intuition for Marchenko equations, (iii) unifies wavefield focusing for open and closed boundary systems, (iv) does not require wavefield decomposition or a truncated medium state, thus including the full wavefield Green's function, (v) enables using forward modelling to obtain, e.g., Marchenko-type, time-compact focusing functions. We place a particular focus on the latter point, illustrating and investigating how to solve the underlying partial differential equations for various types of focusing functions. This paves the way for a deeper understanding of focusing functions as well as advanced full wavefield Marchenko schemes. While the derivations are generally presented for the 3D case, we show numerical examples in 1D.","Focusing; Green's function; Interferometry; Inverse scattering; Time reversal","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:23e2b0ba-9cc6-4851-ae14-d97725bad74c","http://resolver.tudelft.nl/uuid:23e2b0ba-9cc6-4851-ae14-d97725bad74c","Antimicrobial properties dependence on the composition and architecture of copper-alumina coatings prepared by plasma electrolytic oxidation (PEO)","Santos, Janaina S. (Chulalongkorn University); Márquez, Victor (Chulalongkorn University); Buijnsters, J.G. (TU Delft Micro and Nano Engineering); Praserthdam, Supareak (Chulalongkorn University); Praserthdam, Piyasan (Chulalongkorn University)","","2023","This study presents environmentally friendly and low-cost synthetic routes to produce antimicrobial coatings over 5052 Al alloy based on plasma electrolytic oxidation (PEO) technology. Two methodologies were explored: the decoration with copper and anodic doping with copper ions. The porous oxide layers produced in silicate media presented two porous layers consisting of γ-Al2O3 crystalline phase and amorphous phases of aluminosilicate, silica, and Al(OH)3. Small amounts of copper (<0.3 at.%) were detected in the PEO films. In the Cu-decorated film, copper clusters composed of Cu0 and Cu2+ species were observed visually as small black dots on the surface. In the Cu-doped film, the Cu2+ and Cu+ species were homogeneously distributed on the surface. The copper content affected the corrosion performance in aggressive corrosive media. The PEO coatings showed a remarkable antimicrobial activity after 24 h in standard tests. The antimicrobial effectiveness of the Cu-decorated sample was higher against S. aureus, while the Cu-doped sample was more effective against E. coli. The results demonstrated that differences in the PEO coating architecture can affect the material composition and, consequently, the bacterial inactivation mechanism. These findings can serve as a guide to tailor aluminum alloys for specific antimicrobial surfaces.","Alumina; Antimicrobial surfaces; Copper; Corrosion resistance; PEO coatings; Porous films","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-29","","","Micro and Nano Engineering","","",""
"uuid:b7a904d5-f069-4f97-8df5-9e8b7c1d7c1a","http://resolver.tudelft.nl/uuid:b7a904d5-f069-4f97-8df5-9e8b7c1d7c1a","Validation of a moving base driving simulator for motion sickness research","Talsma, Tessa M.W. (Student TU Delft); Hassanain, Omar (Cruden BV); Happee, R. (TU Delft Intelligent Vehicles); de Winkel, K.N. (TU Delft Intelligent Vehicles)","","2023","Increasing levels of vehicle automation are envisioned to allow drivers to engage in other activities but are also likely to increase the incidence of Carsickness or Motion Sickness (MS). Ideally, MS is studied in a safe and controlled environment, such as a driving simulator. However, only few studies address the suitability of driving simulators to assess MS. In this study, we validate a moving base driving simulator for MS research by comparing the symptoms and time course of MS between a real-road driving scenario and a rendition of this scenario in a driving simulator, using a within-subjects design. 25 participants took part as passengers in an experiment with alternating sections (slaloming, stop-and-go) with normal and provocative driving styles. Participants performed Sudoku puzzles (eyes-off-road) during both scenarios and reported MIsery SCale (MISC) scores at 30 s intervals. Motion Sickness Assessment Questionnaire (MSAQ) scores were collected upon completion of either scenario. Overall, the results indicate that MS was more severe in the car than in the simulator. Nevertheless, significant correlations were found between individual MS in the car and simulator for 3 out of 4 MSAQ symptom categories (0.48 < r < 0.73, p < 0.02), with a strong overall correlation (r = 0.57, p = 0.004). MS onset times were similar between the car and the simulator, and sickness fluctuations as a result of driving style showed a similar pattern between scenarios, albeit more pronounced in the car. Based on observed similarities in MS, we conclude these simulator results to have relative validity. We attribute the observed reduction of MS severity in the simulator to the downscaling of the motion by the Motion Cueing Algorithm (MCA). These results suggest that, at least in eyes-off-road conditions, findings on MS from simulator studies may generalize to real vehicles after application of a conversion factor. This conversion factor is likely to depend on simulator and MCA characteristics.","Comfort; Driving; Motion; Sickness; Simulator; Validation","en","journal article","","","","","","","","","","","Intelligent Vehicles","","",""
"uuid:3dee6d23-a923-4901-83f3-ec05c9d48434","http://resolver.tudelft.nl/uuid:3dee6d23-a923-4901-83f3-ec05c9d48434","Monte Carlo simulations of surface segregation to discover new hydrogen separation membranes","Postma, J.I. (TU Delft Team Joris Dik); Ferrari, A. (TU Delft Team Marcel Sluiter); Bottger, A.J. (TU Delft Team Amarante Bottger)","","2023","Surface compositions play a predominant role in the efficiency and lifetime of membranes and catalysts. The surface composition can change during operation due to segregation, thus controlling and predicting the surface composition is essential. Computational modelling can aid in predicting alloy stability, along with designing surface alloys and near-surface alloys that can outperform existing materials. In this work, a computational model to predict surface segregation in ternary alloys is developed. The model, based on Miedema's semi-empirical model and Monte Carlo simulations, enables to predict long- and short-range ordering in the surface and subsurface layers. It is used to screen a vast range of alloy compositions to design a novel ternary Pd-based material for H2 separation membranes. The addition of specific amounts of Cu and Zr to Pd is expected to reduce poisoning and enhance the permeability as compared to pure Pd.","High throughput; Hydrogen separation; Miedema's model; Monte Carlo; Palladium; Ternary alloys","en","journal article","","","","","","","","","","","Team Joris Dik","","",""
"uuid:76fb06db-5cf1-41de-b804-ec689ec424df","http://resolver.tudelft.nl/uuid:76fb06db-5cf1-41de-b804-ec689ec424df","Self-healing and corrosion-sensing coatings based on pH-sensitive MOF-capped microcontainers for intelligent corrosion control","Liu, Tong (University of Science and Technology Beijing); Zhang, Dawei (University of Science and Technology Beijing); Zhang, Rongjun (University of Science and Technology Beijing); Wang, Jinke (University of Science and Technology Beijing); Ma, Lingwei (University of Science and Technology Beijing); Keil, Patrick (BASF SE); Mol, J.M.C. (TU Delft Team Arjan Mol); Li, Xiaogang (University of Science and Technology Beijing)","","2023","Organic coatings are one of the most used and versatile technologies to mitigate corrosion of metals. However, organic coatings are susceptible to defects and damages that may not be easily detected. If not repaired timely, these defects may develop into major coating failures due to corrosion occurring in the damaged region, thereby limiting the lifetime of the to be protected structure. Thus, the development of smart coatings that can accurately identify corrosion location and reliably recover the damage autonomously is of particular interest. Herein, we reported a robust, corrosion-sensing and self-healing coating which incorporated pH-sensitive ZIF-8-capped CaCO3 microcontainers containing the healing agent tung oil (TO) and the corrosion indicator/inhibitor 1,10-phenanthrolin-5-amine (APhen). The spontaneous leakage of incorporated TO and APhen was restrained, and the release initiated when local pH variation occurred. The corrosion protection performance of the coatings implanted with different contents of smart microcontainers were evaluated. The intact epoxy coating containing 7.5 wt% of the microcontainers exhibited the best protection performance with low water absorption (0.65 wt%), low O2 permeability (0.21 × 10–15 cm3 cm cm−2 s−1 Pa−1), and a high storage modulus (3.0 GPa). Electrochemical impedance spectroscopy (EIS) measurements in 3.5 wt% NaCl solution demonstrated superior durability of the composite coating after self-healing. The immersion test and neutral salt spray test confirmed the coating can accurately report corrosion sites via coloration.","Corrosion protection; Corrosion-sensing; Organic coating; pH-sensitive; Self-healing coatings","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-18","","","Team Arjan Mol","","",""
"uuid:da90025e-7676-4811-995f-de137c4a566c","http://resolver.tudelft.nl/uuid:da90025e-7676-4811-995f-de137c4a566c","The use of monitoring and feedback devices in driving: An assessment of acceptability and its key determinants","Picco, Angèle (Rijksuniversiteit Groningen); Stuiver, Arjan (Rijksuniversiteit Groningen); de Winter, J.C.F. (TU Delft Human-Robot Interaction); de Waard, Dick (Rijksuniversiteit Groningen)","","2023","Recent technological advancements allow monitoring of drivers’ behaviour and offer the opportunity for providing feedback. While this approach has been shown to have a positive effect on driver behaviour, whether it is accepted by drivers has not yet been extensively investigated. This questionnaire study examined the opinions of a sample of 628 Dutch drivers on the potential use of a monitoring and feedback system. The focus was on (1) whether drivers would be interested in being assessed, (2) whether data collection (i.e., monitoring) could be used for this purpose, and (3) which features the potential system must have in order to get accepted. The results showed that participants were moderately enthusiastic about the prospect of receiving monitoring feedback: on average, their opinion was between neutral and positive. Professional drivers expressed slightly more positive opinions, but no demographic variable was strongly associated with acceptability. Many drivers rated themselves as good drivers already and had low sensitivity to data collection, i.e., participants indicated being used to data collection online. If they were to use a monitoring and feedback device, participants indicated a preference for data on speed and forward-facing video footage and a preference for personalisation. The use of a monitoring and feedback system can be considered as a trade-off between sharing personal data and receiving support to improve driving skills. Based on the participants’ reported online behaviour, it appears that the perceived costs associated with sharing data are small. The potential benefits of driver monitoring and feedback, however, are not salient to the participants, which may limit the use of such a system on the roads.","Acceptability; Driving monitoring; Feedback; Questionnaire study","en","journal article","","","","","","","","","","","Human-Robot Interaction","","",""
"uuid:ac1d39b6-ed40-467f-9b13-820437518df2","http://resolver.tudelft.nl/uuid:ac1d39b6-ed40-467f-9b13-820437518df2","Exploring the effect of the pH on the corrosion of multilayer nickel-chromium coatings","Vega, Jesús Manuel (IK4-CIDETEC Research Centre); Ganborena, Larraitz (IK4-CIDETEC Research Centre); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia); Özkaya, Berkem (Atotech Deutschland GmbH); Grande, Hans Jürgen (IK4-CIDETEC Research Centre; University of the Basque Country); García-Lecina, Eva (IK4-CIDETEC Research Centre)","","2023","The impact of the pH on the corrosion of microporous nickel-chromium coatings has been explored at localised scale by Scanning Electrochemical Microscopy and validated by potentiodynamic polarisation measurements. Results not only reveal the correlation between both techniques but also enables to identify the different corrosion rate after increasing the electrolyte aggressiveness varying the pH. However, independently of the pH, a similar corrosion mechanism was determined: the cross-section micrographs (by Field Emission-Scanning Electron Microscope) have revealed an isotropic growth of the actives sites at early-stage corrosion as well as the attack of different nickel layers during the corrosion propagation.","Acidic solutions; Electrodeposited films; Metal coatings; Oxygen reduction; Polarisation; SEM","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Yaiza Gonzalez Garcia","","",""
"uuid:bdb2cb75-bd25-4d7a-a2b0-ac6e294ab9d1","http://resolver.tudelft.nl/uuid:bdb2cb75-bd25-4d7a-a2b0-ac6e294ab9d1","LDH conversion films for active protection of AZ31 Mg alloy","Pillado, B. (Universidad Complutense de Madrid); Mingo, B. (The University of Manchester); del Olmo, R. (Universidad Complutense de Madrid; Military University of Technology); Matykina, E. (Universidad Complutense de Madrid); Kooijman, A.M. (TU Delft Team Arjan Mol); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia); Arrabal, R. (Universidad Complutense de Madrid); Mohedano, M. (Universidad Complutense de Madrid)","","2023","Zinc aluminium (Zn-Al) and lithium aluminium (Li-Al) – layered double hydroxides (LDH) coatings with incorporated inhibitors (Li−, Mo− and W−based) were successfully synthesized on AZ31 Mg alloy. Zn−Al LDH W and Li−Al LDH Li showed the highest corrosion resistance and were selected for further evaluation. SEM cross−section examination revealed a bi−layer structure composed of an outer part with loose flakes and a denser inner layer. XRD, FTIR, and XPS analysis confirmed the incorporation of the inhibitors. Post−treatments with corrosion inhibitors containing solutions resulted in the selective dissolution of the most external layer of the LDH coating, reducing the surface roughness, hydrophilicity and paint adhesion of the layers. Active corrosion properties were confirmed by SVET evaluation for the Zn−Al LDH W coating. The proposed active corrosion mechanism involves the ion−exchange of aggressive Cl− ions, deposition of hydroxides and competitive adsorption of W−rich corrosion inhibitors.","Active corrosion protection; AZ31; Corrosion inhibitors; Layered double hydroxides; Magnesium","en","journal article","","","","","","","","","","","Team Arjan Mol","","",""
"uuid:c2e905cd-9cd3-4d96-aae3-bcbcd8ae0fc0","http://resolver.tudelft.nl/uuid:c2e905cd-9cd3-4d96-aae3-bcbcd8ae0fc0","Skin-stringer separation in post-buckling of butt-joint stiffened thermoplastic composite panels","van Dooren, K.S. (TU Delft Aerospace Structures & Computational Mechanics); Tijs, B.H.A.H. (TU Delft Aerospace Structures & Computational Mechanics; Fokker/GKN Aerospace); Waleson, J. E.A. (Fokker/GKN Aerospace); Bisagni, C. (TU Delft Aerospace Structures & Computational Mechanics)","","2023","Two aeronautical thermoplastic composite stiffened panels are analysed and tested to investigate the buckling behaviour, the skin-stringer separation and the final failure mode. The panels are made of fast crystallising polyetherketoneketone carbon composite, have three stringers with an angled cap on one side, and are joined to the skin by a short-fibre reinforced butt-joint. The panels contain an initial damage in the middle skin-stringer interface representing barely visible impact damage. Finite element analysis using the virtual crack closure technique are conducted before the test to predict the structural behaviour. During the tests, the deformation of the panels is measured by digital image correlation, the damage propagation is recorded by GoPro cameras and the final failure is captured by high speed cameras. The panels show an initial three half-wave buckling shape in each bay, with damage propagation starting shortly after buckling. A combination of relatively stable and unstable damage propagation is observed until final failure, when the middle stringer separates completely and the panels fail in an unstable manner. The test results are compared to the numerical prediction, which shows great agreement for both the buckling and failure behaviour.","Buckling; Butt-joint; Finite element analysis; Testing; Thermoplastic composite; Virtual Crack Closure Technique","en","journal article","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:2368d80a-c1c3-4848-a4fd-7c5cacc61d2c","http://resolver.tudelft.nl/uuid:2368d80a-c1c3-4848-a4fd-7c5cacc61d2c","A new operando surface restructuring pathway via ion-pairing of catalyst and electrolyte for water oxidation","Zhuang, Linzhou (East China University of Science and Technology; University of Queensland); Li, Zhiheng (University of Queensland); Li, Mengran (TU Delft ChemE/Materials for Energy Conversion and Storage; University of Queensland); Tao, Haolan (East China University of Science and Technology); Mao, Xin (Queensland University of Technology); Lian, Cheng (East China University of Science and Technology); Ge, Lei (University of Southern Queensland); Du, Aijun (Queensland University of Technology); Xu, Zhi (East China University of Science and Technology)","","2023","The highly efficient and stable electrolysis needs the rational control of the catalytically active interface during the reactions. Here we report a new operando surface restructuring pathway activated by pairing catalyst and electrolyte ions. Using SrCoO3-δ-based perovskites as model catalysts, we unveil the critical role of matching the catalyst properties with the electrolyte conditions in modulating catalyst ion leaching and steering surface restructuring processes toward efficient oxygen evolution reaction catalysis in both pH-neutral and alkaline electrolytes. Our results regarding multiple perovskites show that the catalyst ion leaching is controlled by catalyst ion solubility and anions of the electrolyte. Only when the electrolyte cations are smaller than catalyst's leaching cations, the formation of an outer amorphous shell can be triggered via backfilling electrolyte cations into the cationic vacancy at the catalyst surface under electrochemical polarization. Consequently, the current density of reconstructed SrCoO3-δ is increased by 21 folds compared to the pristine SrCoO3-δ at 1.75 V vs reversible hydrogen electrode and outperforms the benchmark IrO2 by 2.1 folds and most state-of-the-art electrocatalysts in the pH-neutral electrolyte. Our work could be a starting point to rationally control the electrocatalyst surface restructuring via matching the compositional chemistry of the catalyst with the electrolyte properties.","Cation size-matching; Non-acidic medium; Operando surface restructuring; Oxygen evolution; Strontium ion leaching","en","journal article","","","","","","","","","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:180772d9-4bee-4cbb-958c-c123ebde9063","http://resolver.tudelft.nl/uuid:180772d9-4bee-4cbb-958c-c123ebde9063","Predicting the impact of temperature on metabolic fluxes using resource allocation modelling: Application to polyphosphate accumulating organisms","Páez Watson, T.W. (TU Delft BT/Environmental Biotechnology); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Wahl, S.A. (TU Delft BT/Industriele Microbiologie)","","2023","The understanding of microbial communities and the biological regulation of its members is crucial for implementation of novel technologies using microbial ecology. One poorly understood metabolic principle of microbial communities is resource allocation and biosynthesis. Resource allocation theory in polyphosphate accumulating organisms (PAOs) is limited as a result of their slow imposed growth rate (typical sludge retention times of at least 4 days) and limitations to quantify changes in biomass components over a 6 hours cycle (less than 10% of their growth). As a result, there is no direct evidence supporting that biosynthesis is an exclusive aerobic process in PAOs that alternate continuously between anaerobic and aerobic phases. Here, we apply resource allocation metabolic flux analysis to study the optimal phenotype of PAOs over a temperature range of 4 °C to 20 °C. The model applied in this research allowed to identify optimal metabolic strategies in a core metabolic model with limited constraints based on biological principles. The addition of a constraint limiting biomass synthesis to be an exclusive aerobic process changed the metabolic behaviour and improved the predictability of the model over the studied temperature range by closing the gap between prediction and experimental findings. The results validate the assumption of limited anaerobic biosynthesis in PAOs, specifically “Candidatus Accumulibacter” related species. Interestingly, the predicted growth yield was lower, suggesting that there are mechanistic barriers for anaerobic growth not yet understood nor reflected in the current models of PAOs. Moreover, we identified strategies of resource allocation applied by PAOs at different temperatures as a result of the decreased catalytic efficiencies of their biochemical reactions. Understanding resource allocation is paramount in the study of PAOs and their currently unknown complex metabolic regulation, and metabolic modelling based on biological first principles provides a useful tool to develop a mechanistic understanding.","Biosynthesis; Metabolic modelling; Polyphosphate accumulating organisms; Resource allocation; Temperature","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:853e824c-97a1-4c1c-9f18-c7647db09583","http://resolver.tudelft.nl/uuid:853e824c-97a1-4c1c-9f18-c7647db09583","Nitrogen deposition from aviation emissions","Domingos de Azevedo Quadros, F. (TU Delft Aircraft Noise and Climate Effects); van Loo, Marijn (Student TU Delft); Snellen, M. (TU Delft Control & Operations; TU Delft Aircraft Noise and Climate Effects); Dedoussi, I.C. (TU Delft Aircraft Noise and Climate Effects)","","2023","Excess nitrogen deposition from anthropogenic sources of atmospheric emissions, such as agriculture and transportation, can have negative effects on natural environments. Designing effective conservation efforts requires knowledge of the contribution of individual sectors. This study utilizes a global atmospheric chemistry-transport model to quantify, for the first time, the contribution of global aviation NOx emissions to nitrogen deposition for 2005 and 2019. We find that aviation led to an additional 1.39 Tg of nitrogen deposited globally in 2019, up 72 % from 2005, with 67 % of each year's total occurring through wet deposition. In 2019, aviation was responsible for an average of 0.66 %, 1.13 %, and 1.61 % of modeled nitrogen deposition from all sources over Asia, Europe, and North America, respectively. These impacts are spatially widespread, with 56 % of deposition occurring over water. Emissions during the landing, taxi and takeoff (LTO) phases of flight are responsible for 8 % of aviation's nitrogen deposition impacts on average globally, and between 16 and 32 % over most land in regions with high aviation activity. Despite currently representing less than 1.2 % of nitrogen deposition globally, further growth of aviation emissions would result in increases in aviation's contribution to nitrogen deposition and associated critical loads.","Aircraft emissions; Aviation; Natura 2000; Nitrogen deposition; Reactive nitrogen","en","journal article","","","","","","","","","","Control & Operations","Aircraft Noise and Climate Effects","","",""
"uuid:bca1d1b8-8e13-4be5-8a90-d66c641dc7c4","http://resolver.tudelft.nl/uuid:bca1d1b8-8e13-4be5-8a90-d66c641dc7c4","Improving Lateral Resolution in 3-D Imaging With Micro-beamforming Through Adaptive Beamforming by Deep Learning","Ossenkoppele, B.W. (TU Delft ImPhys/Imaging Physics; TU Delft ImPhys/Medical Imaging); Luijten, Ben (Eindhoven University of Technology); Bera, Deep (Philips Research); de Jong, N. (TU Delft ImPhys/Medical Imaging; Erasmus MC); Verweij, M.A. (TU Delft Technology, Policy and Management; Erasmus MC); van Sloun, Ruud J.G. (Eindhoven University of Technology; Philips Research)","","2023","There is an increased desire for miniature ultrasound probes with small apertures to provide volumetric images at high frame rates for in-body applications. Satisfying these increased requirements makes simultaneous achievement of a good lateral resolution a challenge. As micro-beamforming is often employed to reduce data rate and cable count to acceptable levels, receive processing methods that try to improve spatial resolution will have to compensate the introduced reduction in focusing. Existing beamformers do not realize sufficient improvement and/or have a computational cost that prohibits their use. Here we propose the use of adaptive beamforming by deep learning (ABLE) in combination with training targets generated by a large aperture array, which inherently has better lateral resolution. In addition, we modify ABLE to extend its receptive field across multiple voxels. We illustrate that this method improves lateral resolution both quantitatively and qualitatively, such that image quality is improved compared with that achieved by existing delay-and-sum, coherence factor, filtered-delay-multiplication-and-sum and Eigen-based minimum variance beamformers. We found that only in silica data are required to train the network, making the method easily implementable in practice.","Adaptive beamforming; Deep learning; Matrix transducers; Micro-beamforming; Volumetric imaging","en","journal article","","","","","","","","","Technology, Policy and Management","ImPhys/Imaging Physics","ImPhys/Medical Imaging","","",""
"uuid:27e20e06-0128-4201-aaf6-5f197b33f241","http://resolver.tudelft.nl/uuid:27e20e06-0128-4201-aaf6-5f197b33f241","Coupling Two Ultra-high-Speed Cameras to Elucidate Ultrasound Contrast-Mediated Imaging and Therapy","Li, H. (Erasmus MC); Li, X. (TU Delft ImPhys/Medical Imaging); Collado Lara, G. (Erasmus MC); Lattwein, K.R. (Erasmus MC); Mastik, Frits (Erasmus MC); Beurskens, Robert (Erasmus MC); van der Steen, A.F.W. (TU Delft ImPhys/Medical Imaging; Erasmus MC); Verweij, M.A. (TU Delft Technology, Policy and Management; Erasmus MC); de Jong, N. (TU Delft ImPhys/Medical Imaging; Erasmus MC); Kooiman, Klazina (Erasmus MC)","","2023","Ultrasound contrast-mediated medical imaging and therapy both rely on the dynamics of micron- and nanometer-sized ultrasound cavitation nuclei, such as phospholipid-coated microbubbles and phase-change droplets. Ultrasound cavitation nuclei respond non-linearly to ultrasound on a nanosecond time scale that necessitates the use of ultra-high-speed imaging to fully visualize these dynamics in detail. In this study, we developed an ultra-high-speed optical imaging system that can record up to 20 million frames per second (Mfps) by coupling two small-sized, commercially available, 10-Mfps cameras. The timing and reliability of the interleaved cameras needed to achieve 20 Mfps was validated using two synchronized light-emitting diode strobe lights. Once verified, ultrasound-activated microbubble responses were recorded and analyzed. A unique characteristic of this coupled system is its ability to be reconfigured to provide orthogonal observations at 10 Mfps. Acoustic droplet vaporization was imaged from two orthogonal views, by which the 3-D dynamics of the phase transition could be visualized. This optical imaging system provides the temporal resolution and experimental flexibility needed to further elucidate the dynamics of ultrasound cavitation nuclei to potentiate the clinical translation of ultrasound-mediated imaging and therapy developments.","Cavitation; Droplet; Microbubble; Ultra-high-speed imaging; Ultrasound; Ultrasound contrast agents","en","journal article","","","","","","","","","Technology, Policy and Management","","ImPhys/Medical Imaging","","",""
"uuid:2b54144e-35bc-4fbe-ba1b-475802ad1da1","http://resolver.tudelft.nl/uuid:2b54144e-35bc-4fbe-ba1b-475802ad1da1","The job of public transport, ride-hailing and delivery drivers: Conditions during the COVID-19 pandemic and implications for a post-pandemic future","Fielbaum, Andres (TU Delft Learning & Autonomous Control); Ruiz, Felipe (Universidad de Chile); Boccardo, Giorgio (Universidad de Chile); Rubio, Daniela (Universidad de Chile); Tirachini, Alejandro (Universidad de Chile; Instituto Sistemas Complejos de Ingeniería (ISCI)); Rosales-Salas, Jorge (Universidad Mayor)","","2023","Transport workers were among the most affected by the COVID-19 crisis. In several countries, public transport and delivery drivers were considered essential workers during the pandemic, while the demand changed dramatically. In this context, little is known about the actual effects of the pandemic on the lives of drivers, and whether those effects depend on the type and formality of the corresponding job. In this paper, we analyse the impact of the pandemic on the daily jobs of public transport, ride-hailing, and delivery app drivers: we study changes on working time and income, pandemic-related concerns, and deterioration of job satisfaction, through a survey applied to drivers during the first peak of the pandemic in Santiago, Chile. Probit regressions on job satisfaction identify the main COVID-related experiences that explain variations in subjective perceptions. We then discuss the implications for post-pandemic job relationships, drivers’ working conditions and urban mobility. We show that the unstable characteristics of app-based jobs sharpened during the pandemic: Public transport drivers have kept their jobs, with a similar income as in the pre-pandemic situation and keep their social security, whereas ride-hailing and delivery app drivers do not have social security. Several ride-hailing drivers lost their jobs without any compensation, while delivery drivers earn less money per hour, are more exhausted, and express the greatest concerns and largest decrease in their job satisfaction. The COVID-19 crisis has emphasized that the sustainability of post-pandemic passenger and delivery on-demand services needs to rely on formal job regulation and worker protection.","COVID-19; Delivery riders; Public transport drivers; Ride-hailing drivers; Sharing economy; Transport apps regulation","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:b6400d7a-bcd4-47c6-9564-de1e5a0fd280","http://resolver.tudelft.nl/uuid:b6400d7a-bcd4-47c6-9564-de1e5a0fd280","Pressure sensitivity for head, face and neck in relation to soft tissue","Yang, W. (Hunan University); He, Renke (Hunan University); Goossens, R.H.M. (TU Delft Human-Centered Design; TU Delft Applied Ergonomics and Design); Huysmans, T. (TU Delft Applied Ergonomics and Design)","","2023","Pressure sensitivity research on the head, face, and neck is critical to develop ways to reduce discomfort caused by pressure in head-related products. The aim of this paper is to provide information for designers to be able to reduce the pressure discomfort by studying the relation between pressure sensitivity and soft tissue in the head, face and neck. We collected pressure discomfort threshold (PDT) and pressure pain threshold (PPT) from 119 landmarks (unilateral) for 36 Chinese subjects. Moreover, soft tissue thickness data on the head, face and neck regions of 50 Chinese people was obtained through CT scanning while tissue deformation data under the PDT and PPT states was obtained from literature. The results of the three-elements correlation analysis revealed that soft tissue thickness is positively correlated with deformation but not an important factor in pressure sensitivity. Our high-precision pressure sensitivity maps confirm earlier findings of more rough pressure sensitivity studies, while also revealing additional fine scale sensitivity differences. Finally, based on the findings, a high-precision ""recommended map” of the optimal stress-bearing area of the head, face and neck was generated.","CT data; Pressure discomfort; Product design","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Human-Centered Design","Applied Ergonomics and Design","","",""
"uuid:88be2783-c750-4b5b-a336-938aa5c75636","http://resolver.tudelft.nl/uuid:88be2783-c750-4b5b-a336-938aa5c75636","Computing the average inter-sample time of event-triggered control using quantitative automata","de Albuquerque Gleizer, G. (TU Delft Team Tamas Keviczky); Mazo, M. (TU Delft Team Manuel Mazo Jr)","","2023","Event-triggered control (ETC) is a major recent development in cyber–physical systems due to its capability of reducing resource utilization in networked devices. However, while most of the ETC literature reports simulations indicating massive reductions in the sampling required for control, no method so far has been capable of quantifying these results. In this work, we propose an approach through finite-state abstractions to do formal quantification of the traffic generated by ETC of linear systems, in particular aiming at computing its smallest average inter-sample time (SAIST). The method involves abstracting the traffic model through l-complete abstractions, finding the cycle of minimum average length in the graph associated to it, and verifying whether this cycle is an infinitely recurring traffic pattern. The method is proven to be robust to sufficiently small model uncertainties, which allows its application to compute the SAIST of ETC of nonlinear systems.","Abstractions; Event-triggered control; Hybrid systems","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-04-15","","","Team Tamas Keviczky","","",""
"uuid:3606e6c9-110e-47cb-b776-fd7b2e545728","http://resolver.tudelft.nl/uuid:3606e6c9-110e-47cb-b776-fd7b2e545728","Numerical modelling of ice: Mechanical behaviour of ice under high strain rates","Ma, Dayou (Northwestern Polytechnical University; Politecnico di Milano); Li, X. (TU Delft Structural Integrity & Composites; Northwestern Polytechnical University); Manes, Andrea (Politecnico di Milano); Li, Yulong (Northwestern Polytechnical University)","","2023","Ice impact is quite common and may become critical especially if it involves the transportation sector. Simulation tools may help in the structural design phase to increase the ability to withstand this kind of impact and/or to analyse the effect under extreme weather conditions. Such tools require an accurate description of the mechanical behaviour and therefore a detailed investigation about the dynamic mechanical properties of ice is of great interest. In the present work, material characterizations of ice, including tensile and compressive tests, were carried out under different strain rates. Two different material models (i.e., the modified Johnson-Cook model and Johnson-Holmquist II model) were calibrated. Then, impact tests using ice as a projectile with aluminium panels as a target were conducted to validate the material models of ice under impact loading. Furthermore, the replication effect of ice projectiles was investigated under different impact energies based on the mechanical responses and damage phenomena of ice for both models. Results showed that while both models are able to provide reliable predictions of the impact behaviour of ice projectiles, the Johnson-Holmquist II model presents a better performance as impact energy increases.","Ballistic impact; Damage phenomena; Ice projectile; Johnson-Cook model; Johnson-Holmquist II model","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Structural Integrity & Composites","","",""
"uuid:e1ca6d19-64b1-485d-9035-0c814857934b","http://resolver.tudelft.nl/uuid:e1ca6d19-64b1-485d-9035-0c814857934b","On improving process efficiency and weld quality in ultrasonic welding of misaligned thermoplastic composite adherends","Belo Gomes Brito, C. (TU Delft Aerospace Manufacturing Technologies); Teuwen, Julie J.E. (TU Delft Aerospace Manufacturing Technologies); Dransfeld, C.A. (TU Delft Aerospace Manufacturing Technologies); Villegas, I.F. (TU Delft Aerospace Structures & Computational Mechanics; TU Delft Design for Sustainability)","","2023","This paper evaluates the potential of changing the welding force and the compliance of the energy director (ED) to reduce the effects caused by misaligned adherends, which were: increased through-thickness heating, reduced size of welded area and increased heating time. In the methodology that was followed, we welded adherends misaligned by approximately 4.5° in different scenarios: with higher welding force; with increased ED compliance by the use of a thicker ED and; with increased ED compliance by the use of a discontinuous ED. The most significant reduction of the effects caused by misaligned adherends was obtained when combining the use of both increased welding force and discontinuous ED. Such improvement derives from the imposed parallelism caused by the use of a higher welding force and from a more efficient concentration of heat generation at the weld line that occurs when a discontinuous ED is used.","Carbon fibre; Energy director; Fusion bonding; Joints/joining; Porosity; Welding force","en","journal article","","","","","","","","","","","Aerospace Manufacturing Technologies","","",""
"uuid:c5440135-3b33-4507-a6c9-e9c8f253cbae","http://resolver.tudelft.nl/uuid:c5440135-3b33-4507-a6c9-e9c8f253cbae","On the interlayer toughening of carbon fibre/epoxy composites using surface-activated ultra-thin PEEK films","Quan, D. (TU Delft Structural Integrity & Composites; Shandong University); Wang, Guilong (Shandong University); Zhao, Guoqun (Shandong University); Alderliesten, R.C. (TU Delft Structural Integrity & Composites)","","2023","The exceptional mechanical properties of Polyether-ether-ketone(PEEK) polymers make them ideal candidates for interlayer toughening of carbon fibre/epoxy composites. Herein, ultra-thin PEEK films with a thickness of 8μm, 18μm and 25μm were used for interlayer toughening of an aerospace-grade carbon fibre/epoxy composite. The mode-I and mode-II fracture behaviour of the interleaved laminates were investigated, with the fracture mechanisms being investigated. The surfaces of the PEEK films were treated by a UV-irradiation technique to enhance their intrinsically low surface activities. This significantly increased the adhesion at the interface between the PEEK interlayers and the composite matrix. A topography analysis on the fracture surfaces revealed extensive damage of the PEEK interlayers during the fracture process of the laminates. Owing to the exceptional properties of the PEEK films, significant enhancements in the mode-I and mode-II fracture properties of the laminates were obtained, i.e. the mode-I and mode-II fracture energies were significantly increased by 227% and 441%, respectively. Overall, the UV-treated PEEK films proved superior effectivenesses for laminate toughening when compared to the other state-of-the-art interlayer materials.","Fracture toughness; Interlayer toughening; Polymer-matrix composites (PMCs); Ultra-thin films","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-19","","","Structural Integrity & Composites","","",""
"uuid:9247115c-a38c-4e2e-aae8-452a43958795","http://resolver.tudelft.nl/uuid:9247115c-a38c-4e2e-aae8-452a43958795","Machine learning-based evaluation of dynamic thermal-tempering performance and thermal diversity for 107 Cambridge courtyards","Peng, Zhikai (TU Delft Building Physics; University of Cambridge); Debnath, Ramit (University of Cambridge; California Institute of Technology); Bardhan, Ronita (University of Cambridge); Steemers, Koen (University of Cambridge)","","2023","The dynamic thermal conditions profoundly impact on the quality of physical, cultural, and social experiences in courtyard spaces. This research aims to identify the microclimatic dissimilarities between courtyards in terms of tempering seasonal–diurnal thermal extremes and enriching ground-level thermal textures. The methodology included field measurements in summer-2021 and winter-2022 in Cambridge, UK; microclimatic simulations of 107 courtyards in ENVI-met and model validations; and machine learning-driven clustering using Super Organising Maps (SuperSOM). The results indicate that the diurnal thermal range of the spatial-UTCI mean in summer (DTR(M)<24∘C) is double that in winter (DTR(M)<12∘C); meanwhile the maximum spatial-UTCI deviation is three times as significant (δ>3∘Cat 7:00 BST versus δ>1∘Cat 12:00 GMT). SuperSOM analysis was performed using K-means and hierarchical agglomerative clustering to partition all courtyards into seven subclusters on its graph-lattice structure. Clusters Km_I, Hac_I, and Hac_IV feature a positive synergy between the thermal-tempering and thermal-enriching potentials. In contrast, the other four clusters exhibit conflicting scenarios during the day and night across the two seasons analysed. These data-driven outcomes enabled us to optimise spatial and landscape strategies for designing and retrofitting courtyard microclimates, contributing to the current discussions on climate-responsive and sensation-inclusive design in historical urban contexts.","Courtyard; Historical urban contexts; Machine learning; Microclimate; Thermal diversity; Thermal tempering","en","journal article","","","","","","","","","","","Building Physics","","",""
"uuid:e4ef2d10-86c2-46c1-aeb1-7493ce935d58","http://resolver.tudelft.nl/uuid:e4ef2d10-86c2-46c1-aeb1-7493ce935d58","Coupling high-rate activated sludge process with aerobic granular sludge process for sustainable municipal wastewater treatment","Kosar, Sadiye (Istanbul Technical University); Isik, Onur (Istanbul Technical University; Kahramanmaras Sutcu Imam University); Cicekalan, Busra (Istanbul Technical University); Gulhan, Hazal (Istanbul Technical University); Cingoz, Seyma (ISKI Istanbul Water and Sewerage Administration); Yoruk, Mustafa (ISKI Istanbul Water and Sewerage Administration); Ozgun, Hale (Istanbul Technical University); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Ersahin, Mustafa Evren (Istanbul Technical University)","","2023","Achieving a neutral/positive energy balance without compromising discharge standards is one of the main goals of wastewater treatment plants (WWTPs) in terms of sustainability. Aerobic granular sludge (AGS) technology promises high treatment performance with low energy and footprint requirement. In this study, high-rate activated sludge (HRAS) process was coupled to AGS process as an energy-efficient pre-treatment option in order to increase energy recovery from municipal wastewater and decrease the particulate matter load of AGS process. Three different feeding strategies were applied throughout the study. AGS system was fed with raw municipal wastewater, with the effluent of HRAS process, and with the mixture of the effluent of HRAS process and raw municipal wastewater at Stage 1, Stage 2 and Stage 3, respectively. Total suspended solids (TSS), chemical oxygen demand (COD), ammonia nitrogen (NH4+-N), and total phosphorus (TP) concentrations in the effluent were less than 10 mg/L, 60 mg/L, 0.4 mg/L, and 1.3 mg/L respectively at all stages. Fluctuations were observed in the denitrification performance due to changes in the influent COD/total nitrogen (TN) ratio. This study showed that coupling HRAS process with AGS process by feeding the AGS process with the mixture of HRAS process effluent and raw municipal wastewater could be an appropriate option for both increasing the energy recovery potential of WWTPs and enabling high effluent quality.","Aerobic granular sludge; Energy recovery; High-rate activated sludge; Municipal wastewater; Sustainability","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","BT/Environmental Biotechnology","","",""
"uuid:5c5bc251-2d40-4f65-97ea-7502f5082320","http://resolver.tudelft.nl/uuid:5c5bc251-2d40-4f65-97ea-7502f5082320","Non-linear response of colloid monolayers at high-frequency probed by ultrasound-driven microbubble dynamics","Saha, S. (TU Delft ChemE/Transport Phenomena; Imperial College London); Luckham, Paul F. (Imperial College London); Garbin, V. (TU Delft ChemE/Transport Phenomena; Imperial College London)","","2023","Hypothesis: High-frequency interfacial rheology of complex interfaces remains challenging yet it is central to the performance of multiphase soft matter products. We propose to use ultrasound-driven bubble dynamics to probe the high-frequency rheology of a colloid monolayer used as model system with controlled interactions and simultaneous monitoring of the microstructure. We hypothesize that by comparing the response of colloid-coated bubbles with that of a bare bubble under identical experimental conditions, it is possible to detect the non-linear response of the monolayer and use it to extract interfacial rheological properties at 104s−1. Experiments: Using high-speed video-microscopy, the dynamics of colloid-coated bubbles were probed to study the micromechanical response of the monolayer to high-frequency deformation. Protocols analogous to stress-sweep and frequency-sweep were developed to examine the stress–strain relationships. A simple model, motivated by the observed non-linear responses, was developed to estimate the interfacial viscoelastic parameters. Findings: The estimated elastic moduli of colloid monolayers at 104s−1 are about an order of magnitude larger than those measured at 1 s−1. The monolayers exhibit non-linear viscoelasticity for strain amplitudes as small as 1%, and strain-softening behaviour. These findings highlight the applicability of acoustic bubbles as high-frequency interfacial probes.","Bubbles; Colloids; Interfacial rheology; Ultrasound","en","journal article","","","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:9031353f-aecd-4e6a-95b8-d7810e6bcc84","http://resolver.tudelft.nl/uuid:9031353f-aecd-4e6a-95b8-d7810e6bcc84","Model predictive control and protection of MMC-based MTDC power systems","Shetgaonkar, A.D. (TU Delft Intelligent Electrical Power Grids); Liu, L. (TU Delft Intelligent Electrical Power Grids); Lekić, A. (TU Delft Intelligent Electrical Power Grids); Popov, M. (TU Delft Intelligent Electrical Power Grids); Palensky, P. (TU Delft Intelligent Electrical Power Grids)","","2023","Meshed offshore grids (MOGs) present a viable option for a reliable bulk power transmission topology. The station-level control of MOGs requires faster dynamics along with multiple objective functions, which is realized by the model predictive control (MPC). This paper provides control, and protection design for the Modular Multilevel Converter (MMC) based multi-terminal DC (MTDC) power system using MPC. MPC is defined using a quadratic cost function, and a dqz rotating frame voltage inputs are represented using Laguerre orthonormal functions. MPC has been applied for the control of both grid forming and grid following converters in a four-terminal MTDC setup, implemented for real-time Electromagnetic Transient (EMT) simulation. By applying numerous time-domain simulations, the advantages of the MPC when dealing with AC and DC side disturbances are investigated. The investigation highlights the MPC's inherent feature of fast response and high damping during- and post-disturbance, which is compared to the traditional PI controller performance. The analysis provides a comprehensive insight into the transient behavior of the MTDC during disturbances.","GTFPGA; MMC; Model predictive control; MTDC; Software-in-the-loop; VARC DC CB; Wind power plant","en","journal article","","","","","","","","","","","Intelligent Electrical Power Grids","","",""
"uuid:cda3705d-0e11-4c83-9271-f6bf013e3111","http://resolver.tudelft.nl/uuid:cda3705d-0e11-4c83-9271-f6bf013e3111","Temperature effects on the behaviour of liquid-laminated embedded glass connections","Volakos, Efstratios (University of Cambridge); Davis, Chris (H.B. Fuller, Kömmerling); Teich, Martien (seele GmbH); Lenk, Peter (Arup); Overend, M. (TU Delft Structural Design & Mechanics)","","2023","Embedded load-bearing laminated glass connections have gained popularity in recent years due to their mechanical performance and aesthetic appeal. However, there is a paucity of data on their structural behaviour across a range of temperatures that may arise in building applications and there is also no simplified mechanics-based model for predicting their load–displacement response. This study addresses these gaps directly through experimental pull-out tests on steel inserts encapsulated in resin-laminated glass performed at various temperatures. The experimental results confirm that the response of the resin interlayer is time / temperature-dependent which therefore significantly affects the connection behaviour. In particular, both the stiffness and strength of the connection decrease with increasing temperature. Similarly, temperature also governs the failure mechanism of the connection. Specifically, temperatures at or below ambient indoor temperature (-10 °C and + 22 ± 2 °C) result in glass fracture whereas at + 50 °C the connection fails due to insert delamination. The numerical (FE) simulations of these tests show that a complex stress/strain state is set up in the vicinity of the embedded insert which correlates well with the experimentally observed failure mechanisms at different working temperatures. Finally, the insights gained along with the data generated from the experimental and numerical work were used to develop a simple analytical tool that predicts the pull-out load–displacement response of the embedded connection at different temperatures and load durations.","Analytical model; Experimental Tests; Liquid-laminated embedded connections; Numerical (FE) simulations; Temperature","en","journal article","","","","","","","","","","","Structural Design & Mechanics","","",""
"uuid:9127e6dc-c048-4a9f-8e1e-7fe1f979a3a7","http://resolver.tudelft.nl/uuid:9127e6dc-c048-4a9f-8e1e-7fe1f979a3a7","Modelling gas fracturing in saturated clay samples using triple-node zero-thickness interface elements","Liaudat, J. (TU Delft Geo-engineering); Dieudonné, A.A.M. (TU Delft Geo-engineering); Vardon, P.J. (TU Delft Geo-engineering)","","2023","Geological Disposal Facilities (GDF) for radioactive waste will generally rely on clay-rich materials as a host geological formation and/or engineered barrier. Gas will be produced within the GDF, which can build up significant gas pressure and will activate the migration of gas through the clay materials via different transport mechanisms. These transport mechanisms are usually investigated in laboratory tests on small clay samples of a few centimetres. In this paper, a new Pneumo-Hydro-Mechanical (PHM) Finite Element model to simulate gas migration in saturated clay samples of this scale is presented. In the proposed modelling approach, continuum elements are used to represent the mechanical and flow processes in the bulk clay material, while zero-thickness interface elements are used to represent existing or induced discontinuities (cracks). A new triple-node PHM interface element is presented to achieve this. The performance of model is illustrated with synthetic benchmark examples which show the ability of the model to reproduce observed PHM mechanisms leading to propagation of cracks due to the gas pressure (gas fracturing).","Clay; Finite Element Method; Gas fracturing; Gas migration; Interface elements; Two-phase flow","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:773acb56-20c0-4d5a-af5f-3298725a29a2","http://resolver.tudelft.nl/uuid:773acb56-20c0-4d5a-af5f-3298725a29a2","Dynamic ice loads for offshore wind support structure design","Hammer, T.C. (TU Delft Offshore Engineering; Siemens Gamesa Renewable Energy); Willems, Tom (Siemens Gamesa Renewable Energy); Hendrikse, H. (TU Delft Offshore Engineering)","","2023","For offshore wind farms which are planned in sub-arctic regions like the Baltic Sea and Bohai Bay, support structure design has to account for load effects from dynamic ice-structure interaction. There is relatively high uncertainty related to dynamic ice loads as little to no load- and response data of offshore wind turbines exposed to drifting ice exists. In the present study the potential for the development of ice-induced vibrations for an offshore wind turbine on monopile foundation is experimentally investigated. The experiments aimed to reproduce at scale the interaction of an idling and operational 14 MW turbine with ice representative of 50-year return period Southern Baltic Sea conditions. A real-time hybrid test setup was used to allow the incorporation of the specific modal properties of an offshore wind turbine at the ice action point, as well as virtual wind loading. The experiments showed that all known regimes of ice-induced vibrations develop depending on the magnitude of the ice drift speed. At low speed this is intermittent crushing and at intermediate speeds is ‘frequency lock-in’ in the second global bending mode of the turbine. For high ice speeds continuous brittle crushing was found. A new finding is the development of an interaction regime with a strongly amplified non-harmonic first-mode response of the structure, combined with higher modes after moments of global ice failure. The regime develops between speeds where intermittent crushing and frequency lock-in in the second global bending mode develop. The development of this regime can be related to the specific modal properties of the wind turbine, for which the second and third global bending mode can be easily excited at the ice action point. Preliminary numerical simulations with a phenomenological ice model coupled to a full wind turbine model show that intermittent crushing and the new regime result in the largest bending moments for a large part of the support structure. Frequency lock-in and continuous brittle crushing result in significantly smaller bending moments throughout the structure.","Frequency lock-in; Ice-induced vibrations; Ice-structure interaction; Intermittent crushing; Monopile; Multi-modal interaction","en","journal article","","","","","","","","","","","Offshore Engineering","","",""
"uuid:fd128d50-2751-410a-96c4-1a39e244e29e","http://resolver.tudelft.nl/uuid:fd128d50-2751-410a-96c4-1a39e244e29e","Selective oxidation of aluminium in Mo(Al,Si)2","Ding, Z. (TU Delft Team Marcel Hermans); Brouwer, J.C. (TU Delft Team Marcel Hermans); Kwakernaak, C. (TU Delft Team Maria Santofimia Navarro); Hermans, M.J.M. (TU Delft Team Marcel Hermans); Popovich, V. (TU Delft Team Vera Popovich); Quadakkers, Willem J. (Forschungszentrum Jülich GmbH); Sloof, W.G. (TU Delft Team Kevin Rossi)","","2023","Mo(AlxSi1-x)2 alloy with x in the range of 0.35–0.65 were prepared by a one-step spark plasma sintering. To study the exclusive formation of an α-Al2O3 scale, oxidation experiments were conducted in low and high oxygen partial pressure ambient at 1373 K; viz.: 10−14 and 0.21 atm. The oxidation kinetics follows a parabolic rate law after a transient period. A counter-diffusion process of O and Al along grain boundaries of Al2O3 scale is responsible for the equiaxed and columnar grain growth based on a two-layered microstructure. The formation of a dense equiaxed α-Al2O3 layer contributes to excellent oxidation resistance.","Alumina scale growth; Diffusion; Mo(Al,Si)2; Oxidation; Phase transformation","en","journal article","","","","","","","","","","","Team Marcel Hermans","","",""
"uuid:cdfa0132-5864-4913-ac5e-5465351f3cb7","http://resolver.tudelft.nl/uuid:cdfa0132-5864-4913-ac5e-5465351f3cb7","Neutrally stable double-curved shells by inflection point propagation","Kok, Sjaak (Student TU Delft); Amoozandeh, A. (TU Delft Mechatronic Systems Design); Radaelli, G. (TU Delft Mechatronic Systems Design)","","2023","Elastic structures that can deflect without springback, known as neutrally stable structures, form a remarkable group within their field, since they require the energetic state to remain unchanged during elastic deformation. Several examples in the literature obtain this state of neutral stability by the application of pre-stress, either as a result of manufacturing processes or the application of imposed boundary conditions. In this paper, we present a new class of neutrally stable structure that exhibits neutral stability as part of a continuous deformation process, while also allowing a stress-free configuration to exist. The transition of a double-curved compliant shell from its stress-free stable equilibrium towards its second stable equilibrium, through a range of neutrally stable equilibrium configurations forms the basis of this investigation. To design this neutrally stable shell, an optimization is employed to obtain an ideal set of variables that defines a varying thickness profile. Numerical analysis of the resulting optimized shell structure predicts a substantial region of near-constant energy and associated near-zero loads within this unique deformation mode. Additively manufactured prototypes demonstrate the validity of the modeled results by featuring a continuous equilibrium within the range of motion. These results lay the foundation for compliant beam elements with a neutrally stable bending degree of freedom.","Compliant shell mechanisms; Multi-stability; Neutral stability; Static balance; Thin-walled; Zero stiffness","en","journal article","","","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:a1a9781a-1029-4622-9d39-d7a2c5024a68","http://resolver.tudelft.nl/uuid:a1a9781a-1029-4622-9d39-d7a2c5024a68","Industrially viable diffused IBC solar cells using APCVD dopant glass layers","Kuruganti, V.V. (International Solar Energy Research Center (ISC)); Wurmbrand, Daniel (Universität Konstanz); Buck, Thomas (International Solar Energy Research Center (ISC)); Seren, Sven (SCHMID Group, Freudenstadt); Zeman, M. (TU Delft Electrical Sustainable Energy); Isabella, O. (TU Delft Photovoltaic Materials and Devices); Geml, Fabian (Universität Konstanz); Plagwitz, Heiko (Universität Konstanz); Terheiden, Barbara (Universität Konstanz); Mihailetchi, Valentin D. (International Solar Energy Research Center (ISC))","","2023","Even though interdigitated back contact (IBC) architecture produces the most efficient solar cells, it is difficult to make them cost-effective and industrially viable. Therefore, single-sided atmospheric pressure chemical vapor deposition (APCVD) is investigated for the fabrication of IBC solar cells because it reduces the overall thermal budget, simplifies wet bench processing, and requires no additional masking layer. For the fabrication of a full APCVD IBC solar cell, a very lightly doped front surface field (FSF) of 650 Ω/sq, a heavier doped back surface field (BSF) of 100 Ω/sq and a moderately doped emitter of 250 Ω/sq was used. The high-temperature annealing step is partially done in an oxygen (O2) environment to (i) drive in dopants, (ii) prevent the formation of a boron-rich layer in case of p+ doped c-Si, and (iii) grow an in-situ SiO2 at the Si/dopant glass interface. The etch rate difference between the in-situ grown SiO2 and the doped glass layer is utilized to etch the doped glass completely. The retained in-situ SiO2 after etching is capped with plasma-enhanced chemical vapor deposited (PECVD) SiNx for the passivation of both polarities of IBC solar cells. A full APCVD IBC solar cell precursors (i.e. before metallization) obtained implied open-circuit voltage (iVoc) of 714 mV and emitter saturation current density (J0s) of 17 fA/cm2. At the device level, a full APCVD IBC solar cell achieved a conversion efficiency of 22.8% with Voc of 696 mV and short-circuit current density JSC of 41.3 mA/cm2. These parameters are comparable to the commercially available full-tube diffused ZEBRA® IBC solar cells.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:c63408d6-be94-477c-af3c-c9cf3e46f72f","http://resolver.tudelft.nl/uuid:c63408d6-be94-477c-af3c-c9cf3e46f72f","Experimental analysis of ballast bed state in newly constructed railways after tamping and stabilizing operation","Xiao, Hong (Beijing Jiaotong University); Zhang, Zhihai (Beijing Jiaotong University); Zhu, Yajie (China Railway Beijing Bureau Group); Gan, Tiancheng (China Railway Beijing Bureau Group); Wang, Haoyu (TU Delft Mechanics and Physics of Structures)","","2023","Before the operation of newly constructed railways, tamping and stabilizing machines should be used to improve the quality of ballast beds. With the expansion of the railway network and increase of speeds and axle loads, higher quality and efficiency for tamping and stabilizing operation are required. However, previous studies did not involve the effects and parameters of three-sleeper tamping and stabilizing operation under complex working conditions. In the paper, the effect of a three-sleeper tamping and stabilizing machine on the ballast bed state has been studied by performing field experiments. The effect of important factors, including tamping modes, stabilizing frequency, and track lifting amount, are discussed in detail. The results show that the tamping operation on newly constructed railways causes a reduction of the lateral resistance by 56.5 % and a reduction of lateral resistance work by 64.9 %. After the stabilizing operation, the lateral resistance and lateral resistance work are increased by 168.6 % and 209.8 %, respectively. The tamping and stabilizing operation can significantly increase the support stiffness of ballast beds, which meets the requirements of train operation. Meanwhile, 2X tamping mode is more beneficial to improve ballast resistance. Besides, it is reasonable for a stabilizing frequency of 25 Hz to be used for newly constructed railways. The track lifting amount also has a large effect on the ballast bed quality, and it is recommended to keep the lift amount in the range of 20 mm ∼ 30 mm to achieve a better tamping quality.","Longitudinal and lateral resistance; Newly constructed railway; Stabilizing frequency; Support stiffness; Tamping and stabilizing operation; Tamping mode","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Mechanics and Physics of Structures","","",""
"uuid:c8aef651-47d0-48ea-a197-54f556a45b3b","http://resolver.tudelft.nl/uuid:c8aef651-47d0-48ea-a197-54f556a45b3b","Gel-mediated chemo-mechanical control of calcium carbonate crystal formation","Palin, D. (TU Delft Materials and Environment; Cornell University College of Engineering; University of Cambridge); Kunitake, Jennie A.M.R. (Cornell University College of Engineering); Chang, Marina P. (Cornell University College of Engineering); Sutter, Stephan (Cornell University College of Engineering); Estroff, Lara A. (Cornell University College of Engineering)","","2023","The production of synthetic crystals with controlled shapes and properties is an enticing prospect, yet, the production of such materials is an ongoing challenge. Here, we present a strategy for chemo-mechanically directing the growth of crystals with non-equilibrium structures using a custom-designed double-diffusion cell. We combine chemical additives (e.g., Mg2+ ions) and mechanical confinement (e.g., hydrogel networks) to modulate the growth of calcium carbonate crystals. Specifically, the combination of Mg2+ ions with a strong agarose gel results in calcitic structures, at the gel-glass slide interface, with distinct fried egg-like morphologies and radial or Maltese-cross extinction patterns. In contrast, precipitation with only Mg2+ or agarose results in aragonite spherulites or squished calcite rhombohedra, respectively. Raman spectroscopy and energy dispersive spectroscopy of the “fried eggs” reveals that they are composed of Mg-calcite, which becomes less disordered over time, and the “egg whites” make this transition before the “yolks”. We propose that the “fried eggs” form due to a spherulitic growth process molded by the crystallization-induced delamination of the gel away from the glass slide at the gel-glass interface. In support of the importance of the gel-glass interface, the “fried eggs” do not form when the glass slide is treated with a hydrophobic silane, suppressing heterogeneous nucleation and weakening the interfacial adhesion between the gel and glass, making it easier for the gel to delaminate, thus reducing the confinement effect. As such, this work highlights the important chemo-mechanical role that gel environments can play in crystal formation.","A1. Biocrystallization; A1. Crystal morphology; A1. Impurities; A2. Growth from solution; B1. Calcium compounds; B1. Minerals","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Materials and Environment","","",""
"uuid:3f082dda-080f-44ca-ac1b-75b6669ac622","http://resolver.tudelft.nl/uuid:3f082dda-080f-44ca-ac1b-75b6669ac622","Contactless interfacial rheology: Probing shear at liquid-liquid interfaces without an interfacial geometry via fluorescence microscopy","Muntz, I.A.A. (TU Delft BN/Gijsje Koenderink Lab; University of Edinburgh); Richards, James A. (University of Edinburgh); Brown, Sam (University of Edinburgh); Schofield, Andrew B. (University of Edinburgh); Rey, Marcel (University of Edinburgh; University of Gothenburg); Thijssen, Job H.J. (University of Edinburgh)","","2023","Interfacial rheology is important for understanding properties such as Pickering emulsion or foam stability. Currently, the response is measured using a probe directly attached to the interface. This can both disturb the interface and is coupled to flow in the bulk phase, limiting its sensitivity. We have developed a contactless interfacial method to perform interfacial shear rheology on liquid/liquid interfaces with no tool attached directly to the interface. This is achieved by shearing one of the liquid phases and measuring the interfacial response via confocal microscopy. Using this method, we have measured steady shear material parameters such as interfacial elastic moduli for interfaces with solidlike behavior and interfacial viscosities for fluidlike interfaces. The accuracy of this method has been verified relative to a double-wall ring geometry. Moreover, using our contactless method, we are able to measure lower interfacial viscosities than those that have previously been reported using a double-wall ring geometry. A further advantage is the simultaneous combination of macroscopic rheological analysis with microscopic structural analysis. Our analysis directly visualizes how the interfacial response is strongly correlated to the particle surface coverage and their interfacial assembly. Furthermore, we capture the evolution and irreversible changes in the particle assembly that correspond with the rheological response to steady shear.","","en","journal article","","","","","","","","","","","BN/Gijsje Koenderink Lab","","",""
"uuid:958f91bc-f0cb-4697-9747-0af91d0936da","http://resolver.tudelft.nl/uuid:958f91bc-f0cb-4697-9747-0af91d0936da","Influence of solder condition on effective thermal conductivity of two-directional random fibres: Pore-scale simulation","Xiao, Tian (Xi’an Jiaotong University; Xi’an University of Technology); Zhang, Qiaoling (Xi’an University of Technology); Yang, Xiaohu (Xi’an Jiaotong University; Xi’an University of Technology); Hooman, K. (TU Delft Process and Energy); Li, Guodong (Xi’an University of Technology)","","2023","It is indicated that the solder joint of the metal fibrous materials is a critical factor impacting the heat conduction. To reveal the mechanism by which solder joint sizes, solder joint skips, solder flux materials, and filling media affect the thermal conductivity of fibres, pore-scale numerical simulation is employed to study the thermal transport in two-directional (2-D) random fibres. Satisfactory agreement with existing data validates the numerical model. The dimensionless effective thermal conductivity (ETC) of the porous fibres increases with the solder joint sizes. As the solder joint size (i.e., solder joint ratio) increases by 3.06%, the in-plane (ke-in) and out-of-plane (ke-out) dimensionless ETC increase by 9.0% and 437.2%, respectively. However, the solder joint skips will weaken the thermal conductivity of the fibres. For the same fibre, the ETC of the fibre increases as the thermal conductivity of solders increases. Further, when the dissimilarity in thermal conductivity between the filling medium and the fibre is reduced, the fibre is less affected by the solder joint skips. Finally, it should be supplemented that the in-plane and out-of-plane ETC (ke-in and ke-out) of the fibre without any solder joint are reduced by an average of 14.3% and 98.8%, respectively.","Effective thermal conductivity (ETC); Metal fibre materials; Numerical simulation; Solder joints","en","journal article","","","","","","","","","","Process and Energy","","","",""
"uuid:5daee525-c03b-48b8-b33b-6c93da0a0bfb","http://resolver.tudelft.nl/uuid:5daee525-c03b-48b8-b33b-6c93da0a0bfb","Sturm-Liouville Boundary Value Problem for a Sea-Breeze Flow","Marynets, K. (TU Delft Mathematical Physics)","","2023","We present recent results in study of a mathematical model of the Sea-Breeze flow, arising from a general model of the ‘morning glory’ phenomena. Based on analysis of the Dirichlet spectrum of a corresponding Sturm-Liouville problem and application of the Fredholm alternative, we establish conditions of existence/uniqueness of solutions to the given problem.","Dirichlet spectrum; Fredholm alternative; Legendre equations; Sea-Breeze flow; Sturm-Liouville problem","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:c1f58038-1caf-406f-b953-8b829e7a920f","http://resolver.tudelft.nl/uuid:c1f58038-1caf-406f-b953-8b829e7a920f","A robust computational framework for simulating the dynamics of large assemblies of highly-flexible fibers immersed in viscous flow","Koshakji, Anwar (Massachusetts Institute of Technology); Chomette, Grégoire (Massachusetts Institute of Technology); Turner, Jeffrey (US Army DEVCOM Armaments Center); Jablonski, Jonathan (US Army DEVCOM Armaments Center); Haynes, Aisha (US Army DEVCOM Armaments Center); Carlucci, Donald (US Army DEVCOM Armaments Center); Giovanardi, Bianca (TU Delft Aerospace Structures & Computational Mechanics; Massachusetts Institute of Technology); Radovitzky, Raúl A. (Massachusetts Institute of Technology)","","2023","The dynamic response of flexible filaments immersed in viscous fluids is important in cell mechanics, as well as other biological and industrial processes. In this paper, we propose a parallel computational framework to simulate the fluid-structure interactions in large assemblies of highly-flexible filaments immersed in a viscous fluid. We model the deformation of each filament in 3D with a C1 geometrically-exact large-deformation finite-element beam formulation and we describe the hydrodynamic interactions by a boundary element discretization of the Stokeslet model. We incorporate a contact algorithm that prevents fiber interpenetration and avoids previously reported numerical instabilities in the flow, thus providing the ability to describe the complex evolution of large clouds of fibers over long time spans. In order to support the required long-term integration, we use implicit integration of the solid-fluid-contact coupling. We address the challenges associated with the solution of the large and dense linear system for the hydrodynamic interactions by taking advantage of the massive parallelization offered by Graphic Processing Units (GPUs), which we test up to 1000 fibers and 45000 degrees of freedom. We validate the framework against the well-established response of the sedimentation of a single fiber under gravity in the low to moderate flexibility range. We then reproduce previous results and provide additional insights in the large to extreme flexibility range. Finally, we apply the framework to the analysis of the sedimentation of large clouds of filaments under gravity, as a function of fiber flexibility. Owing to the long time spans afforded by our computational framework, our simulations reproduce the breakup response observed experimentally in the lower flexibility range and provide new insights into the breakup of the initial clouds in the higher flexibility range.","Beam contact; Boundary element method; Flexible filaments in Stokes flow; Fluid-structure interaction; Graphic processing units (GPU); Large-deformation beam elements","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-29","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:59e67569-6607-4bb9-a051-0e60950d4074","http://resolver.tudelft.nl/uuid:59e67569-6607-4bb9-a051-0e60950d4074","XFEM level set-based topology optimization for turbulent conjugate heat transfer problems","Noel, L.F.P. (TU Delft Computational Design and Mechanics); Maute, K. (University of Colorado)","","2023","Solving conjugate heat transfer design problems is relevant for various engineering applications requiring efficient thermal management. Heat exchange between fluid and solid can be enhanced by optimizing the system layout and the shape of the flow channels. As heat is transferred at fluid/solid interfaces, it is crucial to accurately resolve the geometry and the physics responses across these interfaces. To address this challenge, this work investigates for the first time the use of an eXtended Finite Element Method (XFEM) approach to predict the physical responses of conjugate heat transfer problems considering turbulent flow. This analysis approach is integrated into a level set-based optimization framework. The design domain is immersed into a background mesh and the geometry of fluid/solid interfaces is defined implicitly by one or multiple level set functions. The level set functions are discretized by higher-order B-splines. The flow is predicted by the Reynolds Averaged Navier–Stokes equations. Turbulence is described by the Spalart–Allmaras model and the thermal energy transport by an advection–diffusion model. Finite element approximations are augmented by a generalized Heaviside enrichment strategy with the state fields being approximated by linear basis functions. Boundary and interface conditions are enforced weakly with Nitsche’s method, and the face-oriented ghost stabilization is used to mitigate numerical instabilities associated with the emergence of small integration subdomains. The proposed XFEM approach for turbulent conjugate heat transfer is validated against benchmark problems. Optimization problems are solved by gradient-based algorithms and the required sensitivity analysis is performed by the adjoint method. The proposed framework is illustrated with the design of turbulent heat exchangers in two dimensions. The optimization results show that, by tuning the shape of the fluid/solid interface to generate turbulence within the heat exchanger, the transfer of thermal energy can be increased.","Conjugate heat transfer; Heat exchanger; Level set; Spalart–Allmaras; Topology optimization; Turbulence; XFEM","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:34033f69-16b5-484d-805d-7775ef665502","http://resolver.tudelft.nl/uuid:34033f69-16b5-484d-805d-7775ef665502","Validity assessment of SAMOSA retracking for fully-focused SAR altimeter waveforms","Ehlers, F. (TU Delft Physical and Space Geodesy); Schlembach, Florian (Technische Universität München); Kleinherenbrink, M. (TU Delft Mathematical Geodesy and Positioning); Slobbe, D.C. (TU Delft Physical and Space Geodesy)","","2023","We demonstrate in this work how we can take advantage of known unfocused SAR (UF-SAR) retracking methods (e.g. the physical SAMOSA model) for retracking of fully-focused SAR (FF-SAR) waveforms. Our insights are an important step towards consistent observations of sea surface height, significant wave height and backscatter coefficient (wind speed) with both UF-SAR and FF-SAR. This is of particular interest for SAR altimetry in the coastal zone, since coastal clutter may be filtered out more efficiently in the high-resolution FF-SAR waveform data, which has the potential to improve data quality. We implemented a multi-mission FF-SAR altimetry processor for Sentinel-3 (S3) and Sentinel-6 Michael Freilich (S6), using a back-projection algorithm, and analysed ocean waveform statistics compared to multilooked UF-SAR. We find for Sentinel-3 that the averaged power waveforms of UF-SAR and FF-SAR over ocean are virtually identical, while for Sentinel-6 the FF-SAR power waveforms better resemble the UF-SAR zero-Doppler beam. We can explain and model the similarities and differences in the data via theoretical considerations of the waveform integrals. These findings suggest to use the existing UF-SAR SAMOSA model for retracking S3 FF-SAR waveforms but the SAMOSA zero-Doppler beam model for S6 FF-SAR waveforms, instead. Testing the outlined approach over short track segments, we obtain range biases between UF-SAR and FF-SAR lower than 2 mm and significant wave height biases lower than 5 cm.","Altimetry; Fully-focused SAR; Retracking; SAMOSA; Sentinel-3; Sentinel-6 Michael Freilich","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:54c67de1-7532-4e4c-b012-c9e98986fab2","http://resolver.tudelft.nl/uuid:54c67de1-7532-4e4c-b012-c9e98986fab2","Near-real-time satellite precipitation data ingestion into peak runoff forecasting models","Muñoz, Paul (Universidad de Cuenca); Corzo, Gerald (IHE Delft Institute for Water Education); Solomatine, D.P. (TU Delft Water Resources; IHE Delft Institute for Water Education; Water Problems Institute of Russian Academy of Sciences); Feyen, Jan (Katholieke Universiteit Leuven); Célleri, Rolando (Universidad de Cuenca)","","2023","Extreme peak runoff forecasting is still a challenge in hydrology. In fact, the use of traditional physically-based models is limited by the lack of sufficient data and the complexity of the inner hydrological processes. Here, we employ a Machine Learning technique, the Random Forest (RF) together with a combination of Feature Engineering (FE) strategies for adding physical knowledge to RF models and improving their forecasting performances. The FE strategies include precipitation-event classification according to hydrometeorological criteria and separation of flows into baseflow and directflow. We used ∼ 3.5 years of hourly precipitation information retrieved from two near-real-time satellite precipitation databases (PERSIANN-CCS and IMERG-ER), and runoff data at the outlet of a 3391-km2 basin located in the tropical Andes of Ecuador. The developed models obtained Nash-Sutcliffe efficiencies varying from 0.86 to 0.59 for lead times between 1 and 6 h. The best performances were obtained for peak runoffs triggered by short-extension precipitation events (<50 km2) where infiltration- or saturation-excess runoff responses are well learned by the RF models. Conversely, the forecasting difficulty is associated with extensive precipitation events. For such conditions, a deeper characterization of the biophysical characteristics of the basin is encouraged for capturing the dynamic of directflow across multiple runoff responses. All in all, the potential to employ near-real-time satellite precipitation and the use of FE strategies for improving RF forecasting provides hydrologists with new tools for real-time runoff forecasting in remote or complex regions.","Baseflow separation; Extreme runoff; Feature engineering; Forecasting; IMERG; PERSIANN; Tropical Andes","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:ffc33a4e-79df-47b5-ae70-609e1ba63567","http://resolver.tudelft.nl/uuid:ffc33a4e-79df-47b5-ae70-609e1ba63567","Give and take: Moral aspects of travelers' intentions to participate in a hypothetical established social routing scheme","Szép, T. (TU Delft Transport and Logistics); van den Berg, T.G.C. (TU Delft Transport and Logistics); Cointe, Nicolas; Daniel, Aemiro Melkamu (Swedish University of Agricultural Sciences); Martinho, Andreia (Tufts University); Tang, Tanzhe (Rijksuniversiteit Groningen); Chorus, C.G. (TU Delft Industrial Design Engineering)","","2023","Social routing schemes are widely regarded as promising tools to reduce traffic congestion in urban networks. We contribute to the growing literature on such schemes and their effect on travel behavior, by exploring the interaction between the characteristics and framing of the scheme on the one hand, and travelers' moral personality and moral motivations on the other hand. Our method uses a two-wave stated intention experiment eliciting preferences in a hypothetical context where a social routing scheme is presumed to have been established already. This is followed by a morality survey. We hypothesize and then confirm the following: when a social routing scheme is framed and designed as an altruistic effort requesting personal sacrifices for the benefit of other travelers, people who strongly adhere to care related notions of morality are attracted to such a scheme. On the contrary, a scheme that is designed and framed as a collective endeavour which would also benefit participating travelers attracts those who strongly adhere to moral notions related to fairness. We derive tentative policy recommendations from our findings, suggesting that a collective good scheme, albeit more difficult to implement, is likely to be more viable in the long run.","Altruism; Collective good; Contextual morality; Discrete choice analysis; Moral Foundations Questionnaire; Social routing","en","journal article","","","","","","","","","Industrial Design Engineering","","Transport and Logistics","","",""
"uuid:44e0dfa9-505b-44e8-a5fa-1d21dbf19083","http://resolver.tudelft.nl/uuid:44e0dfa9-505b-44e8-a5fa-1d21dbf19083","Normalisation of SARS-CoV-2 concentrations in wastewater: The use of flow, electrical conductivity and crAssphage","Langeveld, J.G. (TU Delft Sanitary Engineering; Partners4UrbanWater); Schilperoort, Remy (Partners4UrbanWater); Heijnen, Leo (KWR Water Research Institute); Elsinga, Goffe (KWR Water Research Institute); Schapendonk, Claudia E.M. (Erasmus MC); Fanoy, Ewout (Gemeente Rotterdam); de Schepper, Evelien I.T. (Erasmus MC); Koopmans, Marion P.G. (Erasmus MC); de Graaf, Miranda (Erasmus MC); Medema, G.J. (TU Delft Sanitary Engineering; KWR Water Research Institute; Michigan State University)","","2023","Over the course of the Corona Virus Disease-19 (COVID-19) pandemic in 2020–2022, monitoring of the severe acute respiratory syndrome coronavirus 2 ribonucleic acid (SARS-CoV-2 RNA) in wastewater has rapidly evolved into a supplementary surveillance instrument for public health. Short term trends (2 weeks) are used as a basis for policy and decision making on measures for dealing with the pandemic. Normalisation is required to account for the dilution rate of the domestic wastewater that can strongly vary due to time- and location-dependent sewer inflow of runoff, industrial discharges and extraneous waters. The standard approach in sewage surveillance is normalisation using flow measurements, although flow based normalisation is not effective in case the wastewater volume sampled does not match the wastewater volume produced. In this paper, two alternative normalisation methods, using electrical conductivity and crAssphage have been studied and compared with the standard approach using flow measurements. For this, a total of 1116 24-h flow-proportional samples have been collected between September 2020 and August 2021 at nine monitoring locations. In addition, 221 stool samples have been analysed to determine the daily crAssphage load per person. Results show that, although crAssphage shedding rates per person vary greatly, on a population-level crAssphage loads per person per day were constant over time and similar for all catchments. Consequently, crAssphage can be used as a quantitative biomarker for populations above 5595 persons. Electrical conductivity is particularly suitable to determine dilution rates relative to dry weather flow concentrations. The overall conclusion is that flow normalisation is necessary to reliably determine short-term trends in virus circulation, and can be enhanced using crAssphage and/or electrical conductivity measurement as a quality check.","COVID-19; Normalisation; Public health; Sewage surveillance","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:a477d652-9749-4d5c-8e9c-bf7595e15210","http://resolver.tudelft.nl/uuid:a477d652-9749-4d5c-8e9c-bf7595e15210","Implications of second-order wave generation for physical modelling of force and run-up on a vertical wall using wave groups","Mortimer, William (Plymouth University; JBA Consulting Ltd.); Calvert, R. (University of Oxford; University of Edinburgh); Antonini, A. (TU Delft Coastal Engineering); Greaves, Deborah (Plymouth University); Raby, Alison (Plymouth University); van den Bremer, T.S. (TU Delft Environmental Fluid Mechanics; University of Oxford)","","2023","Experiments are contaminated by second-order error waves at sub- and super-harmonic frequencies when first-order wave generation is used. Herein, we investigate by experiment the implications of second-order wave generation theory for dynamic wave force and run-up on a vertical wall in shallow to intermediate water depth (k0d=0.5−1.1). Results of short-duration experiments using focused wave groups generated according to first- and second-order theory are compared. We isolate linear, sub-, and super-harmonic contributions using combinations of inverted wave group time series and filtering. We derive theoretical predictions for narrow-banded second-order wave groups interacting with a vertical wall and use this to calculate depth-integrated force and run-up on the wall, which show close agreement with measured data. Comparisons reveal that sub-harmonic error waves are increasingly important in shallow depth, increasing wave run-up by up to 67% and dynamic force by up to 75% at k0d=0.6 when compared to the case of correct (second-order) generation in a relatively short flume.","Bound long-waves; Error waves; Second-order; Set-down; Spurious waves; Wave force; Wave generation; Wave run-up","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:35ef32f7-df79-4618-9410-30bbb96fdf90","http://resolver.tudelft.nl/uuid:35ef32f7-df79-4618-9410-30bbb96fdf90","Trade-off between ordinary differential equation and Legendre polynomial methods to study guided modes in angle-ply laminate","Takali, Farid (University of Sfax); Nirwal, Sonal (Manipal University Jaipur); Othmani, Cherif (Technische Universität Dresden); Groves, R.M. (TU Delft Structural Integrity & Composites)","","2023","It has been shown that the roots of guided waves in laminate plates produced by the ordinary differential equations (ODE) approach may not hold under to some computational conditions. A particular drawback of the 2D formulation of the ODE approach is the lack of reliability in the case of unidirectional laminates due to the decoupling properties between the SH and Lamb wave modes, which is caused by the unified matrix of roots. Due to this problem, the SH modes disappear from the unified roots of guided modes, then re-emerge with a separate computation of the SH and Lamb wave modes. Initially, we did not notice this computational “bug” in the event of a coupling between the SH and Lamb wave modes. In this context, the Legendre polynomial method is used to illustrate that fact. Results demonstrate how the polynomial method is pre-eminent to handle the laminate modelling over the ODE method for these specific requirements, however, a trade-off between these two methods needs to be considered to obtain stable and robust behavior of guided dispersion curves. This short study ends with conclusions and future perspectives.","Coupling properties; Laminate plates; Legendre polynomial; Ordinary differential equation (ODE)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-26","","","Structural Integrity & Composites","","",""
"uuid:ce760fae-2a1c-4e36-a552-c02ba4cd9a4c","http://resolver.tudelft.nl/uuid:ce760fae-2a1c-4e36-a552-c02ba4cd9a4c","On the isomorphism class of q-Gaussian C*-algebras for infinite variables","Borst, M.J. (TU Delft Analysis); Caspers, M.P.T. (TU Delft Analysis); Klisse, M. (TU Delft Analysis); Wasilewski, Mateusz (Polish Academy of Sciences)","","2023","For a real Hilbert space HR and −1 < q < 1 Bozejko and Speicher introduced the C∗-algebra Aq(HR) and von Neumann algebra Mq(HR) of qGaussian variables. We prove that if dim(HR) = ∞ and −1 < q < 1, q ∕= 0 then Mq(HR) does not have the Akemann-Ostrand property with respect to Aq(HR). It follows that Aq(HR) is not isomorphic to A0(HR). This gives an answer to the C∗-algebraic part of Question 1.1 and Question 1.2 in raised by Nelson and Zeng [Int. Math. Res. Not. IMRN 17 (2018), pp. 5486–5535].","Akemann-Ostrand property; q-Gaussian C-algebras","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Analysis","","",""
"uuid:6bb98667-4d5e-4084-94a4-6d13bcbc0119","http://resolver.tudelft.nl/uuid:6bb98667-4d5e-4084-94a4-6d13bcbc0119","Heavily boron-doped diamond grown on scalable heteroepitaxial quasi-substrates: A promising single crystal material for electrochemical sensing applications","Liu, Z. (TU Delft Micro and Nano Engineering); Baluchová, S. (TU Delft Micro and Nano Engineering); Frota Sartori, A. (TU Delft Micro and Nano Engineering); Li, Z. (TU Delft Team Arjan Mol); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia); Schreck, Matthias (Universität Augsburg); Buijnsters, J.G. (TU Delft Micro and Nano Engineering)","","2023","In this work, three distinct heteroepitaxial single-crystal boron-doped diamond (SC-BDD) electrodes were fabricated and subjected to detailed surface analysis and electrochemical characterization. Specifically, the heteroepitaxy approach allowed to synthesize large-area (1 cm2) and heavily-doped (100)-oriented SC-BDD electrodes. Their single-crystal nature and crystal orientation were confirmed by X-ray diffraction, while scanning electron and atomic force microscopies revealed marked variations in surface morphology resulting from their growth on respective on-axis and off-axis substrates. Further, absence of sp2 impurities along with heavy boron doping (>1021 cm−3) was demonstrated by Raman spectroscopy and Mott-Schottky analysis, respectively. Cyclic voltammetry (CV) in a 0.1 M KNO3 solution revealed wide potential windows (∼3.3 V) and low double-layer capacitance (<4 μF cm−2) of the SC-BDD electrodes. Their highly conductive, ‘metal-like’ nature was confirmed by CV with [Ru(NH3)6]3+/2+ probe manifesting near-reversible redox response with ΔEp approaching 0.059 V. The same probe was used to record scanning electrochemical micrographs, which clearly demonstrated homogeneously distributed electrochemical activity of the heteroepitaxial SC-BDD electrodes. Minor differences in their electrochemical performance, presumably resulting from the somewhat different morphological features, were only unveiled during CV with surface sensitive compounds [Fe(CN)6]3−/4− and dopamine. The latter was also used to show the possibility of applying herein developed heteroepitaxial SC-BDD electrodes for electrochemical sensing, whereas experiments with anthraquinone-2,6-disulfonate revealed their enhanced resistance to fouling. All in all, heteroepitaxial SC-BDD represents a highly attractive electrode material which can, owing to the fabrication strategy, easily overcome size limitation, currently preventing broader use of single crystal diamond electrodes in electrochemical applications.","Electrochemical performance; Heavy boron doping; Heteroepitaxial growth; Large-area electrodes; Single-crystal diamond; Surface analysis","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:36c6d6a8-3af4-4eff-b309-2b7fa35352bd","http://resolver.tudelft.nl/uuid:36c6d6a8-3af4-4eff-b309-2b7fa35352bd","Reconstruction of the 1374 Rhine river flood event around Cologne region using 1D-2D coupled hydraulic modelling approach","Ngo, Hieu (University of Twente); Bomers, Anouk (University of Twente); Augustijn, Denie C.M. (University of Twente); Ranasinghe, Roshanka (Water Engineering & Management Department; University of Twente; IHE Delft Institute for Water Education); Filatova, T. (TU Delft Policy Analysis); van der Meulen, Bas (Universiteit Utrecht); Herget, Jürgen (Universität Bonn); Hulscher, Suzanne J.M.H. (University of Twente)","","2023","Reconstructions of the most severe historic flood events contribute to improved quantification of design discharges corresponding to large return periods. Reducing the uncertainty of design discharges has a great significance in constructing proper flood defences to protect the hinterland from future flooding. However, reconstructions of the peak discharges of such historic flood events are generally associated with large uncertainties, which arise from the accuracy of the historic topography, hydraulic roughness of the river channels and floodplains, and the historic hydrograph shape. This study sets up a one dimensional-two dimensional (1D-2D) coupled hydraulic model, stretching from the upstream of Bonn at Remagen to downstream of Düsseldorf, Germany, with the length of 113 km to reconstruct the maximum discharge of the 1374 flood event (Qmax,1374), which is considered to be the largest flood of the last millennium in the Lower Rhine catchment. An uncertainty analysis was performed by adopting different river bed levels and roughness values in order to estimate the influence of these uncertainties on the reconstructed peak discharge. The upstream discharge wave was varied corresponding to a wide range of peak discharges from 12,000 to 24,000 m3/s. The resulting Qmax,1374 was determined of between 14,400 and 18,500 m3/s, were then used in a flood frequency analysis to determine the design discharges corresponding to different return periods. Compared to the design discharge computed with previous estimations of the 1374 peak discharge, we found a significant reduction of 2,000 m3/s in the design discharge corresponding to a 100,000 year return period, which is the maximum safety standard adopted in the Dutch water policy for some downstream dike sections.","1D-2D coupled hydraulic model; Flood frequency analysis; Historic flood reconstruction; Rhine river","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:f1545ac4-8d77-43c5-9173-34d6e5e222fa","http://resolver.tudelft.nl/uuid:f1545ac4-8d77-43c5-9173-34d6e5e222fa","γδ T cells are effectors of immunotherapy in cancers with HLA class I defects","de Vries, Natasja L. (Leiden University Medical Center); van de Haar, Joris (Netherlands Cancer Institute; Oncode Institute); Veninga, Vivien (Netherlands Cancer Institute; Oncode Institute); Chalabi, Myriam (Netherlands Cancer Institute); Ijsselsteijn, Marieke E. (Leiden University Medical Center); van der Ploeg, Manon (Leiden University Medical Center); van den Bulk, Jitske (Leiden University Medical Center); Ruano, Dina (Leiden University Medical Center); Wessels, L.F.A. (TU Delft Pattern Recognition and Bioinformatics; Netherlands Cancer Institute; Oncode Institute)","","2023","DNA mismatch repair-deficient (MMR-d) cancers present an abundance of neoantigens that is thought to explain their exceptional responsiveness to immune checkpoint blockade (ICB)1,2. Here, in contrast to other cancer types3–5, we observed that 20 out of 21 (95%) MMR-d cancers with genomic inactivation of β2-microglobulin (encoded by B2M) retained responsiveness to ICB, suggesting the involvement of immune effector cells other than CD8+ T cells in this context. We next identified a strong association between B2M inactivation and increased infiltration by γδ T cells in MMR-d cancers. These γδ T cells mainly comprised the Vδ1 and Vδ3 subsets, and expressed high levels of PD-1, other activation markers, including cytotoxic molecules, and a broad repertoire of killer-cell immunoglobulin-like receptors. In vitro, PD-1+ γδ T cells that were isolated from MMR-d colon cancers exhibited enhanced reactivity to human leukocyte antigen (HLA)-class-I-negative MMR-d colon cancer cell lines and B2M-knockout patient-derived tumour organoids compared with antigen-presentation-proficient cells. By comparing paired tumour samples from patients with MMR-d colon cancer that were obtained before and after dual PD-1 and CTLA-4 blockade, we found that immune checkpoint blockade substantially increased the frequency of γδ T cells in B2M-deficient cancers. Taken together, these data indicate that γδ T cells contribute to the response to immune checkpoint blockade in patients with HLA-class-I-negative MMR-d colon cancers, and underline the potential of γδ T cells in cancer immunotherapy.","","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:26b2a470-6bde-483b-a995-339fa1a545e9","http://resolver.tudelft.nl/uuid:26b2a470-6bde-483b-a995-339fa1a545e9","Transcriptional and cell type profiles of cortical brain regions showing ultradian cortisol rhythm dependent responses to emotional face stimulation","Habets, Philippe C. (Leiden University Medical Center; Amsterdam UMC); Kalafatakis, Konstantinos (Queen Mary University of London; University of Bristol); Dzyubachyk, O. (Leiden University Medical Center); van der Werff, Steven J.A. (Universiteit Leiden; Leiden University Medical Center); Keo, D.L. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Thakrar, Jamini (University of Bristol); Mahfouz, A.M.E.T.A. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); Pereira, Alberto M. (Amsterdam UMC; Leiden University Medical Center); Russell, Georgina M. (University of Bristol)","","2023","The characteristic endogenous circadian rhythm of plasma glucocorticoid concentrations is made up from an underlying ultradian pulsatile secretory pattern. Recent evidence has indicated that this ultradian cortisol pulsatility is crucial for normal emotional response in man. In this study, we investigate the anatomical transcriptional and cell type signature of brain regions sensitive to a loss of ultradian rhythmicity in the context of emotional processing. We combine human cell type and transcriptomic atlas data of high spatial resolution with functional magnetic resonance imaging (fMRI) data. We show that the loss of cortisol ultradian rhythm alters emotional processing response in cortical brain areas that are characterized by transcriptional and cellular profiles of GABAergic function. We find that two previously identified key components of rapid non-genomic GC signaling – the ANXA1 gene and retrograde endocannabinoid signaling – show most significant differential expression (q = 3.99e−10) and enrichment (fold enrichment = 5.56, q = 9.09e−4). Our results further indicate that specific cell types, including a specific NPY-expressing GABAergic neuronal cell type, and specific G protein signaling cascades underly the cerebral effects of a loss of ultradian cortisol rhythm. Our results provide a biological mechanistic underpinning of our fMRI findings, indicating specific cell types and cascades as a target for manipulation in future experimental studies.","Allen human brain atlas; Brain; fMRI; Transcriptomics","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:54e72996-74cc-46e6-b5a9-e7a14ce6e71f","http://resolver.tudelft.nl/uuid:54e72996-74cc-46e6-b5a9-e7a14ce6e71f","Understanding the interaction of nucleotides with UVC light: an insight from quantum chemical calculation-based findings","Tan, C. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Wang, S. (TU Delft Bio-Electronics; Southern University of Science and Technology); Yang, Huiru (Southern University of Science and Technology); Huang, Qianming (Southern University of Science and Technology); Li, Shizhen (Southern University of Science and Technology); Liu, X. (TU Delft Electronic Components, Technology and Materials; Southern University of Science and Technology); Ye, H. (Southern University of Science and Technology); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","Short-wave ultraviolet (also called UVC) irradiation is a well-adopted method of viral inactivation due to its ability to damage genetic material. A fundamental problem with the UVC inactivation method is that its mechanism of action on viruses is still unknown at the molecular level. To address this problem, herein we investigate the response mechanism of genome materials to UVC light by means of quantum chemical calculations. The spectral properties of four nucleotides, namely, adenine, cytosine, guanine, and uracil, are mainly focused on. Meanwhile, the transition state and reaction rate constant of uracil molecules are also considered to demonstrate the difficulty level of adjacent nucleotide reaction without and with UVC irradiation. The results show that the peak wavelengths are 248.7 nm, 226.1 nm (252.7 nm), 248.3 nm, and 205.8 nm (249.2 nm) for adenine, cytosine, guanine, and uracil nucleotides, respectively. Besides, the reaction rate constants of uracil molecules are 6.419 × 10−49 s−1 M−1 and 5.436 × 1011 s−1 M−1 for the ground state and excited state, respectively. Their corresponding half-life values are 1.56 × 1048 s and 1.84 × 10−12 s. This directly suggests that the molecular reaction between nucleotides is a photochemical process and the reaction without UVC irradiation almost cannot occur.","","en","journal article","","","","","","","","","","","Electronic Components, Technology and Materials","","",""
"uuid:7a553909-664d-4536-b889-bf3f019a5535","http://resolver.tudelft.nl/uuid:7a553909-664d-4536-b889-bf3f019a5535","Life cycle cost analysis (LCCA) of Stirling-cycle-based heat pumps vs. conventional boilers","Khan, Umara (Åbo Akademi University); Zevenhoven, Ron (Åbo Akademi University); Stougie, L. (TU Delft Energie and Industrie); Tveit, Tor Martin (Olvondo Technology)","","2023","Heat pumps (HPs) which use low temperature (waste) heat and renewable energy sources to provide high temperature heat are widely regarded as a critical technology for reducing carbon dioxide emissions in the industrial sector. The HighLift technology considered here can provide high temperature output heat up to around 200 °C. This article focuses on the Life Cycle Cost Analysis (LCCA) method and its use for the economic evaluation of different industrial-scale heating methods i.e., a Stirling-cycle-based heat pump, a fossil fuel oil-fired boiler (OB), a bio oil-fired boiler (BOB), a natural gas-fired boiler (NGB) and a biogas-fired boiler (BGB). Many input parameters and boundary conditions apply to Sweden, where the considered heat pump is located. Findings from this study suggest that when comparing the life cycle costs of all these technologies Stirling-cycle-based heat pumps give more economic benefits than fossil fuel or biofuel-fired conventional boilers. For a typical 15-year lifespan, its total life cycle cost decreases in following order OB > BOB > NGB > BGB > SC-HP. The study indicates that replacing conventional boilers with a Stirling-cycle-based heat pump, despite an increased initial cost, would still be a cost-effective heating option due to lower operating and maintenance costs.","Levelized cost of energy (LCOE); Life cycle cost analysis (LCCA); Net present value (NPV); Stirling-cycle-based heat pump (SC-HP)","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:b38a7d7c-8fb7-4bbd-8880-1c905bc71aec","http://resolver.tudelft.nl/uuid:b38a7d7c-8fb7-4bbd-8880-1c905bc71aec","Chemical characterization and anaerobic treatment of bitumen fume condensate using a membrane bioreactor","Garcia Rea, V.S. (TU Delft Sanitary Engineering; Econvert Water & Energy); Egerland Bueno, B. (TU Delft Sanitary Engineering; Universidade de São Paulo); Muñoz Sierra, Julian (TU Delft Sanitary Engineering; KWR Water Research Institute); Nair, Athira (Student TU Delft); Lopez Prieto, Israel J. (University of Arizona; Universidad Veracruzana); Cerqueda-García, Daniel (INECOL); van Lier, J.B. (TU Delft Sanitary Engineering); Spanjers, H. (TU Delft Sanitary Engineering)","","2023","Bitumen fume condensate (BFC) is a hazardous wastewater generated at asphalt reclamation and production sites. BFC contains a wide variety of potentially toxic organic pollutants that negatively affect anaerobic processes. In this study, we chemically characterized BFC produced at an industrial site and evaluated its degradation under anaerobic conditions. Analyses identified about 900 compounds including acetate, polycyclic aromatic hydrocarbons, phenolic compounds, and metal ions. We estimated the half maximal inhibitory concentrations (IC50) of methanogenesis of 120, 224, and 990 mgCOD·L−1 for three types of anaerobic biomass, which indicated the enrichment and adaptation potentials of methanogenic biomass to the wastewater constituents. We operated an AnMBR (7.0 L, 35 °C) for 188 days with a mixture of BFC, phenol, acetate, and nutrients. The reactor showed a maximum average COD removal efficiency of 87.7 ± 7.0 %, that corresponded to an organic conversion rate of 286 ± 71 mgCOD−1·L−1d−1. The microbial characterization of the reactor's biomass showed the acetoclastic methanogen Methanosaeta as the most abundant microorganism (43 %), whereas the aromatic and phenol degrader Syntrophorhabdus was continuously present with abundances up to 11.5 %. The obtained results offer the possibility for the application of AnMBRs for the treatment of BFC or other petrochemical wastewater.","AnMBR; BFC; Biodegradation; IC; Microbial community dynamics","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:ea352063-98f7-46e2-ad5d-e87919b55d50","http://resolver.tudelft.nl/uuid:ea352063-98f7-46e2-ad5d-e87919b55d50","Seismic assessment and finite element modeling of traditional vs innovative point fixed glass facade systems (PFGFS)","D’Amore, Simone (Sapienza University of Rome); Bianchi, S. (TU Delft Architectural Technology); Ciurlanti, Jonathan (Arup Limited, Netherlands); Pampanin, Stefano (Sapienza University of Rome)","","2023","In the last decades, recent earthquakes have further highlighted the high vulnerability of non-structural components. Post-earthquake damage due to building envelope, equipment and building contents can lead to substantial economic losses in terms of repair costs and daily activity interruption (downtime). Moreover, non-structural damage can represent a life-safety threat for both occupants and pedestrians. These considerations confirm the crucial need for developing low-damage systems for either structural or non-structural elements. This paper aims to assess the seismic performance of glazed facade systems, widely adopted in modern buildings, focusing on point fixed glass facade systems (PFGFSs), also referred to as “spider glazing”. In this work, a numerical investigation is developed to study the seismic performance of such systems at both local-connection level through a 3D FEM in ABAQUS as well as at global system level through a simplified lumped plasticity model in SAP 2000 to assess the overall in-plane capacity of the facade. Based on the local connection and global facade system behavior, a novel low-damage connection system is herein proposed, and a parametric study is carried out on the key parameters influencing the facade capacity. The benefits of implementing low-damage connection details are highlighted by an increase of the in-plane capacity of the facade system when compared to a traditional solution. To further investigate the potential of the proposed low-damage details in preserving the integrity of the facade system itself, non-linear time history analyses have been carried out on a case-study building equipped with the innovative PFGFSs.","Finite element modeling; Glass facade systems; In-plane drift capacity; Low-damage solution; Non-structural components; Seismic performance","en","journal article","","","","","","","","","","","Architectural Technology","","",""
"uuid:992b640e-f19a-4113-a99b-1dd97432bf0a","http://resolver.tudelft.nl/uuid:992b640e-f19a-4113-a99b-1dd97432bf0a","Improved quantitative evaluation of the fouling potential in spacer-filled membrane filtration channels through a biofouling index based on the relative pressure drop","Huisman, Kees Theo (King Abdullah University of Science and Technology); Franco-Clavijo, Natalia (King Abdullah University of Science and Technology); Vrouwenvelder, J.S. (TU Delft BT/Environmental Biotechnology; King Abdullah University of Science and Technology); Blankert, Bastiaan (King Abdullah University of Science and Technology)","","2023","In this study, a biofouling index based on the relative pressure drop is presented to quantitatively evaluate the amount of fouling in spacer-filled membrane filtration channels. The biofouling index was defined as the inverse of the time to reach a relative pressure drop of 100% and can be interpreted as a fouling rate or cleaning frequency. The index was applied to evaluate biofilm growth in membrane fouling simulators with reverse osmosis membranes and commercial feed spacers operated with different feed water nutrient concentrations and crossflow velocities. Biofilm accumulation on the membrane and feed spacer was characterized in situ using optical coherence tomography. We showed that the biofouling index is directly related to the volume of biofouling independent of the applied crossflow velocity and a suitable tool for improved quantitative comparison of the biofouling rate. Furthermore our results suggest that the pressure drop is better described as function of the velocity at the perimeter of a spacer cell instead of the average velocity in the channel. Although the biofouling index is developed for biofouling, the index may be applied to quantitatively assess mitigation strategies in spacer filled channels for a wider range of fouling types.","Biofouling; Operational performance; Optical coherence tomography; Reverse osmosis; Seawater desalination","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-18","","","BT/Environmental Biotechnology","","",""
"uuid:5061f633-d256-4526-9c61-d55a5f147011","http://resolver.tudelft.nl/uuid:5061f633-d256-4526-9c61-d55a5f147011","Synergy of phosphate recovery from sludge-incinerated ash and coagulant production by desalinated brine","Wang, Xiangyang (Beijing University of Civil Engineering & Architecture); Shi, Chen (Beijing University of Civil Engineering & Architecture); Hao, Xiaodi (Beijing University of Civil Engineering & Architecture); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology; Beijing University of Civil Engineering & Architecture); Wu, Yuanyuan (Beijing University of Civil Engineering & Architecture)","","2023","Wet-chemical approach is widely applied for phosphate recovery from incinerated ash of waste activated sludge (WAS), along with metals removed/recovered. The high contents of both aluminum (Al) and iron (Fe) in WAS-incinerated ash should be suitable for producing coagulants with some waste anions like Cl− and SO42− With acid (HCl) leaching and metals’ removing, approximately 88 wt% of phosphorus (P) in the ash could be recovered as hydroxylapatite (HAP: Ca5(PO4)3OH); Fe3+ in the acidic leachate could be selectively removed/recovered by extraction with an organic solvent of tributyl phosphate (TBP), and thus a FeCl3-based coagulant could be synthesized by stripping the raffinate with the original brine (containing abundant Cl− and SO42−). Furthermore, a liquid poly-aluminum chloride (PAC)-based coagulant could also be synthesized with Al3+ removed from the ash and the brine, which behaved almost the same in the coagulation performance as a commercial coagulant on both phosphate and turbidity removals. Both P-recovery from the ash and coagulant production associated with the brine would enlarge the markets of both ‘blue’ phosphate and ‘green’ coagulants.","Ash; Coagulants; Incineration; Metals’ recovery; Phosphorus recovery; Waste activated sludge (WAS)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-23","","","BT/Environmental Biotechnology","","",""
"uuid:8268358b-84fa-436d-a4f2-0414a72ad73e","http://resolver.tudelft.nl/uuid:8268358b-84fa-436d-a4f2-0414a72ad73e","Modulation of sediment load recovery downstream of Three Gorges Dam in the Yangtze River","Zhu, Chunyan (Shanghai Estuarine and Coastal Science Research Center); Zhang, Yuning (Shanghai Estuarine and Coastal Science Research Center); van Maren, D.S. (TU Delft Environmental Fluid Mechanics; Shanghai Estuarine and Coastal Science Research Center; Deltares); Xie, Weiming (Shanghai Estuarine and Coastal Science Research Center); Guo, Leicheng (Shanghai Estuarine and Coastal Science Research Center); Wang, Xianye (Shanghai Estuarine and Coastal Science Research Center); He, Qing (Shanghai Estuarine and Coastal Science Research Center)","","2023","The sediment load in the Yangtze River downstream of the Three Gorges Dam (TGD) has substantially declined in recent decades. The decrease is more profound below the TGD, e.g., a 97% decrease at Yichang, compared with that at the delta apex, 1200 km downstream, e.g., a 75% decrease, implying along-river sediment recovery. Two large river-connected lakes, i.e., Dongting and Poyang Lakes, may play a role in the re-establishment of the river’s morphodynamic equilibrium, but a quantitative data-based understanding of this interaction is not yet available. In this work, we collected a series of field data to quantify the sediment gain and loss in the river-lake system in the middle-lower Yangtze River, and evaluate the lake’s response to the reduction in riverine sediment supply. We find that Dongting Lake and Poyang Lake shifted from net sedimentation to erosion in 2006 and 2000, and back to a sedimentation regime again after 2017 and 2018, respectively. Natural morphodynamic adaptation and sand mining play an important role in the regime changes in the Dongting Lake whereas sand mining dominates the abrupt changes in the Poyang Lake. The Dongting and Poyang Lake contributed maximum by 38% (2015) and 17% (2006) (respectively) to the sediment recovery in the erosion regime, whereas the riverbed erosion dominates the main sediment source. These changes in the relative contribution of sediment sources also indicates a response time of ~ 20 years in the lakes towards a new equilibrium state. It is noteworthy that the lakes’ buffer effects may be overestimated as the supplied sediment from the lakes is rather small compared to the significant dam trapping in the upstream basin and sediment source from downstream degradation. The results imply that river management and restoration should take into account of the river-lake interactions and feedback impact at decadal time scales.","Dongting Lake; Middle-lower Yangtze River; Poyang Lake; Sediment supply; Three gorges dam","en","journal article","","","","","","Doi of Correction document: https://doi.org/10.1007/s44218-023-00021-x","","","","","Environmental Fluid Mechanics","","",""
"uuid:f86e9626-1405-4b0e-bde7-15de1b3f9f5b","http://resolver.tudelft.nl/uuid:f86e9626-1405-4b0e-bde7-15de1b3f9f5b","Gaussian Process Repetitive Control With Application to an Industrial Substrate Carrier System With Spatial Disturbances","Mooren, Noud (Eindhoven University of Technology); Witvoet, Gert (Eindhoven University of Technology; TNO); Oomen, T.A.E. (TU Delft Team Jan-Willem van Wingerden; Eindhoven University of Technology)","","2023","Repetitive control (RC) can perfectly attenuate disturbances that are periodic in the time domain. The aim of this article is to develop an RC approach that compensates for disturbances that are time-domain nonperiodic but are repeating in the position domain. The developed position-domain buffer consists of a Gaussian process (GP), which is learned using appropriate dynamic filters and nonequidistant data. This approach estimates position-domain disturbances resulting in perfect compensation. The method is successfully applied to a substrate carrier system, demonstrating performance robustness against time-domain nonperiodic disturbances that are amplified by traditional RC.","Gaussian processes (GPs); repetitive control (RC); spatial disturbances","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-02-02","","","Team Jan-Willem van Wingerden","","",""
"uuid:690949aa-30d2-476e-92fc-80dee0c23223","http://resolver.tudelft.nl/uuid:690949aa-30d2-476e-92fc-80dee0c23223","(Micro)Biological Sediment Formation in a Non-Chlorinated Drinking Water Distribution System","Prest, Emmanuelle I. (PWNT Water Technology); Martijn, Bram J. (PWNT Water Technology); Rietveld, Matthijs (PWN Drinking Water Supply Company); Lin, Y. (TU Delft BT/Environmental Biotechnology); Schaap, Peter G. (Evides)","","2023","Sediment formation in drinking water distribution systems can lead to brown water at customer taps. Previous studies have shown that sediment formation is closely linked with (micro)biological processes in the distribution system, however the mechanism is not fully understood. Most available studies on discoloration or sediment formation mechanism are based on modeling, pilot-scale experiments, or low frequency data collected during pipe flushing. In this study, long-term sediment development in a large-scale drinking water distribution system was studied at one location over 11 years and at several locations along a known water trajectory during one year. Particulate material was collected at several locations using built-in and mobile filters that were connected to transport and distribution pipes in a semi-continuous manner. The volume of the collected material varied seasonally and the highest volumes were collected in the summer season. The material followed similar variations as temperature, invertebrates biomass and concentration of Aeromonas. The results showed that particulate matter of the sediment at downstream distribution locations was not released by the treatment works but instead forms along the distribution network, with increasing particle/floc size, biomass and Fe and Mn content. The large crustacean, Asellus, contributed to material production through feces excretion and formation of detritus by degradation of exoskeletons of dead animals. Detailed chemical characterization of the collected material showed the presence of proteins, calcium carbonate and iron precipitates. A similar sediment composition in a reference distribution system where customer complaints about brown water are experienced less frequently suggests that the sediment formation mechanism is the same but that water quality of the treatment effluent impacts the extent of material formation and growth of invertebrates. Overall, the results indicate that sediment formation in the distribution system is the result of complex combinations of (micro)biological and bio-chemical processes, including aggregation of particles with organic and inorganic matter, microbial growth on particles and biofilm, biomineralization, and growth of invertebrates. The determining factors to limit sediment formation, however, could not be identified. Further research is required to focus on the impact of treatment on shaping the distribution system ecosystem.","biological stability; drinking water; invertebrates; particles; sediments","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:1455f1ed-e375-401e-97e0-6bed64a1ab5d","http://resolver.tudelft.nl/uuid:1455f1ed-e375-401e-97e0-6bed64a1ab5d","Analysis of multipartite entanglement distribution using a central quantum-network node","Avis, G. (TU Delft QID/Wehner Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Rozpedek, F.D. (TU Delft QID/Wehner Group; TU Delft QuTech Advanced Research Centre; University of Chicago); Wehner, S.D.C. (TU Delft QID/Wehner Group; TU Delft Quantum Information and Software; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2023","We study the performance (rate and fidelity) of distributing multipartite entangled states in a quantum network through the use of a central node. Specifically, we consider the scenario where the multipartite entangled state is first prepared locally at a central node and then transmitted to the end nodes of the network through quantum teleportation. As our first result, we present leading-order analytical expressions and lower bounds for both the rate and fidelity at which a specific class of multipartite entangled states, namely, Greenberger-Horne-Zeilinger (GHZ) states, are distributed. Our analytical expressions for the fidelity accurately account for time-dependent depolarizing noise encountered by individual quantum bits while stored in quantum memory, as verified using Monte Carlo simulations. As our second result, we compare the performance to the case where the central node is an entanglement switch and the GHZ state is created by the end nodes in a distributed fashion. Apart from these two results, we outline how the teleportation-based scheme could be physically implemented using trapped ions or nitrogen-vacancy centers in diamond.","","en","journal article","","","","","","","","","","","QID/Wehner Group","","",""
"uuid:7fd7a2af-2eb1-4589-8a51-f02805183146","http://resolver.tudelft.nl/uuid:7fd7a2af-2eb1-4589-8a51-f02805183146","Chemical signal regulated injectable coacervate hydrogels","Wu, B. (TU Delft ChemE/Advanced Soft Matter; East China University of Science and Technology); Lewis, R.W. (TU Delft ChemE/Advanced Soft Matter); Li, G. (TU Delft ChemE/Advanced Soft Matter); Gao, Yifan (East China University of Science and Technology); Fan, B. (TU Delft ChemE/Advanced Soft Matter); Klemm, B. (TU Delft ChemE/Advanced Soft Matter); Huang, J. (East China University of Science and Technology); Wang, Junyou (East China University of Science and Technology); Cohen Stuart, Martien A. (East China University of Science and Technology); Eelkema, R. (TU Delft ChemE/Advanced Soft Matter)","","2023","In the quest for stimuli-responsive materials with specific, controllable functions, coacervate hydrogels have become a promising candidate, featuring sensitive responsiveness to environmental signals enabling control over sol-gel transitions. However, conventional coacervation-based materials are regulated by relatively non-specific signals, such as temperature, pH or salt concentration, which limits their possible applications. In this work, we constructed a coacervate hydrogel with a Michael addition-based chemical reaction network (CRN) as a platform, where the state of coacervate materials can be easily tuned by specific chemical signals. We designed a pyridine-based ABA triblock copolymer, whose quaternization can be regulated by an allyl acetate electrophile and an amine nucleophile, leading to gel construction and collapse in the presence of polyanions. Our coacervate gels showed not only highly tunable stiffness and gelation times, but excellent self-healing ability and injectability with different sized needles, and accelerated degradation resulting from chemical signal-induced coacervation disruption. This work is expected to be a first step in the realization of a new class of signal-responsive injectable materials.","","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:bcd4947a-a3b4-4e2a-837e-01237bd73c7e","http://resolver.tudelft.nl/uuid:bcd4947a-a3b4-4e2a-837e-01237bd73c7e","Bienzymatic Cascade Combining a Peroxygenase with an Oxidase for the Synthesis of Aromatic Aldehydes from Benzyl Alcohols","Ma, Yunjian (South China University of Technology; Macau University of Science and Technology); Li, Zongquan (South China University of Technology); Zhang, Hao (South China University of Technology); Wong, Vincent Kam Wai (Macau University of Science and Technology); Hollmann, F. (TU Delft BT/Biocatalysis); Wang, Yonghua (South China University of Technology; Guangdong Youmei Institute of Intelligent Bio-manufacturing Co, Foshan)","","2023","Aromatic aldehydes are important aromatic compounds for the flavour and fragrance industry. In this study, a parallel cascade combining aryl alcohol oxidase from Pleurotus eryngii (PeAAOx) and unspecific peroxygenase from the basidiomycete Agrocybe aegerita (AaeUPO) to convert aromatic primary alcohols into high-value aromatic aldehydes is proposed. Key influencing factors in the process of enzyme cascade catalysis, such as enzyme dosage, pH and temperature, were investigated. The universality of PeAAOx coupled with AaeUPO cascade catalysis for the synthesis of aromatic aldehyde flavour compounds from aromatic primary alcohols was evaluated. In a partially optimised system (comprising 30 μM PeAAOx, 2 μM AaeUPO at pH 7 and 40 °C) up to 84% conversion of 50 mM veratryl alcohol into veratryl aldehyde was achieved in a self-sufficient aerobic reaction. Promising turnover numbers of 2800 and 21,000 for PeAAOx and AaeUPO, respectively, point towards practical applicability.","AaeUPO; aromatic aldehydes; cascade catalysis; flavour compounds; PeAAOx","en","journal article","","","","","","","","","","","BT/Biocatalysis","","",""
"uuid:f92a4311-320f-46e1-a685-4f348aecdcad","http://resolver.tudelft.nl/uuid:f92a4311-320f-46e1-a685-4f348aecdcad","Biofoundries and citizen science can accelerate disease surveillance and environmental monitoring","Holub, M. (TU Delft BN/Bionanoscience; TU Delft BN/Cees Dekker Lab); Agena, Ethan (University of Toronto)","","2023","A biofoundry is a highly automated facility for processing of biological samples. In that capacity it has a major role in accelerating innovation and product development in engineering biology by implementing design, build, test and learn (DBTL) cycles. Biofoundries bring public and private stakeholders together to share resources, develop standards and forge collaborations on national and international levels. In this paper we argue for expanding the scope of applications for biofoundries towards roles in biosurveillance and biosecurity. Reviewing literature on these topics, we conclude that this could be achieved in multiple ways including developing measurement standards and protocols, engaging citizens in data collection, closer collaborations with biorefineries, and processing of samples. Here we provide an overview of these roles that despite their potential utility have not yet been commonly considered by policymakers and funding agencies and identify roadblocks to their realization. This document should prove useful to policymakers and other stakeholders who wish to strengthen biosecurity programs in ways that synergize with bioeconomy.","biofoundry; biosecurity; biosurveillance; citizen science; policy","en","journal article","","","","","","","","","","BN/Bionanoscience","BN/Cees Dekker Lab","","",""
"uuid:6209d6f6-51e0-4b53-abfb-66816850e651","http://resolver.tudelft.nl/uuid:6209d6f6-51e0-4b53-abfb-66816850e651","Optical Fibers as Dosimeter Detectors for Mixed Proton/Neutron Fields—A Biological Dosimeter","Niedermeier, Jana (TRIUMF; University of Oldenburg); Penner, Crystal (TRIUMF); Usherovich, Samuel (TRIUMF); Bélanger-Champagne, Camille (TRIUMF); Paulssen, E. (TU Delft RST/Applied Radiation & Isotopes); Hoehr, Cornelia (TRIUMF)","","2023","In recent years, proton therapy has gained importance as a cancer treatment modality due to its conformality with the tumor and the sparing of healthy tissue. However, in the interaction of the protons with the beam line elements and patient tissues, potentially harmful secondary neutrons are always generated. To ensure that this neutron dose is as low as possible, treatment plans could be created to also account for and minimize the neutron dose. To monitor such a treatment plan, a compact, easy to use, and inexpensive dosimeter must be developed that not only measures the physical dose, but which can also distinguish between proton and neutron contributions. To that end, plastic optical fibers with scintillation materials (Gd2O2S:Tb, Gd2O2S:Eu, and YVO4:Eu) were irradiated with protons and neutrons. It was confirmed that sensors with different scintillation materials have different sensitivities to protons and neutrons. A combination of these three scintillators can be used to build a detector array to create a biological dosimeter.","biological dosimeter; Bragg peak; neutrons; optical fibers; proton therapy; protons; relative dosimetry","en","journal article","","","","","","","","","","","RST/Applied Radiation & Isotopes","","",""
"uuid:b6dddf10-7002-4419-ae9f-70d3ef3e45c2","http://resolver.tudelft.nl/uuid:b6dddf10-7002-4419-ae9f-70d3ef3e45c2","Enhanced energy transfer in a Dicke quantum battery","Zhang, Xiang (TU Delft QN/Blaauboer Group; Kavli institute of nanoscience Delft; Beijing Academy of Quantum Information Sciences, Beijing); Blaauboer, M. (TU Delft QN/Blaauboer Group; Kavli institute of nanoscience Delft)","","2023","We theoretically investigate the enhancement of the charging power in a Dicke quantum battery which consists of an array of N two-level systems (TLS)coupled to a single mode of cavity photons. In the limit of small N, we analytically solve the time evolution for the full charging process. The eigenvectors of the driving Hamiltonian are found to be pseudo-Hermite polynomials and the evolution is thus interpreted as harmonic oscillator like behaviour. Then we demonstrate the average charging power using a collective protocol is (Formula presented.) times larger than that of the parallel protocol when transferring the same amount of energy. Unlike previous studies, we point out that such quantum advantage does not originate from entanglement but is due to the coherent cooperative interactions among the TLSs. Our results provide intuitive quantitative insight into the dynamic charging process of a Dicke battery and can be observed under realistic experimental conditions.","Dicke model; pseudo-Hermite polynomials; quantum battery; quantum speedup; Tavis-Cumming Hamiltonian","en","journal article","","","","","","","","","","","QN/Blaauboer Group","","",""
"uuid:9a3e1d95-a762-4f99-8647-cb2eed15b4aa","http://resolver.tudelft.nl/uuid:9a3e1d95-a762-4f99-8647-cb2eed15b4aa","Using Kinetic Modelling to Infer Adaptations in Saccharomyces cerevisiae Carbohydrate Storage Metabolism to Dynamic Substrate Conditions","Lao-Martil, David (Eindhoven University of Technology); Verhagen, K.J.A. (TU Delft BT/Industriele Microbiologie); Valdeira Caetano, Ana H. (Student TU Delft); Pardijs, Ilse H. (Student TU Delft); van Riel, Natal A.W. (Eindhoven University of Technology; Universiteit van Amsterdam); Wahl, S.A. (Friedrich-Alexander-Universität Erlangen-Nürnberg)","","2023","Microbial metabolism is strongly dependent on the environmental conditions. While these can be well controlled under laboratory conditions, large-scale bioreactors are characterized by inhomogeneities and consequently dynamic conditions for the organisms. How Saccharomyces cerevisiae response to frequent perturbations in industrial bioreactors is still not understood mechanistically. To study the adjustments to prolonged dynamic conditions, we used published repeated substrate perturbation regime experimental data, extended it with proteomic measurements and used both for modelling approaches. Multiple types of data were combined; including quantitative metabolome, 13C enrichment and flux quantification data. Kinetic metabolic modelling was applied to study the relevant intracellular metabolic response dynamics. An existing model of yeast central carbon metabolism was extended, and different subsets of enzymatic kinetic constants were estimated. A novel parameter estimation pipeline based on combinatorial enzyme selection supplemented by regularization was developed to identify and predict the minimum enzyme and parameter adjustments from steady-state to dynamic substrate conditions. This approach predicted proteomic changes in hexose transport and phosphorylation reactions, which were additionally confirmed by proteome measurements. Nevertheless, the modelling also hints at a yet unknown kinetic or regulation phenomenon. Some intracellular fluxes could not be reproduced by mechanistic rate laws, including hexose transport and intracellular trehalase activity during substrate perturbation cycles.","adaptation; carbon storage metabolism; glucose transport; kinetic modeling; parameter estimation; repeated substrate perturbation regime; Saccharomyces cerevisiae","en","journal article","","","","","","","","","","","BT/Industriele Microbiologie","","",""
"uuid:8f236f30-454a-4ceb-a64b-296d09836b2e","http://resolver.tudelft.nl/uuid:8f236f30-454a-4ceb-a64b-296d09836b2e","Can cheniers protect mangroves along eroding coastlines? – The effect of contrasting foreshore types on mangrove stability","van Bijsterveldt, Celine E.J. (NIOZ Royal Netherlands Institute for Sea Research; Universiteit Utrecht); van der Wal, Daphne (NIOZ Royal Netherlands Institute for Sea Research; International Institute for Geo-Information Science and Earth Observation (ITC)); Gijón Mancheño, A. (TU Delft Hydraulic Structures and Flood Risk); Fivash, Gregory S. (NIOZ Royal Netherlands Institute for Sea Research); Helmi, Muhammad (Universitas Diponegoro); Bouma, T.J. (NIOZ Royal Netherlands Institute for Sea Research; Universiteit Utrecht)","","2023","Mangrove forests are increasingly valued as wave-attenuating buffers in coastal flood defence strategies. However, as mangroves are vulnerable to wave-induced erosion, this raises the question, how can the stability of these protective mangrove forests be promoted? To address this question, we investigate how mangrove dynamics in a microtidal system can be related to different types of foreshores. We used remote sensing to investigate mangrove fringe stability over multiple years in relation to intertidal mudflat width (i.e., emerged at low tide) and the presence stability of cheniers, which are sand bodies on top of muddy foreshores that are characteristic for eroding coastlines. In addition, we investigated local and short-term foreshore effects by measuring wave propagation across two cross-shore transects, one with a mudflat and chenier and one with a deeper tidal flat foreshore. The satellite images (Sentinel-2) revealed that mangrove dynamics over multiple years and seasons were related to chenier presence and stability. Without a chenier, a mudflat width of 110 m (95%CI: 76–183 m) was required to make mangrove expansion more likely than mangrove retreat. When a stable chenier was present offshore for two years or more, a mudflat width of only 16 m (95%CI: 0–43 m) was enough to flip chances in favor of mangrove expansion. However, mangrove expansion remained heavily influenced by seasonal changes, and was highly event driven, succeeding only once in several years. Finally, although mudflat width was a direct driver of mangrove expansion, and could be targeted as such in coastal management, our field measurements demonstrated that cheniers also have an indirect effect on mangrove expansion. These sand banks significantly reduce wave height offshore, thereby likely creating favorable conditions for mudflat accretion landward, and thus mangrove habitat expansion. This makes stabilization - and possibly also the temporary creation - of cheniers an interesting target for mangrove conservation and restoration.","Cheniers; Foreshore; Mangrove dynamics; Mudflats; Wave reduction","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:d8c74f21-c364-4a50-9215-139c2e5fa8f9","http://resolver.tudelft.nl/uuid:d8c74f21-c364-4a50-9215-139c2e5fa8f9","A comparative sustainability evaluation of alternative configurations of an urban nitrogen removal solution targeting different pathways","Pryce, David (University of Exeter); Kapelan, Z. (TU Delft Sanitary Engineering; University of Exeter); Memon, Fayyaz A. (University of Exeter)","","2023","Limiting the introduction of excess nitrogen to natural water sources is a growing priority for water security and environmental health. This poses particular difficulties in urban environments where available land for potential solutions is limited. A promising option is the integrated fixed-film activated sludge (IFAS) process that requires only a small footprint and is capable of high total nitrogen (TN) removal through multiple pathways. In light of the sustainable development goals set out by the United Nations, the present work has sought to compare the sustainability of two TN removal pathways by comparing the technical, economic and environmental performance of their optimum configurations. Through modelling, a single-stage configuration demonstrated the capacity to achieve an effluent TN concentration of 8.7 mg/L by the simultaneous nitrification denitrification pathway when a dissolved oxygen concentration of 3.5 mg/L was provided. Addition of a post-anoxic stage at equal volume to the aerobic stage (1:1 aerobic to anoxic ratio) to target conventional nitrification denitrification could realise an effluent TN concentration of 4.2 mg/L when DO was increased to 4.5 mg/L, although 5.8 mg/L of effluent TN could be achieved with only a 5:1 ratio. In terms of environmental burden and economic costs, analysis of the system's life-cycle under these different configurations indicated considerable asymmetry of the two pathways during the operational phase due mainly to the increased aeration. However in spite of this, the two conventional configurations were ultimately both shown to be more sustainable than that of the simultaneous pathway due to the greater TN removal capacity afforded.","Economic comparison; Environmental impact; Wastewater treatment; Water pollution","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:eedfce92-ccbe-4261-a0c9-ac94bd78c491","http://resolver.tudelft.nl/uuid:eedfce92-ccbe-4261-a0c9-ac94bd78c491","Air–water properties of unsteady breaking bores part 1: Novel Eulerian and Lagrangian velocity measurements using intrusive and non-intrusive techniques","Shi, Rui (University of Queensland); Wüthrich, D. (TU Delft Hydraulic Structures and Flood Risk; University of Queensland); Chanson, Hubert (University of Queensland)","","2023","Transient motion, turbulence and bubble dynamics make any velocity quantification extremely difficult in unsteady gas–liquid flows. In the present study, novel Eulerian and Lagrangian techniques of velocimetry were developed, using both intrusive and non-intrusive measurements. The selected unsteady gas–liquid flow was a breaking bore, featured with a transient motion, air entrainment and coherent structures. Intrusively, Eulerian probe measurements resulted to the development of a single bubble event detection (SBED) technique in unsteady air–water flows. Non-intrusively, the motion of air–water pattern was detected using a novel particle tracking velocimetry (PTV). Both velocities obtained using SBED and PTV techniques were validated against the established optical flow (OF) results, achieving consistent velocity data among the three techniques. The filtering criteria of the SBED and PTV techniques were discussed, showing the best options in the breaking bore. It is concluded that the most robust velocity measurements in gas–liquid flow are achieved with consistent velocity data between the SBED, PTV and OF techniques.","Breaking bore; Dual-tip phase detection probe; Optical flow; Particle tracking velocimetry; Single bubble event detection; Unsteady gas–liquid flow","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-11","","","Hydraulic Structures and Flood Risk","","",""
"uuid:0f5bab7b-557c-4cb6-9028-e8d9b68aeee8","http://resolver.tudelft.nl/uuid:0f5bab7b-557c-4cb6-9028-e8d9b68aeee8","Air–water properties of unsteady breaking bore part 2: Void fraction and bubble statistics","Shi, Rui (University of Queensland); Wüthrich, D. (TU Delft Hydraulic Structures and Flood Risk; University of Queensland); Chanson, Hubert (University of Queensland)","","2023","Continuing from the part 1 (Shi et al., 2022) this paper presents an experimental investigation of transient void fraction and bubble statistics in a highly turbulent breaking bore with Fr1=2.4. The measurements were conducted using a combination of dual-tip phase-detection probes and an ultra-high-speed video camera. The enclosed bubble detection technique (EBDT) used the synchronised probe and camera signals to provide the contour of instantaneous void fraction in the bore roller. The ensemble-averaged void fraction was derived, and compared to analytical solutions of air diffusion models. The bubble statistics were characterised by the bubble clustering properties, pseudo bubble count rate and bubble size spectrum. The clustering data showed the non-random bubble grouping in the shear layer, and the bubble size distributions N(r) followed a commonly adopted bubble break-up model: N(r)∝r−m, where r was the equivalent bubble radius in the present study. The comparison indicated that, in the breaking bore, its air diffusion process was similar to that in a stationary hydraulic jump, and the bubble break-up process was comparable to that in breaking waves.","Breaking bore; Bubble clustering; Bubble size spectrum; Dual-tip phase detection probe; Image processing; Unsteady gas–liquid flow; Void fraction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-11","","","Hydraulic Structures and Flood Risk","","",""
"uuid:00a22b9a-ce84-4432-9713-6d0d72c14045","http://resolver.tudelft.nl/uuid:00a22b9a-ce84-4432-9713-6d0d72c14045","Optimization of vascular structure of self-healing concrete using deep neural network (DNN)","Wan, Z. (TU Delft Materials and Environment); Chang, Z. (TU Delft Materials and Environment); Xu, Y. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2023","In this paper, optimization of vascular structure of self-healing concrete is performed with deep neural network (DNN). An input representation method is proposed to effectively represent the concrete beams with 6 round pores in the middle span as well as benefit the optimization process. To investigate the feasibility of using DNN for vascular structure optimization (i.e., optimization of the spatial arrangement of the vascular network), structure optimization improving peak load and toughness is first carried out. Afterwards, a hybrid target is defined and used to optimize vascular structure for self-healing concrete, which needs to be healable without significantly compromising its mechanical properties. Based on the results, we found it feasible to optimize vascular structure by fixing the weights of the DNN model and training inputs with the data representation method. The average peak load, toughness and hybrid target of the ML-recommended concrete structure increase by 17.31%, 34.16% and 9.51%. The largest peak load, toughness and hybrid target of the concrete beam after optimization increase by 0.17%, 14.13%, and 3.45% compared with the original dataset. This work shows that the DNN model has great potential to be used for optimizing the design of vascular system for self-healing concrete.","Concrete; Deep neural network; Numerical simulation; Self-healing; Structure optimization","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:4cf4c51a-6995-4fb3-bb07-2803625aa802","http://resolver.tudelft.nl/uuid:4cf4c51a-6995-4fb3-bb07-2803625aa802","Self-assembly of ammonium assimilation microbiomes regulated by COD/N ratio","Han, Fei (Shandong University); Zhang, Mengru (Shandong University); Li, Zhe (Shandong University); Liu, Zhe (School of Environmental Science and Engineering; Shandong University); Han, Yufei (Shandong University); Li, L. (TU Delft Sanitary Engineering); Zhou, Weizhi (Shandong University)","","2023","Marine microorganisms have an inherent advantage in the treatment of saline wastewater due to their halophilic properties. Ammonium assimilation is the most important and common nitrogen conversion pathway in the ocean, which means that it may be a suitable nitrogen removal strategy under high salinity conditions. However, the targeted construction of engineering microbiomes with ammonium assimilation function for nitrogen recovery has not been realized. Here, we constructed four halophilic ammonium assimilation microbiomes from marine microbial community under varying chemical oxygen demand (COD) to nitrogen (COD/N) ratios. The regulation of COD/N ratio on microbial self-assembly was explored at the phenotypic, genetic, and microbial levels. The results of nitrogen balance tests, functional genes abundance and microbial community structure confirmed that the microbiomes regulated by different COD/N ratios all performed obligate ammonium assimilation functions. >93% of ammonium, 90% of TN, 98% of COD, and 82% of phosphorus were simultaneously removed by microbial assimilation under the COD/N ratio of 20. COD/N ratios significantly affected the self-assembly of microbiomes by selectively enriching heterotrophic microorganisms with different preference for organic carbon load. Additionally, the increase of COD/N ratio intensified the competition among species within the microbiome (the proportion of negative connections of microbial network increased from 5.0% to 24.4%), which may enhance the stability of community structure. Taken together, these findings can provide theoretical guidance for the construction and optimization of engineering microbiomes for synergistic nitrogen removal and recovery.","Ammonium assimilation microbiomes; Chemical oxygen demand to nitrogen (COD/N) ratios; Marine microbial community; Microbial network; Self-assembly process","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Sanitary Engineering","","",""
"uuid:d6fa0e23-1c2b-48f2-a676-2d843b109342","http://resolver.tudelft.nl/uuid:d6fa0e23-1c2b-48f2-a676-2d843b109342","Deep limits of residual neural networks","Thorpe, Matthew (The University of Manchester; The Alan Turing Institute); van Gennip, Y. (TU Delft Mathematical Physics)","","2023","Neural networks have been very successful in many applications; we often, however, lack a theoretical understanding of what the neural networks are actually learning. This problem emerges when trying to generalise to new data sets. The contribution of this paper is to show that, for the residual neural network model, the deep layer limit coincides with a parameter estimation problem for a nonlinear ordinary differential equation. In particular, whilst it is known that the residual neural network model is a discretisation of an ordinary differential equation, we show convergence in a variational sense. This implies that optimal parameters converge in the deep layer limit. This is a stronger statement than saying for a fixed parameter the residual neural network model converges (the latter does not in general imply the former). Our variational analysis provides a discrete-to-continuum Γ -convergence result for the objective function of the residual neural network training step to a variational problem constrained by a system of ordinary differential equations; this rigorously connects the discrete setting to a continuum problem.","Deep layer limits; Deep neural networks; Gamma-convergence; Ordinary differential equations; Regularity; Variational convergence","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:b15ffa41-b76c-4f5c-b327-3556d6ba1ce2","http://resolver.tudelft.nl/uuid:b15ffa41-b76c-4f5c-b327-3556d6ba1ce2","Hardening characterisation of a non-proprietary and more eco-friendly UHPC","Matos, Ana Mafalda (Universidade do Porto); Granja, José (Universidade de Coimbra); Nunes, Sandra (TU Delft Concrete Structures; Universidade do Porto); Barroso-Aguiar, José L. (University of Minho); Azenha, Miguel (Universidade de Coimbra)","","2023","The current work provides an integrated analysis of autogenous shrinkage, isothermal calorimetry, and modulus of elasticity measurement through ambient response method (EMM-ARM), to characterise the hardening behaviour of a non-proprietary and more eco-friendly ultra-high performance fibre reinforced cementitious composite (UHPFRC). Isothermal calorimetry revealed that induction period ends at 3 h, and the rapid evolution of hydration heat occurs up to 9 h. Then, the hydration reaction still undergoes but at a very slow rate. The autogenous shrinkage exhibited a strong increase, particularly in the first 6 h, after which a dramatic reduction in the slope of the curves occurred, corroborating with the heat of hydration measurements. The modulus of elasticity evolution pattern revealed a typical cementitious material S-shaped curve, with a strong evolution in the first 8 h and reached 37 GPa at 7 days. As the current study perceives, UHPC/UHPFRC-3 % MOE evolution mainly occurs at very early ages. Thus, using EMM-ARM method for evaluating stiffness-related properties since casting age of UHPC/UHPFRC is of utmost importance to take advantage of the remarkable properties of such advanced material with no waste of time and resources. Furthermore, the UHPFRC developed with a lower amount of cement and silica fume decreases the heat of hydration, shrinkage, and reduced costs and ecological footprint without significantly impairing the MOE, compared to other non-proprietary blended UHPC/UHPFRC mixtures.","Autogenous shrinkage; E-modulus; Hardening; Isothermal calorimetry; Ultra-high performance fibre reinforced cementitious composites (UHPFRC)","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:76fa64fd-e898-4275-ad53-dea330dde36b","http://resolver.tudelft.nl/uuid:76fa64fd-e898-4275-ad53-dea330dde36b","Using MRI to measure position and anatomy changes and assess their impact on the accuracy of hyperthermia treatment planning for cervical cancer","VilasBoas-Ribeiro, Iva (Erasmus MC); Franckena, Martine (Erasmus MC); van Rhoon, G.C. (TU Delft RST/Applied Radiation & Isotopes; Erasmus MC); Hernandez-Tamames, J.A. (Erasmus MC); Paulides, Margarethus M. (Erasmus MC; Eindhoven University of Technology)","","2023","Purpose: We studied the differences between planning and treatment position, their impact on the accuracy of hyperthermia treatment planning (HTP) predictions, and the relevance of including true treatment anatomy and position in HTP based on magnetic resonance (MR) images. Materials and methods: All volunteers were scanned with an MR-compatible hyperthermia device, including a filled waterbolus, to replicate the treatment setup. In the planning setup, the volunteers were scanned without the device to reproduce the imaging in the current HTP. First, we used rigid registration to investigate the patient position displacements between the planning and treatment setup. Second, we performed HTP for the planning anatomy at both positions and the treatment mimicking anatomy to study the effects of positioning and anatomy on the quality of the simulated hyperthermia treatment. Treatment quality was evaluated using SAR-based parameters. Results: We found an average displacement of 2 cm between planning and treatment positions. These displacements caused average absolute differences of ∼12% for TC25 and 10.4%–15.9% in THQ. Furthermore, we found that including the accurate treatment position and anatomy in treatment planning led to an improvement of 2% in TC25 and 4.6%–10.6% in THQ. Conclusions: This study showed that precise patient position and anatomy are relevant since these affect the accuracy of HTP predictions. The major part of improved accuracy is related to implementing the correct position of the patient in the applicator. Hence, our study shows a clear incentive to accurately match the patient position in HTP with the actual treatment.","accuracy; changes in anatomy and position; hyperthermia treatment; hyperthermia treatment planning; MR imaging; SAR distribution","en","journal article","","","","","","","","","","","RST/Applied Radiation & Isotopes","","",""
"uuid:008210d5-61ec-4b11-8799-855609248ddc","http://resolver.tudelft.nl/uuid:008210d5-61ec-4b11-8799-855609248ddc","Effect of CO2-based binary mixtures on the performance of radial-inflow turbines for the supercritical CO2 cycles","Yang, Yueming (Shandong University); Wang, Xurong (Henan University of Urban Construction); Hooman, K. (TU Delft Process and Energy); Han, Kuihua (Shandong University); Xu, Jinliang (North China Electric Power University); He, Suoying (Shandong University); Qi, Jianhui (Shandong University; North China Electric Power University)","","2023","Recently, the supercritical carbon dioxide (SCO2) power cycle has become a hotspot in the field of energy-efficient utilization. The utilization of additives in the power cycle has been proven to be an effective way to improve the SCO2 power cycle efficiency. As one of the core components of the system, the influence of CO2-based mixtures on turbine performance needs to be further explored. In this study, the preliminary design and three-dimensional numerical simulation of a 500 kW radial-inflow turbine (RIT) for small-scale SCO2 power systems were carried out. Furthermore, the design and off-design performance of high Reynolds number and small size turbine under the change of the CO2-based binary mixture compositions and mixing ratios were studied. Increasing the amount of nitrogen, oxygen, or helium into CO2 has a negative effect on the RIT performance, and the appropriate amount of xenon or krypton can improve the turbine efficiency. Moreover, mixtures with higher krypton additions adapt to higher heat source conditions. The loss of the turbine stage passage shows that a large amount of helium greatly reduces the working fluid density, and the high amount of xenon has a great influence on the dynamic viscosity, which all makes the RIT operation deviate from the steady state. Therefore, the CFD model simulation fails indicating that RIT designed based on pure CO2 may not run smoothly and continuously. The losses in the stage with pure CO2 and CO2–Kr mixture were investigated. The results indicate that the losses originated from the stator cannot be ignored and that the improvement of efficiency is mainly owed to the reduction in clearance losses. There is no doubt that the viewpoints proposed in this paper have significant reference value for the practical application of the SCO2 power cycle using mixtures.","CO-based binary mixture; Numerical simulation; Radial-inflow turbine; Supercritical carbon dioxide; Turbine stage losses","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-19","","Process and Energy","","","",""
"uuid:194d20f8-2f2b-4715-ad5b-47b38cdc8be0","http://resolver.tudelft.nl/uuid:194d20f8-2f2b-4715-ad5b-47b38cdc8be0","Numerical investigation on the Thermal-hydraulic performance of the modified channel supercritical CO2 printed circuit heat exchanger","Wang, J. (TU Delft Energy Technology; Wuhan University of Technology; MOST); Yan, Xin ping (Wuhan University of Technology; MOST); Boersma, B.J. (TU Delft Marine and Transport Technology); Lu, Ming jian (Wuhan University of Technology; MOST); Liu, Xiaohua (Wuhan University of Technology; MOST)","","2023","Printed circuit heat exchangers (PCHE) are designed to improve heat recovery and energy saving in supercritical CO2 (S-CO2) power cycles. In the current study, a modified channel PCHE is proposed based on the regular straight channel and a zigzag channel. The thermal–hydraulic performance of four different types of PCHE is numerically investigated and the methods are verified by both experimental and numerical results. The numerical results are presented for a Reynolds number based on the inlet conditions between 5 000 and 25 000. From the numerical results, the local pressure loss and local heat transfer coefficients are analyzed and discussed. Subsequently, the global Nusselt number and Fanning friction coefficients are discussed. It is found that the inserted straight section contributes to uniform flow, resulted in significant pressure loss reduction with a slight decrease in heat transfer. The modified channel can reduce the Fanning friction coefficient by 33.1%-84.7% while the global Nusselt number reduction is about 3.6%-30.3%. This leads to a maximum performance evaluation criterion (PEC) enhancement of 45.9%.","CFD; Heat transfer; Modified channel; Printed circuit heat exchanger; Supercritical carbon dioxide","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Marine and Transport Technology","Energy Technology","","",""
"uuid:2cc311b3-4a4d-42b7-b661-92837f6188b7","http://resolver.tudelft.nl/uuid:2cc311b3-4a4d-42b7-b661-92837f6188b7","A rotating smeared crack approach in Sequentially Linear Analysis using the Elastic-brittle fraction model","Bresser, D. (Student TU Delft); Rots, J.G. (TU Delft Applied Mechanics); Hendriks, M.A.N. (TU Delft Concrete Structures; Norwegian University of Science and Technology (NTNU)); Pari, M. (TU Delft Applied Mechanics)","","2023","Sequentially Linear Analysis (SLA), an event-by-event solution strategy in which a sequence of scaled linear analyses with decreasing secant stiffness is performed, representing local damage increments; is a robust alternative to nonlinear finite element analysis of quasi-brittle structures. Since it is based on a fixed smeared crack constitutive model, severe spurious stresses and inaccuracies may develop due to misalignment of the crack with the principal stress directions. To this end, the elastic-brittle fraction model was conceived. The model separates the continuum into several parallel fractions or layers, each with different properties, chosen in order to represent the overall constitutive softening behaviour as accurately as possible. The main idea is to mimick a rotating crack by a superposition of fractions, each with a fixed crack direction. In this article, the model is presented for both the 2-dimensional and 3-dimensional frameworks, with a general transition from any saw-tooth law to fraction material properties. The fraction models are then validated and compared against the fixed crack model with SLA: using single element and structural case studies. It is shown that the fraction model is able to mimick the rotating crack model, that it leads to lesser spurious cracks and narrower localisation bands, and in turn results in a more flexible post-peak response over all case studies compared to the fixed crack model.","Fraction model; Nonlinear softening; Orthotropic damage; Quasi-brittle materials; Rotating smeared crack model; Sequentially Linear Analysis (SLA)","en","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:1aefb2d0-b6b5-4c43-a898-4cf921cebf3f","http://resolver.tudelft.nl/uuid:1aefb2d0-b6b5-4c43-a898-4cf921cebf3f","Wind farm control for wake-loss compensation, thrust balancing and load-limiting of turbines","Gonzalez Silva, J. (TU Delft Team Riccardo Ferrari); Ferrari, Riccardo M.G. (TU Delft Team Riccardo Ferrari); van Wingerden, J.W. (TU Delft Team Jan-Willem van Wingerden)","","2023","As renewable energy sources such as wind farms become dominant, new challenges emerge for operating and controlling them. Traditionally, wind farm control aims to dispatch power set-points to individual turbines to maximize energy extraction and, thus, their usage as assets. Yet, grid balance and frequency support are fundamental in presence of high renewable penetration and volatility of energy prices and demand. This requires a paradigm change, moving from power maximization to revenue maximization. In this paper, three active power control strategies pushing this shift of paradigm are investigated, namely: wake-loss compensation, thrust balancing, and load-limiting control. The findings of large eddy simulations of a reference wind farm show that wake-loss compensation indeed improves the power generation on waked wind farms, but at the price of increased structural loads on certain turbines. The addition of a thrust balancing can equalize the stresses of individual turbines and their wear in the long term, while still attaining the required power output at the farm level. Furthermore, load-limiting controllers could potentially aid by allowing maintenance to be scheduled in a single time window, thus reducing operation and maintenance costs.","Active power control; Integral control; Reliability; Thrust balance; Wake effects; Wind farm control","en","journal article","","","","","","","","","","","Team Riccardo Ferrari","","",""
"uuid:5c8f5f5c-bf67-47e6-b253-c91a35db9b45","http://resolver.tudelft.nl/uuid:5c8f5f5c-bf67-47e6-b253-c91a35db9b45","Symmetric Kinetostatic Behavior From Asymmetric Spatially Curved Beams","Amoozandeh, A. (TU Delft Mechatronic Systems Design); Radaelli, G. (TU Delft Mechatronic Systems Design); Herder, J.L. (TU Delft Precision and Microsystems Engineering)","","2023","A cantilevered rod's endpoint has a symmetric stiffness profile throughout its range of motion. Generally, this is not the case for spatially curved compliant beams, particularly if they are asymmetric, i.e., their fixation is not in the symmetry plane of their endpoint operating field. This paper discusses a technique for obtaining symmetric kinetostatic behavior from this type of asymmetric compliant beam over a relatively large range of motion. To accomplish this, a parametrization scheme was used to base the geometry of the beam on a limited number of control parameters. These parameters were then used as inputs for optimization in order to create beams with symmetric endpoint behavior. This process was further investigated using different sets of parameters. To validate the method's performance, experiments on prototypes were conducted. The results demonstrated a high degree of congruence with simulations of the anticipated behavior. Comparing to the non-optimized benchmark beam, the experimental performance of the resulting shapes demonstrated up to a 68% improvement in the desired symmetric behavior.","beam shape optimization; kinetostatic behavior; spatial compliant mechanisms","en","journal article","","","","","","","","","","Precision and Microsystems Engineering","Mechatronic Systems Design","","",""
"uuid:821c8c90-7c81-489c-9f61-a83790267790","http://resolver.tudelft.nl/uuid:821c8c90-7c81-489c-9f61-a83790267790","Effect of off-stoichiometry and Ta doping on Fe-rich (Mn,Fe)2(P,Si) based giant magnetocaloric materials","Zhang, F. (TU Delft RST/Fundamental Aspects of Materials and Energy); Batashev, I. (TU Delft RST/Fundamental Aspects of Materials and Energy; Radboud Universiteit Nijmegen); van Dijk, N.H. (TU Delft RST/Fundamental Aspects of Materials and Energy); Brück, E.H. (TU Delft RST/Fundamental Aspects of Materials and Energy)","","2023","The influence of off-stoichiometry and of doping with the 5d transition metal Ta has been studied in the quaternary (Mn,Fe)2(P,Si)-based compound, which is one of the most promising materials systems for magnetic refrigeration. It is found that Ta substitution can decrease the transition temperature Ttr, while the thermal hysteresis ∆Thys remains about constant. A low Ta doping enhances the magnetocaloric effect (MCE). For Mn0.6Fe1.27-yTayP0.64Si0.36 with y = 0.01 the magnetic entropy change ∆Sm shows and enhancement of 30.7% compared to the undoped material for a low magnetic field change of 1 T. The occupancy of substitutional Ta atoms is determined by XRD and DFT calculations. The Ttr shift and enhanced MCE upon Ta doping are ascribed to the competition between a weakening of the magnetic exchange interactions and a strengthening of the hybridization. Our studies provide a good strategy to further optimize the MCE of this material family.","(Mn,Fe)(P,Si); Magnetocaloric effect; Off-stoichiometry; Ta doping","en","journal article","","","","","","","","","","","RST/Fundamental Aspects of Materials and Energy","","",""
"uuid:ce8bae21-30a4-45f7-a9ab-69d33e818b68","http://resolver.tudelft.nl/uuid:ce8bae21-30a4-45f7-a9ab-69d33e818b68","Switched Control Design for Quadrotor in Target Tracking with Complex Intermittent Measurements","Liang, Y. (Harbin Institute of Technology); Yang, Jianan (Harbin Institute of Technology); Zhang, Lixian (Harbin Institute of Technology); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:856f5e78-bb4c-4c8a-a8d7-5b9cced2c3e9","http://resolver.tudelft.nl/uuid:856f5e78-bb4c-4c8a-a8d7-5b9cced2c3e9","Is distraction on the road associated with maladaptive mobile phone use? A systematic review","Rahmillah, Fety Ilma (Queensland University of Technology); Tariq, Amina (Queensland University of Technology); King, Mark (Queensland University of Technology); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science; Queensland University of Technology)","","2023","Maladaptive Mobile Phone Use (MMPU) (also known as Smartphone Addiction, Nomophobia, Fear of Missing Out, or Problematic Mobile Phone Use) is a growing mental health problem. However, the health and safety consequences of MMPU remain unexplored in many real-life contexts. A potential setting where MMPU may have some negative repercussions is on the road. It is well established that road users (e.g., drivers, motorcyclists, pedestrians, and cyclists) increasingly injure themselves or others due to distractions such as phone use while on the road. Emerging research suggests that MMPU is a possible determinant of this risky behaviour. Therefore, it is essential to investigate the relationship between MMPU and mobile phone use behaviour on the road, as it could help guide and improve interventions aimed at increasing road safety. This systematic review investigated the relationship between maladaptive mobile phone use and mobile phone use behaviour on the road in terms of attitudes and risk perception, intention, phone use engagement, performance changes, and safety outcomes. A total of 44 studies were identified with 47 unique samples of road users, of which 68.1% (32/47) were comprised of drivers, 19.1% (9/47) were pedestrians, 8.5% (4/47) were unspecified road users, and there was one group of motorcyclists and cyclists. Our findings confirmed that MMPU is related to risky behaviour on the roads. In the 29 studies considering observed or self-reported behaviour, 90.9% (30/33) found that road users who scored higher in MMPU are more likely to use their phones on the road as cyclists, drivers, motorcyclists, and pedestrians. Of the nine studies that analysed performance changes, 55.6% (5/9) showed evidence that MMPU changes the performance of road users engaging in mobile phone use, meaning that there is evidence suggesting that MMPU determines the level of impairment. Of the nine studies that analysed the safety-related-outcomes, 66.7% (6/9) found that the higher the MMPU score, the more likely road users are to experience safety–critical traffic events. This review contributes to the literature by showing a pathway between the negative health consequences of MMPU and road trauma. We also identified that the quality of the studies was generally low due to study design and blinding aspects. This field of research also lacks standard practices as researchers avoid using established and well-validated questionnaires, often creating new ones to measure MMPU. This hinders the generalisability of the findings and raises questions about the construct validity and external validity of MMPU. The usefulness of future research would be enhanced by a consistent methodological approach using the same scales based on standard behavioural definitions. The cross-disciplinary nature of MMPU effects means that transport and road safety professionals need to work with healthcare professionals and technology organisations to understand and address MMPU as a contributing factor to road crashes.","Addiction; Cellphone; Distracted driving; Driver behaviour; FOMO; Mental health; Multitasking; Vulnerable road users","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:cfdfdd95-f356-4efc-a96d-cd1157af30d8","http://resolver.tudelft.nl/uuid:cfdfdd95-f356-4efc-a96d-cd1157af30d8","Measuring children's and adolescents' accessibility to greenspaces from different locations and commuting settings","Teeuwen, R.F.L. (TU Delft Human-Centred Artificial Intelligence); Psyllidis, A. (TU Delft Internet of Things); Bozzon, A. (TU Delft Human-Centred Artificial Intelligence)","","2023","Recent evidence underscores the importance of greenspace exposure in promoting physical activity, and in having a positive impact on mental health and cognitive development. Accessibility has been identified to be the primary motivating factor when it comes to encouraging greenspace use and, correspondingly, exposure. Existing quantitative approaches to measuring greenspace accessibility predominantly focus on the areas surrounding home locations, often disregarding access from other settings such as schools or workplaces, exposures while on the move, and mobility differences among different population age groups. This article introduces a novel method to measure greenspace accessibility that considers access from different activity settings (i.e., homes, schools, and the commutes between them) for children and adolescents, while accounting for the dependency of human access on the road network. We use Amsterdam, Rotterdam, and The Hague in the Netherlands as case studies to illustrate the utility of our method. Compared to conventional measures of greenspace accessibility, we show that accounting for school and commuting settings, in addition to residences, captures previously untapped accessibility aspects for both children and adolescents. Our approach can be replicated in other cities worldwide, with the aspiration to provide planners and public health policy-makers with a methodological tool that can help in evaluating access and use of greenspaces when designing health-promoting interventions.","Activity setting; Betweenness; Exposure; Greenspace; Spatial accessibility; Street network","en","journal article","","","","","","","","","","","Human-Centred Artificial Intelligence","","",""
"uuid:de0daa27-9c8d-40bb-b954-5487e751bb2c","http://resolver.tudelft.nl/uuid:de0daa27-9c8d-40bb-b954-5487e751bb2c","Switching the Mode of Drug Release from a Reaction-Coupled Low-Molecular-Weight Gelator System by Altering Its Reaction Pathway","Noteborn, Willem E.M. (Universiteit Leiden); Vittala, Sandeepa K. (Universiteit Leiden); Torredemer, Maria Broto (Universiteit Leiden); Maity, C. (TU Delft ChemE/Advanced Soft Matter); Versluis, F. (TU Delft ChemE/Advanced Soft Matter); Eelkema, R. (TU Delft ChemE/Advanced Soft Matter); Kieltyka, Roxanne E. (Universiteit Leiden)","","2023","Low-molecular-weight hydrogels are attractive scaffolds for drug delivery applications because of their modular and facile preparation starting from inexpensive molecular components. The molecular design of the hydrogelator results in a commitment to a particular release strategy, where either noncovalent or covalent bonding of the drug molecule dictates its rate and mechanism. Herein, we demonstrate an alternative approach using a reaction-coupled gelator to tune drug release in a facile and user-defined manner by altering the reaction pathway of the low-molecular-weight gelator (LMWG) and drug components through an acylhydrazone-bond-forming reaction. We show that an off-the-shelf drug with a reactive handle, doxorubicin, can be covalently bound to the gelator through its ketone moiety when the addition of the aldehyde component is delayed from 0 to 24 h, or noncovalently bound with its addition at 0 h. We also examine the use of an l-histidine methyl ester catalyst to prepare the drug-loaded hydrogels under physiological conditions. Fitting of the drug release profiles with the Korsmeyer-Peppas model corroborates a switch in the mode of release consistent with the reaction pathway taken: increased covalent ligation drives a transition from a Fickian to a semi-Fickian mode in the second stage of release with a decreased rate. Sustained release of doxorubicin from the reaction-coupled hydrogel is further confirmed in an MTT toxicity assay with MCF-7 breast cancer cells. We demonstrate the modularity and ease of the reaction-coupled approach to prepare drug-loaded self-assembled hydrogels in situ with tunable mechanics and drug release profiles that may find eventual applications in macroscale drug delivery.","","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:25961f04-7ce7-49e5-a9fa-66af10ad8f26","http://resolver.tudelft.nl/uuid:25961f04-7ce7-49e5-a9fa-66af10ad8f26","Inference algorithms for the useful life of safety instrumented systems under small failure sample data","Mao, Qi (China University of Petroleum (East China)); Wang, Haiqing (China University of Petroleum (East China)); Yang, M. (TU Delft Safety and Security Science); Hu, Jason (Covestro Polymers (China) Co.)","","2023","Safety instrumented systems(SIS) have been widely used in petroleum and chemical plants to detect and respond to dangerous events and prevent them from developing into accidents. The in-service time of SIS does not exceed its useful life is one of the crucial assumptions of IEC functional safety standards. The testing method recommended in the IEC standard is essentially a chi-square testing, where the testing effect is proportional to the sample size and, therefore, not suitable for testing the type of data distribution under small samples. In this paper, a rapid inference method of useful life (RIUL) is proposed to: i) determine whether the distribution type of failure data is exponential under small samples with the help of Anderson-Darling testing, and ii) use the Bayesian sequential testing method for estimating the useful life. The sequential posterior odds ratio testing is introduced to test the equipment failure rate one by one. The proposed RIUL approach is applied to the liquid-level protection circuit of the hot high-pressure separator. The engineering simulation results show that compared with IEC standard methods, the proposed method can be performed with fewer failure data, providing a theoretical basis for reasonable maintenance and replacement of equipment.","Failure rate; Reliability; Safety instrumented systems; Small samples; Useful life","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:2a3eaa5b-0413-4152-8359-fed3507bfa5a","http://resolver.tudelft.nl/uuid:2a3eaa5b-0413-4152-8359-fed3507bfa5a","Characterization of shock-induced panel flutter with simultaneous use of DIC and PIV","D'Aguanno, A. (TU Delft Aerodynamics); Quesada Allerhand, P. (Student TU Delft); Schrijer, F.F.J. (TU Delft Aerodynamics); van Oudheusden, B.W. (TU Delft Aerodynamics)","","2023","In this experimental study, panel flutter induced by an impinging oblique shockwave is investigated at a freestream Mach number of 2, using the combination of planar particle image velocimetry (PIV) and stereographic digital image correlation (DIC) to obtain simultaneous full-field structural displacement and flow velocity measurements. High-speed cameras are employed to obtain a time-resolved description of the panel motion and the shockwave-boundary layer interaction (SWBLI). In order to prevent interference between the PIV and DIC systems, an optical isolation is implemented using fluorescent paint, dedicated light sources, and camera lens filters. The effect of the panel motion on the SWBLI behavior is assessed, by comparing it with the SWBLI on a rigid wall. The results show that panel oscillations occur with a maximum amplitude of ten times the panel thickness. The dominant frequencies observed in the panel oscillation (424 Hz and 1354 Hz) match the main spectral content of the reflected shockwave position. A further POD analysis of the panel displacement spatial distribution shows that these two frequency contributions are well captured by the first two POD modes, which correspond, respectively, to a first and a third bending mode shape and account for 92% of the total oscillation energy. The fluid-structure coupling is studied by identifying, in the flow, the regions of maximum correlation between the panel displacement and the flow velocity fluctuations. The results obtained prove that the inviscid flow region upstream of the SWBLI is perfectly in phase with the panel oscillation, while the downstream region has a delay of one quarter of the flutter cycle.","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:ee1fa5db-82ce-49cc-a721-188a0dd6c194","http://resolver.tudelft.nl/uuid:ee1fa5db-82ce-49cc-a721-188a0dd6c194","Biologically inspired herding of animal groups by robots","King, Andrew J. (Swansea University); Portugal, Steven J. (Royal Holloway University of London); Strömbom, Daniel (Lafayette College); Mann, Richard P. (University of Leeds); Carrillo, José A. (University of Oxford); Kalise, Dante (Imperial College London); de Croon, G.C.H.E. (TU Delft Control & Simulation); Barnett, Heather (Central Saint Martins); Scerri, Paul (Perceptronics Solutions)","","2023","A single sheepdog can bring together and manoeuvre hundreds of sheep from one location to another. Engineers and ecologists are fascinated by this sheepdog herding because of the potential it provides for ‘bio-herding’: a biologically inspired herding of animal groups by robots. Although many herding algorithms have been proposed, most are studied via simulation. There are a variety of ecological problems where management of wild animal groups is currently impossible, dangerous and/or costly for humans to manage directly, and which may benefit from bio-herding solutions. Unmanned aerial vehicles (UAVs) now deliver significant benefits to the economy and society. Here, we suggest the use of UAVs for bio-herding. Given their mobility and speed, UAVs can be used in a wide range of environments and interact with animal groups at sea, over the land and in the air. We present a potential roadmap for achieving bio-herding using a pair of UAVs. In our framework, one UAV performs ‘surveillance’ of animal groups, informing the movement of a second UAV that herds them. We highlight the promise and flexibility of a paired UAV approach while emphasising its practical and ethical challenges. We start by describing the types of experiments and data required to understand individual and collective responses to UAVs. Next, we describe how to develop appropriate herding algorithms. Finally, we describe the integration of bio-herding algorithms into software and hardware architecture.","bio-inspired; biomimetic; herding; human–wildlife conflicts; sheepdog; surveillance; unmanned aerial vehicles","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:8c6d5fe9-95cf-4d4c-839a-9b8c5fb78aa3","http://resolver.tudelft.nl/uuid:8c6d5fe9-95cf-4d4c-839a-9b8c5fb78aa3","Biomechanical characteristics of rib fracture fixation systems","Prins, Jonne T.H. (Erasmus MC); Van Wijck, Suzanne F.M. (Erasmus MC); Leeflang, M.A. (TU Delft Biomaterials & Tissue Biomechanics); Kleinrensink, Gert Jan (Erasmus MC); Lottenberg, Lawrence (Florida Atlantic University); de la Santa Barajas, Pablo Moreno (Ribera-Povisa Hospital); Van Huijstee, Pieter J. (HagaZiekenhuis); Vermeulen, Jefrey (Maasstad Hospital); Verhofstad, Michael H.J. (Erasmus MC); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics); Wijffels, Mathieu M.E. (Erasmus MC); Van Lieshout, Esther M.M. (Erasmus MC)","","2023","Background: The primary aim of this study was to determine and compare the biomechanical properties of a fractured or intact rib after implant fixation on an embalmed thorax. Methods: Five systems were fixated on the bilateral fractured or intact (randomly allocated) 6th to 10th rib of five post-mortem embalmed human specimens. Each rib underwent a four-point bending test to determine the bending structural stiffness (Newton per m2), load to failure (Newton), failure mode, and the relative difference in bending structural stiffness and load to failure as compared to a non-fixated intact rib. Findings: As compared to a non-fixated intact rib, the relative difference in stiffness of a fixated intact rib ranged from −0.14 (standard deviation [SD], 0.10) to 0.53 (SD 0.35) and for a fixated fractured rib from −0.88 (SD 0.08) to 0.17 (SD 0.50). The most common failure mode was a new fracture at the most anterior drill hole for the plate and screw systems and a new fracture within the anterior portion of the implant for the clamping systems. Interpretation: The current fixation systems differ in their design, mode of action, and biomechanical properties. Differences in biomechanical properties such as stiffness and load to failure especially apply to fractured ribs. Insight in the differences between the systems might guide more specific implant selection and increase the surgeon's awareness for localizing hardware complaints or failure.","Biomechanics; Fixation system; Implant; Rib fracture; Surgical stabilization of rib fractures","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:df9415a1-36d5-4614-880a-615d054eae9b","http://resolver.tudelft.nl/uuid:df9415a1-36d5-4614-880a-615d054eae9b","MALDI TIMS IMS of Disialoganglioside Isomers GD1a and GD1b in Murine Brain Tissue","Djambazova, Katerina V. (VanderBilt University); Dufresne, Martin (VanderBilt University); Migas, L.G. (TU Delft Team Raf Van de Plas); Kruse, Angela R.S. (VanderBilt University); Van de Plas, Raf (TU Delft Team Raf Van de Plas); Caprioli, Richard M. (VanderBilt University); Spraggins, Jeffrey M. (VanderBilt University)","","2023","Gangliosides are acidic glycosphingolipids, containing ceramide moieties and oligosaccharide chains with one or more sialic acid residue(s) and are highly diverse isomeric structures with distinct biological roles. Matrix-assisted laser desorption/ionization imaging mass spectrometry (MALDI IMS) enables the untargeted spatial analysis of gangliosides, among other biomolecules, directly from tissue sections. Integrating trapped ion mobility spectrometry with MALDI IMS allows for the analysis of isomeric lipid structures in situ. Here, we demonstrate the gas-phase separation and identification of disialoganglioside isomers GD1a and GD1b that differ in the position of a sialic acid residue, in multiple samples, including a standard mixture of both isomers, a biological extract, and directly from thin tissue sections. The unique spatial distributions of GD1a/b (d36:1) and GD1a/b (d38:1) isomers were determined in rat hippocampus and spinal cord tissue sections, demonstrating the ability to structurally characterize and spatially map gangliosides based on both the carbohydrate chain and ceramide moieties.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Raf Van de Plas","","",""
"uuid:8051aeec-bbd2-4579-8c16-b32dc604a9c2","http://resolver.tudelft.nl/uuid:8051aeec-bbd2-4579-8c16-b32dc604a9c2","Self-healing of indentation damage in Ti2AlC MAX phase ceramics","Sung Lee, Kee (Kookmin University); Ahn, Hyeonji (Kookmin University); Won Lee, Gye (Kookmin University); Sloof, W.G. (TU Delft Team Kevin Rossi)","","2023","Although the crack-healing capacity of Ti2AlC ceramics has been sufficiently studied, the ability of Ti2AlC to self-heal large-scale damage, such as foreign object damage (FOD), remains unknown. This paper investigates the self-healing ability of Ti2AlC ceramics with large-scale damage (∼1000 μm in diameter). Extensive healing was observed even in the plastic damage and radial cracks. The damage and cracks caused by indentations made using a tungsten carbide sphere were filled and covered with newly formed oxides, such as titanium oxide and alumina, by the oxidation of Ti2AlC after heat treatment in air at 1000 °C. The strength, hardness, toughness, and elastic modulus of the Ti2AlC samples were measured before and after healing. The results show that the mechanical properties of Ti2AlC were similar or even slightly higher after the damage had been healed. Thus, Ti2AlC ceramics are attractive healing agents for foreign object damage in high-temperature applications.","Crack healing; MAX phase; Mechanical properties; TiAlC","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Team Kevin Rossi","","",""
"uuid:02f52b38-ff62-47aa-9fb7-f95c88433245","http://resolver.tudelft.nl/uuid:02f52b38-ff62-47aa-9fb7-f95c88433245","A model for the consolidation of hybrid textiles considering air entrapment, dissolution and diffusion","Werlen, Vincent (University of Applied Sciences and Arts Northwestern Switzerland; Swiss Federal Institute of Technology); Vocke, Richard (Faserinstitut Bremen (FIBRE)); Brauner, Christian (University of Applied Sciences and Arts Northwestern Switzerland); Dransfeld, C.A. (TU Delft Aerospace Manufacturing Technologies); Michaud, Véronique (Swiss Federal Institute of Technology); Rytka, Christian (University of Applied Sciences and Arts Northwestern Switzerland)","","2023","A new model is proposed for the consolidation of hybrid textiles, in which air entrapment and dissolution are considered. One of the key parameters is tow permeability, which is described by the analytical model of Gebart and validated at very high fibre volume fractions by direct tow permeability measurement. The model also takes into account the presence of fibres limiting gas diffusion in the molten polymer. Experimental validation of the proposed model is then conducted with quasi-unidirectional glass textile and either polypropylene or polyethylene by measuring the impregnation degree as a function of the consolidation time. Good agreement is found between predictions and measurements for the two matrix systems at different pressures. It is shown that entrapped air significantly influences impregnation. The model offers new and comprehensive insights about the phenomena taking place during consolidation and enables future process optimization.","B. Permeability C. Analytical modelling E. Consolidation; Resin film infiltration (RFI)","en","journal article","","","","","","","","","","","Aerospace Manufacturing Technologies","","",""
"uuid:9d0dac8c-61df-4d89-8d59-f94664b9e2ff","http://resolver.tudelft.nl/uuid:9d0dac8c-61df-4d89-8d59-f94664b9e2ff","Understanding and Preventing Photoluminescence Quenching to Achieve Unity Photoluminescence Quantum Yield in Yb:YLF Nanocrystals","Mulder, J.T. (TU Delft ChemE/Opto-electronic Materials); Meijer, M.S. (TU Delft ChemE/Opto-electronic Materials); van Blaaderen, J.J. (TU Delft RST/Luminescence Materials); du Fossé, I. (TU Delft ChemE/Opto-electronic Materials); Jenkinson, Kellie (Universiteit Antwerpen); Bals, Sara (Universiteit Antwerpen); Manna, Liberato (Istituto Italiano di Tecnologia); Houtepen, A.J. (TU Delft ChemE/Opto-electronic Materials)","","2023","Ytterbium-doped LiYF4 (Yb:YLF) is a commonly used material for laser applications, as a photon upconversion medium, and for optical refrigeration. As nanocrystals (NCs), the material is also of interest for biological and physical applications. Unfortunately, as with most phosphors, with the reduction in size comes a large reduction of the photoluminescence quantum yield (PLQY), which is typically associated with an increase in surface-related PL quenching. Here, we report the synthesis of bipyramidal Yb:YLF NCs with a short axis of 60 nm. We systematically study and remove all sources of PL quenching in these NCs. By chemically removing all traces of water from the reaction mixture, we obtain NCs that exhibit a near-unity PLQY for an Yb3+ concentration below 20%. At higher Yb3+ concentrations, efficient concentration quenching occurs. The surface PL quenching is mitigated by growing an undoped YLF shell around the NC core, resulting in near-unity PLQY values even for fully Yb3+-based LiYbF4 cores. This unambiguously shows that the only remaining quenching sites in core-only Yb:YLF NCs reside on the surface and that concentration quenching is due to energy transfer to the surface. Monte Carlo simulations can reproduce the concentration dependence of the PLQY. Surprisingly, Forster resonance energy transfer does not give satisfactory agreement with the experimental data, whereas nearest-neighbor energy transfer does. This work demonstrates that Yb3+-based nanophosphors can be synthesized with a quality close to that of bulk single crystals. The high Yb3+ concentration in the LiYbF4/LiYF4 core/shell nanocrystals increases the weak Yb3+ absorption, making these materials highly promising for fundamental studies and increasing their effectiveness in bioapplications and optical refrigeration.","core/shell; energy transfer; luminescence; nanocrystals; optical refrigeration; rare earth ions; ytterbium","en","journal article","","","","","","","","","","","ChemE/Opto-electronic Materials","","",""
"uuid:8e10ed07-f692-4e44-858e-06e9f750db9f","http://resolver.tudelft.nl/uuid:8e10ed07-f692-4e44-858e-06e9f750db9f","Nanoscale Mapping of the 3D Strain Tensor in a Germanium Quantum Well Hosting a Functional Spin Qubit Device","Corley-Wiciak, Cedric (Innovations for High Performance Microelectronics); Richter, Carsten (Leibniz-Institut für Kristallzüchtung); Zoellner, Marvin H. (Innovations for High Performance Microelectronics); Zaitsev, Ignatii (Innovations for High Performance Microelectronics); Manganelli, Costanza L. (Innovations for High Performance Microelectronics); Hendrickx, N.W. (TU Delft QCD/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Sammak, A. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre); Veldhorst, M. (TU Delft QN/Veldhorst Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Scappucci, G. (TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2023","A strained Ge quantum well, grown on a SiGe/Si virtual substrate and hosting two electrostatically defined hole spin qubits, is nondestructively investigated by synchrotron-based scanning X-ray diffraction microscopy to determine all its Bravais lattice parameters. This allows rendering the three-dimensional spatial dependence of the six strain tensor components with a lateral resolution of approximately 50 nm. Two different spatial scales governing the strain field fluctuations in proximity of the qubits are observed at <100 nm and >1 μm, respectively. The short-ranged fluctuations have a typical bandwidth of 2 × 10-4 and can be quantitatively linked to the compressive stressing action of the metal electrodes defining the qubits. By finite element mechanical simulations, it is estimated that this strain fluctuation is increased up to 6 × 10-4 at cryogenic temperature. The longer-ranged fluctuations are of the 10-3 order and are associated with misfit dislocations in the plastically relaxed virtual substrate. From this, energy variations of the light and heavy-hole energy maxima of the order of several 100 μeV and 1 meV are calculated for electrodes and dislocations, respectively. These insights over material-related inhomogeneities may feed into further modeling for optimization and design of large-scale quantum processors manufactured using the mainstream Si-based microelectronics technology.","lattice strain; quantum computing; silicon germanium; synchrotron; thermomechanical FEM simulation; X-ray diffraction","en","journal article","","","","","","","","","","","QCD/Veldhorst Lab","","",""
"uuid:8233bb7a-d631-438d-893c-c0ea963aca12","http://resolver.tudelft.nl/uuid:8233bb7a-d631-438d-893c-c0ea963aca12","Titanium Trisulfide Nanosheets and Nanoribbons for Field Emission-Based Nanodevices","Pawbake, Amit S. (Czech Academy of Sciences and Arts); Khare, Ruchita T. (Savitribai Phule Pune University); Island, Joshua O. (University of Nevada Las Vegas); Flores, Eduardo (Centro de Investigación y de Estudios Avanzados, Unidad Mérida); Ares, Jose R. (Universidad Autónoma de Madrid); Sanchez, Carlos (Universidad Autónoma de Madrid); Ferrer, Isabel J. (Universidad Autónoma de Madrid); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Castellanos Gomez, A. (TU Delft QN/Mol. Electronics & Devices; Instituto de Ciencia de Materiales de Madrid (ICMM))","","2023","The field emission (FE) properties of TiS3 nanosheets and nanoribbons, synthesized by direct sulfuration of bulk titanium, are investigated. The nanosheets show an enhanced FE behavior with a low turn-on field of ∼0.3 V/μm, required for drawing an emission current density of ∼10 μA/cm2. Interestingly, the TiS3 nanosheet emitter delivered a large emission current density of ∼0.9 mA/cm2 at a relatively low applied electric field of ∼0.4 V/μm. We have estimated the values of the field enhancement factor (β), which are found to be ∼5 × 104 for the TiS3 nanosheet emitter and ∼4 × 103 for the nanoribbon emitter. We attribute the superior FE performance to the presence of atomically sharp edges and the reduced thickness of TiS3, as reflected in the high value of β. In fact, the nanosheet sample presents a higher density of ultrathin layers (∼12 nm-thick), and thus, they have a larger edge to volume ratio than the nanoribbon samples (which are ∼19 nm-thick). The superior FE behavior of TiS3 nanosheets over nanoribbons makes them a propitious field emitter and can be utilized for various FE-based applications, demanding large emission currents and lower operational voltages. Moreover, the FE current stability recorded on these samples confirms their promising performance. Thus, the present investigation brings out a great promise of TiS3 nanosheets and nanoribbons as field emitters for vacuum nanoelectronics devices.","current stability; field emission; nanoribbon; nanosheets; TiS","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-14","","","QN/van der Zant Lab","","",""
"uuid:357bda77-5252-4a94-b1d8-cc9f52573ec8","http://resolver.tudelft.nl/uuid:357bda77-5252-4a94-b1d8-cc9f52573ec8","Strategic uses for ancillary bioenergy in a carbon-neutral and fossil-free 2050 European energy system","Wu, F. (TU Delft Energie and Industrie; ETH Zürich); Muller, Adrian (Research Institute of Organic Agriculture FiBL); Pfenninger, Stefan (TU Delft Energie and Industrie)","","2023","Biomass is a growing renewable energy source in Europe and is envisioned to play a role for realising carbon neutrality, predominantly using dedicated energy crops. However, dedicated biomass is controversial for reasons including its competition with food production or its land-use and emissions impacts. Here we examine the potential role of a land-free alternative: ancillary bioenergy (AB) from biomass sources not primarily grown for energy and without land/food/feed competition. We provide the first dataset of 2050 ancillary biomass potential using the agricultural system model SOLm, which encompasses untapped by-/co-products and detailed agricultural residues. Results show that there is a limited future potential for AB in Europe (2394-10 342 PJ, which is 3-6 times lower than other estimates including dedicated biomass). We design and investigate alternative scenarios where this bioenergy resource can be fully utilised, not utilised at all, or utilised optimally by the sector-coupled energy system model Euro-Calliope. We find that fully utilising ancillary biomass can help phase out controversial nuclear or land-intensive dedicated biomass, so might achieve higher societal acceptability. Using all ancillary biomass as a negative-emissions source at stationary bioenergy carbon capture and storage plants in a nuclear-free system provides additional climate benefits. It is also possible to leave the AB potential completely unused, which barely increases total system cost, but would preserve agricultural nutrients. We conclude that there are synergies and trade-offs among possible strategic uses of AB, which can provide guidelines for a more coherent European bioenergy strategy. Although the 2050 potential of AB is limited, our findings suggest that it could fill critical strategic niches for realising carbon-neutrality.","carbon-neutrality; energy policy; renewable energy systems optimisation; sector-coupling; sustainable bioenergy","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:e9e02ade-3127-4043-aef9-c1db661f0e32","http://resolver.tudelft.nl/uuid:e9e02ade-3127-4043-aef9-c1db661f0e32","Acute phase response following pulmonary exposure to soluble and insoluble metal oxide nanomaterials in mice","Gutierrez, Claudia Torero (University of Copenhagen; National Research Centre for the Working Environment, Copenhagen); Loizides, Charis (The Cyprus Insitute); Hafez, Iosif (The Cyprus Insitute); Brostrøm, Anders (Technical University of Denmark); Wolff, Henrik (Finnish Institute of Occupational Health); Szarek, Józef (University of Warmia and Mazury); Berthing, Trine (National Research Centre for the Working Environment, Copenhagen); Roursgaard, Martin (University of Copenhagen); Biskos, G. (TU Delft Atmospheric Remote Sensing; The Cyprus Insitute)","","2023","Background: Acute phase response (APR) is characterized by a change in concentration of different proteins, including C-reactive protein and serum amyloid A (SAA) that can be linked to both exposure to metal oxide nanomaterials and risk of cardiovascular diseases. In this study, we intratracheally exposed mice to ZnO, CuO, Al2O3, SnO2 and TiO2 and carbon black (Printex 90) nanomaterials with a wide range in phagolysosomal solubility. We subsequently assessed neutrophil numbers, protein and lactate dehydrogenase activity in bronchoalveolar lavage fluid, Saa3 and Saa1 mRNA levels in lung and liver tissue, respectively, and SAA3 and SAA1/2 in plasma. Endpoints were analyzed 1 and 28 days after exposure, including histopathology of lung and liver tissues. Results: All nanomaterials induced pulmonary inflammation after 1 day, and exposure to ZnO, CuO, SnO2, TiO2 and Printex 90 increased Saa3 mRNA levels in lungs and Saa1 mRNA levels in liver. Additionally, CuO, SnO2, TiO2 and Printex 90 increased plasma levels of SAA3 and SAA1/2. Acute phase response was predicted by deposited surface area for insoluble metal oxides, 1 and 28 days post-exposure. Conclusion: Soluble and insoluble metal oxides induced dose-dependent APR with different time dependency. Neutrophil influx, Saa3 mRNA levels in lung tissue and plasma SAA3 levels correlated across all studied nanomaterials, suggesting that these endpoints can be used as biomarkers of acute phase response and cardiovascular disease risk following exposure to soluble and insoluble particles.","Acute phase response; Metal oxide; Nanomaterial; Serum amyloid a","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:a2e76d48-a95d-44eb-8f20-c205ca25d086","http://resolver.tudelft.nl/uuid:a2e76d48-a95d-44eb-8f20-c205ca25d086","Overview of key results achieved in H2020 HighLite project helping to raise the EU PV industries' competitiveness","Tous, Loic (imo-imomec; University of Hasselt); Govaert, Jonathan (imo-imomec; University of Hasselt); Harrison, Samuel (CEA-INESLe Bourget du Lac Cedex); Carrière, Carolyn (CEA-INESLe Bourget du Lac Cedex); Barth, Vincent (CEA-INESLe Bourget du Lac Cedex); Giglia, Valentin (CEA-INESLe Bourget du Lac Cedex); Buchholz, Florian (International Solar Energy Research Center (ISC)); Chen, N. (International Solar Energy Research Center (ISC)); Gordon, I.M.F. (TU Delft Photovoltaic Materials and Devices; University of Hasselt)","","2023","The EU crystalline silicon (c-Si) PV manufacturing industry has faced strong foreign competition in the last decade. To strive in this competitive environment and differentiate itself from the competition, the EU c-Si PV manufacturing industry needs to (1) focus on highly performing c-Si PV technologies, (2) include sustainability by design, and (3) develop differentiated PV module designs for a broad range of PV applications to tap into rapidly growing existing and new markets. This is precisely the aim of the 3.5 years long H2020 funded HighLite project, which started in October 2019 under the work program LC-SC3-RES-15-2019: Increase the competitiveness of the EU PV manufacturing industry. To achieve this goal, the HighLite project focuses on bringing two advanced PV module designs and the related manufacturing solutions to higher technology readiness levels (TRL). The first module design aims to combine the benefits of n-type silicon heterojunction (SHJ) cells (high efficiency and bifaciality potential, improved sustainability, rapidly growing supply chain in the EU) with the ones of shingle assembly (higher packing density, improved modularity, and excellent aesthetics). The second module design is based on the assembly of low-cost industrial interdigitated back-contact (IBC) cells cut in half or smaller, which is interesting to improve module efficiencies and increase modularity (key for application in buildings, vehicles, etc.). This contribution provides an overview of the key results achieved so far by the HighLite project partners and discusses their relevance to help raise the EU PV industries' competitiveness. We report on promising high-efficiency industrial cell results (24.1% SHJ cell with a shingle layout and 23.9% IBC cell with passivated contacts), novel approaches for high-throughput laser cutting and edge re-passivation, module designs for BAPV, BIPV, and VIPV applications passing extended testing, and first 1-year outdoor monitoring results compared with benchmark products.","BAPV; BIPV; H2020; IBC; photovoltaics; SHJ; silicon; VIPV","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Photovoltaic Materials and Devices","","",""
"uuid:c9ebff80-83be-485d-b416-50c5b39304e0","http://resolver.tudelft.nl/uuid:c9ebff80-83be-485d-b416-50c5b39304e0","Fouling management in oceanic carbon capture via in-situ electrochemical bipolar membrane electrodialysis","sharifian, rezvan (TU Delft ChemE/Transport Phenomena; Wetsus, European Centre of Excellence for Sustainable Water Technology); van der Wal, H. C. (Wetsus, Centre for Sustainable Water Technology); Wagterveld, R. M. (Wetsus, Centre for Sustainable Water Technology); Vermaas, D.A. (TU Delft ChemE/Transport Phenomena)","","2023","To assist reaching net-zero emissions, the dissolved carbon in the ocean can be extracted to enable an indirect air capture. An electrochemical bipolar membrane electrodialysis (BPMED) is a sustainable method for such capture. The BPMED enables a pH-swing that manipulates the oceanic carbonate-equilibrium using electricity. However, at alkaline-pH, an in-situ process suffers from inorganic fouling within the stack, increasing the cost of capture. In the current work, we investigate fouling management strategies including fouling control (i.e., membrane- configuration and current-flow rate optimization) and fouling removal methods. Fouling removal methods including air and CO2(g) sparging, dissolved CO2 (aq) cleaning, back-pressure, flow rate increase, and acid-wash are investigated under accelerated fouling conditions. The stack configuration containing the BPM-AEM pairs shows 4 × lower fouling than the BPM-CEM stack, while the carbonate-extraction and faradaic efficiency are similar for both configurations. From the scaling removal methods, only the acid wash combined with the back-pressure removed all the inorganic fouling, recovering both the cell voltage and pressure drop to their initial values. Upon the air sparging, the total cell voltage and pressure drop increased even more due to the trapped gas inside the netted spacers. Cleaning via dissolved and gaseous CO2 decreases the cell pH, dissolving hydroxide/carbonate-based fouling, but decreases the carbonate-removal significantly which is not preferred. Applying the back-pressure and higher flow rates decelerated the scaling buildup but was not enough to remove the fouling. Using BPM-AEM stacks in combination with periodic acid cleaning has potential as resilient oceanic carbon removal via BPMED.","Bipolar membrane; CO capture; Electrochemical; In-situ mineralization; Oceanic carbon capture; pH-swing","en","journal article","","","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:13b573cf-1a9e-43af-ae4c-69480ad1bfe7","http://resolver.tudelft.nl/uuid:13b573cf-1a9e-43af-ae4c-69480ad1bfe7","Gap Detection in Pairs of Ultrasound Mid-air Vibrotactile Stimuli","Howard, Thomas (Université de Rennes); Driller, K.K. (TU Delft Applied Ergonomics and Design); Frier, William (Ultraleap, Bristol); Pacchierotti, Claudio (Université de Rennes); Marchal, Maud (Université de Rennes); Hartcher-O'Brien, J. (TU Delft Applied Ergonomics and Design)","","2023","Ultrasound mid-air haptic (UMH) devices are a novel tool for haptic feedback, capable of providing localized vibrotactile stimuli to users at a distance. UMH applications largely rely on generating tactile shape outlines on the users' skin. Here we investigate how to achieve sensations of continuity or gaps within such two-dimensional curves by studying the perception of pairs of amplitude-modulated focused ultrasound stimuli. On the one hand, we aim to investigate perceptual effects that may arise from providing simultaneous UMH stimuli. On the other hand, we wish to provide perception-based rendering guidelines for generating continuous or discontinuous sensations of tactile shapes. Finally, we hope to contribute toward a measure of the perceptually achievable resolution of UMH interfaces. We performed a user study to identify how far apart two focal points need to be to elicit a perceptual experience of two distinct stimuli separated by a gap. Mean gap detection thresholds were found at 32.3-mm spacing between focal points, but a high within- and between-subject variability was observed. Pairs spaced below 15 mm were consistently (>95%) perceived as a single stimulus, while pairs spaced 45 mm apart were consistently (84%) perceived as two separate stimuli. To investigate the observed variability, we resort to acoustic simulations of the resulting pressure fields. These show a non-linear evolution of actual peak pressure spacing as a function of nominal focal point spacing. Beyond an initial threshold in spacing (between 15 and 18 mm), which we believe to be related to the perceived size of a focal point, the probability of detecting a gap between focal points appears to linearly increase with spacing. Our work highlights physical interactions and perceptual effects to consider when designing or investigating the perception of UMH shapes.","haptic perception; mid-air haptics; Ultrasound haptics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Applied Ergonomics and Design","","",""
"uuid:83bfd24b-48c1-46cd-96bf-f3700d866e5f","http://resolver.tudelft.nl/uuid:83bfd24b-48c1-46cd-96bf-f3700d866e5f","Normalization procedure for obtaining the local density of states from high-bias scanning tunneling spectroscopy","Rejali, R. (TU Delft QN/Otte Lab; TU Delft QN/Quantum Nanoscience; Kavli institute of nanoscience Delft); Farinacci, L.S.M. (TU Delft QN/Otte Lab; TU Delft QN/Quantum Nanoscience; Kavli institute of nanoscience Delft); Otte, A. F. (TU Delft QN/Otte Lab; TU Delft QN/Quantum Nanoscience; Kavli institute of nanoscience Delft)","","2023","Differential conductance spectroscopy performed in the high bias regime - in which the applied voltage exceeds the sample work function - is a suboptimal measure of the local density of states due to the effects of the changing tunnel barrier. Additionally, the large applied voltage oftentimes makes constant-height measurement experimentally impractical, lending constant-current spectroscopy an advantageous edge; but the differential conductance in that case is even further removed from the local density of states due to the changing tip height. Here, we present a normalization scheme for extracting the local density of states from high bias scanning tunneling spectroscopy, obtained in either constant-current or constant-height mode. We extend this model to account for the effects of the in-plane momentum of the probed states to the overall current. We demonstrate the validity of the proposed scheme by applying it to laterally confined field-emission resonances, which appear as peak-shaped spectroscopic features with a well-defined in-plane momentum.","","en","journal article","","","","","","","","","","QN/Quantum Nanoscience","QN/Otte Lab","","",""
"uuid:8abb5654-363a-47b6-b11f-1302c118c07a","http://resolver.tudelft.nl/uuid:8abb5654-363a-47b6-b11f-1302c118c07a","Observation of spin-momentum locked surface states in amorphous Bi2Se3","Corbae, Paul (University of California; Lawrence Berkeley National Laboratory); Ciocys, Samuel (Lawrence Berkeley National Laboratory; University of California); Varjas, D. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft; Stockholm University); Kennedy, Ellis (University of California; Lawrence Berkeley National Laboratory); Zeltmann, Steven (University of California; Lawrence Berkeley National Laboratory); Molina-Ruiz, Manel (University of California); Griffin, Sinéad M. (Lawrence Berkeley National Laboratory); Jozwiak, Chris (Lawrence Berkeley National Laboratory); Wang, Lin Wang (Lawrence Berkeley National Laboratory)","","2023","Crystalline symmetries have played a central role in the identification and understanding of quantum materials. Here we investigate whether an amorphous analogue of a well known three-dimensional strong topological insulator has topological properties in the solid state. We show that amorphous Bi2Se3 thin films host a number of two-dimensional surface conduction channels. Our angle-resolved photoemission spectroscopy data are consistent with a dispersive two-dimensional surface state that crosses the bulk gap. Spin-resolved photoemission spectroscopy shows this state has an anti-symmetric spin texture, confirming the existence of spin-momentum locked surface states. We discuss these experimental results in light of theoretical photoemission spectra obtained with an amorphous topological insulator tight-binding model, contrasting it with alternative explanations. The discovery of spin-momentum locked surface states in amorphous materials opens a new avenue to characterize amorphous matter, and triggers the search for an overlooked subset of quantum materials outside of current classification schemes.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","QRD/Kouwenhoven Lab","","",""
"uuid:b1ec0ec5-db0f-4887-a2e2-86a7e0629883","http://resolver.tudelft.nl/uuid:b1ec0ec5-db0f-4887-a2e2-86a7e0629883","Abundance of Weyl points in semiclassical multiterminal superconducting nanostructures","Barakov, H.S. (TU Delft QN/Nazarov Group; Kavli institute of nanoscience Delft); Nazarov, Y.V. (TU Delft QN/Nazarov Group; Kavli institute of nanoscience Delft)","","2023","We show that the quasicontinuous gapless spectrum of Andreev bound states in multiterminal semi-classical superconducting nanostructures exhibits a large number of topological singularities. We concentrate on Weyl points in a four-terminal nanostructure and compute their density and correlations in three-dimensional parameter space for a universal random matrix theory model as well as for the concrete nanostructures described by the quantum circuit theory. We mention the opportunities for experimental observation of the effect in a quasicontinuous spectrum.","","en","journal article","","","","","","","","","","","QN/Nazarov Group","","",""
"uuid:325d0186-b9c0-4141-a3cc-c18b3f8d987f","http://resolver.tudelft.nl/uuid:325d0186-b9c0-4141-a3cc-c18b3f8d987f","Governing Resilience Planning: Organizational Structures, Institutional Rules, and Fiscal Incentives in Guangzhou","Meng, Meng (South China University of Technology; State Key Laboratory of Subtropical Building Science); Dabrowski, M.M. (TU Delft Spatial Planning and Strategy); Stead, D. (TU Delft Spatial Planning and Strategy)","","2023","Researchers and policymakers have long called for a collaborative governance process for climate adaptation and flood resilience. However, this is usually challenging when urban planning is supposed to be integrated with water management. Using the Chinese city of Guangzhou as a case study, this study explores the long-term disadvantaged conditions of urban planning in flood governance and how this situation is shaped. The findings show that, in comparison to the increasingly dominant position of water management in flood affairs, the urban planning system has had weak powers, limited legitimate opportunities, and insufficient fiscal incentives from the 2000s to the late 2010s. Those conditions have been shaped by organizational structures, institutional rules, and financial allocation in urban governance, whose changes did not bring benefits to urban planning. The emergence of the Sponge City Program in China in 2017 and its implementation at the municipal level is deemed to be a new start for urban planning, considering the encouragement of nature-based solutions and regulatory tools in land use for flood resilience. Even so, the future of this program is still full of challenges and more efforts are needed.","water management; urban planning; flood governance; climate adaptation; urban resilience","en","journal article","","","","","","","","","","","Spatial Planning and Strategy","","",""
"uuid:52ac12b5-5083-4396-9c78-faeee33c956d","http://resolver.tudelft.nl/uuid:52ac12b5-5083-4396-9c78-faeee33c956d","Graphene nano-electromechanical mass sensor with high resolution at room temperature","Shin, D. (TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft; Ewha Womans University); Kim, Hakseong (Korea Research Institute of Standards and Science, Daejon); Kim, S.H. (TU Delft BN/Chirlmin Joo Lab; Kavli institute of nanoscience Delft); Cheong, Hyeonsik (Sogang University); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft); Joo, C. (TU Delft BN/Chirlmin Joo Lab; Kavli institute of nanoscience Delft; Ewha Womans University); Lee, Sang Wook (Ewha Womans University)","","2023","The inherent properties of 2D materials—light mass, high out-of-plane flexibility, and large surface area—promise great potential for precise and accurate nanomechanical mass sensing, but their application is often hampered by surface contamination. Here we demonstrate a tri-layer graphene nanomechanical resonant mass sensor with sub-attogram resolution at room temperature, fabricated by a bottom-up process. We found that Joule-heating is effective in cleaning the graphene membrane surface, which results in a large improvement in the stability of the resonance frequency. We characterized the sensor by depositing Cr metal using a stencil mask and found a mass-resolution that is sufficient to weigh very small particles, like large proteins and protein complexes, with potential applications in the fields of nanobiology and medicine.","Materials class; Nanomaterials; Sensor","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:37e3d82e-2bc7-4b92-bad0-320d931af6a8","http://resolver.tudelft.nl/uuid:37e3d82e-2bc7-4b92-bad0-320d931af6a8","Directing Min protein patterns with advective bulk flow","Meindlhumer, S. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Brauns, Fridtjof (Ludwig Maximilians University); Finžgar, Jernej Rudi (Ludwig Maximilians University); Kerssemakers, J.W.J. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Dekker, C. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Frey, Erwin (Ludwig Maximilians University; Max Planck School Matter to Life, Munich)","","2023","The Min proteins constitute the best-studied model system for pattern formation in cell biology. We theoretically predict and experimentally show that the propagation direction of in vitro Min protein patterns can be controlled by a hydrodynamic flow of the bulk solution. We find downstream propagation of Min wave patterns for low MinE:MinD concentration ratios, upstream propagation for large ratios, but multistability of both propagation directions in between. Whereas downstream propagation can be described by a minimal model that disregards MinE conformational switching, upstream propagation can be reproduced by a reduced switch model, where increased MinD bulk concentrations on the upstream side promote protein attachment. Our study demonstrates that a differential flow, where bulk flow advects protein concentrations in the bulk, but not on the surface, can control surface-pattern propagation. This suggests that flow can be used to probe molecular features and to constrain mathematical models for pattern-forming systems.","","en","journal article","","","","","","","","","","","BN/Cees Dekker Lab","","",""
"uuid:632ca601-22f3-4976-9518-4f8f29049b5b","http://resolver.tudelft.nl/uuid:632ca601-22f3-4976-9518-4f8f29049b5b","Numerical study of response behaviors of natural gas hydrate reservoir around wellbore induced by water jet slotting","Huang, Man (China University of Geosciences, Wuhan; Ministry of Education Hangzhou); Su, Dongchao (China University of Geosciences, Wuhan; Ministry of Education Hangzhou); Zhao, Zhirui (China University of Geosciences, Wuhan; Ministry of Education Hangzhou); Wu, Lianghong (China University of Geosciences, Wuhan; Ministry of Education Hangzhou); Fang, B. (TU Delft Engineering Thermodynamics); Ning, Fulong (China University of Geosciences, Wuhan; Ministry of Education Hangzhou)","","2023","The trial production of natural gas hydrate reservoirs remains poor. Reasonable reservoir reconstruction, which can improve formation permeability, is an important approach to increasing the efficiency and enhancing production. In this work, water jet slotting is proposed to reconstruct an natural gas hydrate reservoir near a wellbore. The spatial slots formed by water jet slotting not only directly constitute high-permeability channels, but also generate disturbances to the surrounding in-situ sediment. Water jet slotting disturbances to nearby sediment was investigated using a three dimensional flow-structure coupling model to evaluate the proposed reconstruction method. The reservoir at the SH2 site in the Shenhu area of the South China Sea was used as the reference. A horizontal slotting arrangement along the vertical well was adopted. The results demonstrate that water jet slotting can change the primary stress state of the sediment around the wellbore, and generate a dominant stress relaxation zone and small stress concentration zone. Within the stress relaxation zone, the in-situ compressive stress was remarkably reduced or even transformed into tensile stress, accompanied by sediment displacement and volumetric expansion strain. This is conducive to loosening the sediment around the wellbore and improving the permeability characteristics. In addition, the influence of the water jet slotting parameters including slot radius, spacing, and number on disturbances to the nearby sediment was studied. Reservoir responses to water jet slotting under balanced and unbalanced bottom-hole pressures were compared and analyzed. This study provides a reference for natural gas hydrate reservoir reconstruction using water jet slotting.","Natural gas hydrate; parameter sensitivity; reservoir reconstruction; response behavior; stress relaxation; water jet slotting","en","journal article","","","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:c9b07a1f-57c3-47eb-84c0-81e51907f50a","http://resolver.tudelft.nl/uuid:c9b07a1f-57c3-47eb-84c0-81e51907f50a","Fabrication sequence optimization for minimizing distortion in multi-axis additive manufacturing","Wang, W. (Dalian University of Technology); van Keulen, A. (TU Delft Mechanical, Maritime and Materials Engineering); Wu, J. (TU Delft Materials and Manufacturing)","","2023","Additive manufacturing of metal parts involves phase transformations and high temperature gradients which lead to uneven thermal expansion and contraction, and, consequently, distortion of the fabricated components. The distortion has a great influence on the structural performance and dimensional accuracy, e.g., for assembly. It is therefore of critical importance to model, predict and, ultimately, reduce distortion. In this paper, we present a computational framework for fabrication sequence optimization to minimize distortion in multi-axis additive manufacturing (e.g., robotic wire arc additive manufacturing), in which the fabrication sequence is not limited to planar layers only. We encode the fabrication sequence by a continuous pseudo-time field, and optimize it using gradient-based numerical optimization. To demonstrate this framework, we adopt a computationally tractable yet reasonably accurate model to mimic the material shrinkage in metal additive manufacturing and thus to predict the distortion of the fabricated components. Numerical studies show that optimized curved layers can reduce distortion by orders of magnitude as compared to their planar counterparts.","Fabrication sequence; Multi-axis additive manufacturing; Process planning; Thermal distortion; Topology optimization; Wire arc additive manufacturing","en","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","","Materials and Manufacturing","","",""
"uuid:f1aa38df-d38e-42f5-bbc7-459a361bc97a","http://resolver.tudelft.nl/uuid:f1aa38df-d38e-42f5-bbc7-459a361bc97a","Broadband microwave detection using electron spins in a hybrid diamond-magnet sensor chip","Carmiggelt, J.J. (TU Delft QN/vanderSarlab; Kavli institute of nanoscience Delft); Bertelli, I. (TU Delft QN/vanderSarlab; Kavli institute of nanoscience Delft); Mulder, R.W. (TU Delft QN/vanderSarlab; Kavli institute of nanoscience Delft); Teepe, A. (TU Delft QN/vanderSarlab; Kavli institute of nanoscience Delft); Elyasi, Mehrdad (Tohoku University); Simon, B.G. (TU Delft QN/vanderSarlab; Kavli institute of nanoscience Delft); Bauer, G.E. (TU Delft QN/Bauer Group; Tohoku University; Kavli institute of nanoscience Delft); Blanter, Y.M. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft); van der Sar, T. (TU Delft QN/vanderSarlab; Kavli institute of nanoscience Delft)","","2023","Quantum sensing has developed into a main branch of quantum science and technology. It aims at measuring physical quantities with high resolution, sensitivity, and dynamic range. Electron spins in diamond are powerful magnetic field sensors, but their sensitivity in the microwave regime is limited to a narrow band around their resonance frequency. Here, we realize broadband microwave detection using spins in diamond interfaced with a thin-film magnet. A pump field locally converts target microwave signals to the sensor-spin frequency via the non-linear spin-wave dynamics of the magnet. Two complementary conversion protocols enable sensing and high-fidelity spin control over a gigahertz bandwidth, allowing characterization of the spin-wave band at multiple gigahertz above the sensor-spin frequency. The pump-tunable, hybrid diamond-magnet sensor chip opens the way for spin-based gigahertz material characterizations at small magnetic bias fields.","","en","journal article","","","","","","","","","","","QN/vanderSarlab","","",""
"uuid:561585b0-1279-4d7f-a3d2-d2585674c57f","http://resolver.tudelft.nl/uuid:561585b0-1279-4d7f-a3d2-d2585674c57f","Non-intrusive, imaging-based method for shock wave characterization in bubbly gas–liquid fluids","Cornel, W.A. (TU Delft Multi Phase Systems); Westerweel, J. (TU Delft Fluid Mechanics); Poelma, C. (TU Delft Process and Energy)","","2023","Abstract: A novel experimental imaging-based method is presented for the non-intrusive determination of shock wave characteristics (i.e. shock wave speed and magnitude, and shock-induced liquid velocity) in a bubbly flow solely from gas bubble velocities. Shock wave speeds are estimated by the relative motion between gas bubbles at two locations by splitting the camera field-of-view using a mirror construction, increasing the dynamic spatial range of the measurement system. Although gas bubbles have in general poor tracing properties of the local fluid velocity, capturing the relative dynamics provides accurate estimates for the shock wave properties. This proposed imaging-based method does not require pressure transducers, the addition of tracer particles, or volumetric reconstruction of the gas bubbles. The shock wave magnitude and shock-induced liquid velocity are computed with a hydrodynamic model, which only requires non-intrusively measured variables as input. Two reference measurements, based on pressure transducers and the liquid velocity field by particle image velocimetry, show that the proposed method provides reliable estimates for the shock wave front speed and the shock-induced liquid velocity within the experimental range of 70 < Us< 400 m/s. Graphical abstract: [Figure not available: see fulltext.].","","en","journal article","","","","","","","","","","Process and Energy","Multi Phase Systems","","",""
"uuid:7ad4b96d-b694-49a5-b625-906879edd98a","http://resolver.tudelft.nl/uuid:7ad4b96d-b694-49a5-b625-906879edd98a","Planning the deployment of energy storage systems to integrate high shares of renewables: The Spain case study","Auguadra, Marco (Universitat Politécnica de Valencia); Ribo-Perez, D.G. (TU Delft Energie and Industrie); Gómez-Navarro, Tomás (Universitat Politécnica de Valencia)","","2023","The intermittent nature of the renewable energy sources with the greater potential, wind and solar, requires dealing with temporary mismatches between demand and supply. The object of this study is to assess the Spanish energy plan from a system perspective regarding the energy storage requirements to meet electricity demand with high penetrations of renewable energy generation. We use a model that builds on existing literature and commercial software and integrates features such as demand response modelling, the correlation between reserve requirements and the technology mix, and hydrogen as an energy vector. This representation is applied to the Spanish electricity system to assess the consistency of the targets of the national energy strategy. Several scenarios of costs, demand and variation of other parameters are simulated to analyse their relative influence on the solution of minimum cost, especially assessing the sensitivity of energy storage capacity. The simulation results show that the Spanish goals for decarbonising the electricity system are based on optimistic assumptions. Also, energy storage will play a more important role than expected, and the use of hydrogen for energy storage is only needed for a 100% penetration of renewable energies.","Energy planning; Energy storage; Renewable energy sources; Spanish PNIEC","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:fc787c51-4285-4312-ae30-e6e33ec1d94a","http://resolver.tudelft.nl/uuid:fc787c51-4285-4312-ae30-e6e33ec1d94a","A photodecarboxylase from Micractinium conductrix active on medium and short-chain fatty acids","Ma, Yunjian (South China University of Technology; Macau University of Science and Technology); Zhong, Xuanru (South China University of Technology); Wu, Bin (South China University of Technology); Lan, Dongming (South China University of Technology); Zhang, Hao (South China University of Technology); Hollmann, F. (TU Delft BT/Biocatalysis); Wang, Yonghua (South China University of Technology; Guangdong Youmei Institute of Intelligent Bio-manufacturing Co, Foshan)","","2023","Hydrocarbons are essential base chemicals as energy carriers and starting materials for chemical manufacture. So-called fatty acid photodecarboxylases (FAPs) represent interesting catalysts for the conversion of natural fatty acids into hydrocarbons thereby giving access to alkanes from renewable feedstock. Today, however, only few FAPs are known. In the current study we report a new FAP from the marine organism Micractinium conductrix (McFAP). In contrast to currently known FAPs McFAP exhibits high catalytic activity towards short and medium fatty acids. Recombinant expression and basic biochemical characterisation of this new member of the FAP family is reported.","Fatty acids; Heterologous expression; Hydrocarbon biofuel; McFAP; Photodecarboxylase","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-10","","","BT/Biocatalysis","","",""
"uuid:286a55a4-47b4-444c-ae66-e4deaa09ffd4","http://resolver.tudelft.nl/uuid:286a55a4-47b4-444c-ae66-e4deaa09ffd4","Predicting the influence of combined oxygen and glucose gradients based on scale-down and modelling approaches for the scale-up of penicillin fermentations","Jánoska, A. (TU Delft BT/Industriele Microbiologie); Buijs, Joran (Student TU Delft); van Gulik, W.M. (TU Delft BT/Industriele Microbiologie)","","2023","In large scale fermentors the cultivated cells are exposed to dynamic changes in the nutrient concentrations due to imperfect mixing. Based on the characterization of these nutrient gradients in space and time, a rational scale down design can be obtained. This study focuses on the combined gradients of dissolved sugar and oxygen concentrations. Based on a recent computational fluid dynamics (CFD) study, firstly a scale-down design was developed. From intracellular metabolite measurements during these scale-down experiments, the metabolic behavior of the cells under highly dynamic conditions was revealed. Under the combined influence of oscillating glucose and oxygen concentrations, the penicillin production declined to 50 % of the value under steady state conditions. This decline was similar as observed during glucose oscillations alone. The influence of oxygen oscillations on the levels of the majority of the intracellular metabolites analyzed was negligible, although these metabolites were strongly affected by the varying oxygen levels under solely oxygen oscillations. Additionally, a metabolic structured kinetic model was developed and validated with data from glucose and oxygen oscillation experiments. This model can be coupled to CFD simulations to obtain an accurate prediction of the performance of industrial strains in space and time in large industrial scale bioreactors.","Combined gradients; Metabolic modelling; Metabolite pools; Oxygen and glucose fluctuations; Penicillin production; Scale-down","en","journal article","","","","","","","","","","","BT/Industriele Microbiologie","","",""
"uuid:5df5ce34-0d4a-4214-a77e-c25e36a64bba","http://resolver.tudelft.nl/uuid:5df5ce34-0d4a-4214-a77e-c25e36a64bba","Joint B2B supply chain decision-making: Drivers, facilitators and barriers","Nurhayati, K. (TU Delft Transport and Logistics); Tavasszy, Lorant (TU Delft Transport and Planning; TU Delft Transport and Logistics); Rezaei, J. (TU Delft Transport and Logistics)","","2023","Joint decision-making is one of the coordination mechanisms to address the inherent complexity of business-to-business (B2B) processes within a supply chain. Joint decision-making can be helpful to define shared goals and objectives, identify supply chain failures and opportunities, and consolidate supply chain success. Parties may benefit directly from a partnership's potential and synergies by collaboratively making decisions. However, specific business conditions need to be in place to enable joint decision-making. This paper investigates how companies in a dyadic relationship arrive at joint and individual supply chain decision-making structure. We examine the drivers, facilitators, and barriers of making joint as well as individual decisions within the supplier-buyer dyad and frame our arguments borrowing perspectives from resource dependency theory, transaction cost economics, collaboration theory, and social exchange theory. The paper presents a case study of Dutch high-tech companies, analysing experiences of supply chain managers via semi-structured interviews. High-tech firms often collaborate and share supply chain decisions due to the high-value capital equipment as well as a shared dependency on highly specific scarce resources. Our study provides new empirical insight into how firms cope with conflicting drivers, facilitators, and barriers in collaborations, controlling their decision-making structure. From the case study, we identify the combinations of facilitators and drivers that tend to promote the existence of joint decisions. We conclude with providing a list of suggestions for decision-makers and future research.","B2B relationship; Case study; Decision-making structure; High-tech industry; Individual decision-making; Joint decision-making; Supply chain collaboration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Logistics","","",""
"uuid:54db0f5c-6a06-4e95-b4d9-28a843615aca","http://resolver.tudelft.nl/uuid:54db0f5c-6a06-4e95-b4d9-28a843615aca","Automating building element detection for deconstruction planning and material reuse: A case study","Gordon, Matthew (ETH Zürich; Institute for Advanced Architecture of Catalonia); Batallé Garcia, A. (TU Delft Design & Construction Management); De Wolf, Catherine (ETH Zürich); Sollazzo, Aldo (Institute for Advanced Architecture of Catalonia); Dubor, Alexandre (Institute for Advanced Architecture of Catalonia); Wang, T. (TU Delft Design & Construction Management)","","2023","To address the need for a shift from a linear to a circular economy in the built environment, this paper develops a semi-automated assistive process for planning building material deconstruction for reuse using sensing and scanning, Scan-to-BIM, and computer vision techniques. These methods are applied and tested in a real-world case study in Geneva, Switzerland, with a focus on reconstruction and recovery analysis for floor beam systems. First, accessible sensing and scanning tools, such as mobile photography and smartphone-based consumer-grade Lidar devices, are used to capture imagery and other data from an active demolition site. Then, photogrammetry and point cloud data analysis are performed to construct a 3D BIM model of relevant areas. The structural relationships between reconstructed BIM elements are evaluated to score the feasibility for recovery of each element. This study illustrates what is feasible and where further development is necessary for automating building material reuse planning at scale to increase the uptake of circular economy practices in the construction sector.","BIM; Building deconstruction; Circularity; Digitalization; Lidar; Material reuse; Photogrammetry; Point cloud","en","journal article","","","","","","","","","","","Design & Construction Management","","",""
"uuid:30008307-d985-4117-9315-3ba9490ea8f0","http://resolver.tudelft.nl/uuid:30008307-d985-4117-9315-3ba9490ea8f0","Exploring attitude-behaviour dynamics during COVID-19: How fear of infection and working from home influence train use and the attitude toward this mode","Kroesen, M. (TU Delft Transport and Logistics); De Vos, Jonas (University College London (UCL)); Le, Huyen T.K. (Ohio State University); Ton, Danique (N.V. Nederlandse Spoorwegen)","","2023","Research on the relationships between travel-related attitudes and travel behaviour has recently been reinvigorated by new theorizing as well as new empirical models. While traditional theories assume a rather static role of attitudes, i.e. acting as stable predispositions that cause behaviours in a unidirectional manner, recent models assume that attitudes and behaviours mutually influence each other over time. This study aims at better understanding attitude-behaviour dynamics by capitalizing on the circumstances presented by the ongoing COVID-19 pandemic. It assesses how the fear of COVID-19 infection and (the attitude towards) working-from-home influence train use as well as train use attitudes. To explore the (within-person) reciprocal relationships between these variables, random-intercept cross-lagged panel models were estimated using a 4-wave longitudinal dataset collected during the COVID-19 pandemic from a large panel of train travellers in the Netherlands. The results indicate that train use and the attitude towards train use reciprocally influence each other. Those with stronger fears of infection in one wave tend to use the train less in a subsequent wave, but higher use of the train in one wave also reduces the fear of infection in the next. We also found that working from home (WFH) and travelling by train operate as substitutes for one another. Moreover, people who work from home frequently become more fearful of infection. All the findings are consistent with cognitive dissonance theory that people develop attitudes that align with their behaviours. The paper concludes with several policy implications related to changing attitudes and promoting train use.","Attitude towards train use; COVID-19 infection fear; Cross-lagged panel model; Panel data; Train use; Working from home","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:95d9e5ba-6bac-4dc7-a864-1e2225b4871e","http://resolver.tudelft.nl/uuid:95d9e5ba-6bac-4dc7-a864-1e2225b4871e","Risk consequence assessment of dam breach in cascade reservoirs considering risk transmission and superposition","Wang, Te (Zhengzhou University); Li, Zongkun (Zhengzhou University); Ge, W. (TU Delft Safety and Security Science; Zhengzhou University; Yellow River Engineering Consulting Co); zhang, Z.H. (Zhengzhou University); Zhang, Yadong (Zhengzhou University); Sun, Heqiang (Zhengzhou University); Jiao, Yutie (Zhengzhou University)","","2023","Compared with a single reservoir, the risk in cascade reservoirs has the transmission and superposition effect, which increases the complexity of its risk consequence assessment. In view of this problem, the direct consequence (DC) and potential consequence (PC) were defined as two parts of the dam breach risk consequence of cascade reservoirs. The upstream dam-break flood inundation line and the downstream reservoir land acquisition line were taken as the upper and lower boundaries of the assessment space, which made the risk consequence assessment more intuitive and further improved its scientificity and practicability. Subsequently, the conditional probability of downstream dam breach under the upstream dam-break flood was determined to quantify the risk transmission and superposition. On this basis, the relevant concepts and formulas for calculating the dam breach risk consequence in cascade reservoirs were proposed. Taking five cascade reservoirs as examples, the risk consequences of each cascade dam breach were evaluated. The results show that the proposed method is effective in assessing the risk consequence of dam breach in cascade reservoirs and is more in line with the connotation of dam risk management, which can provide reference for the design and risk control of cascade reservoirs.","Cascade reservoirs; Dam breach; Loss; Risk management; Risk transmission","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:7f351c11-eafb-428f-a0bd-c48e330abeb7","http://resolver.tudelft.nl/uuid:7f351c11-eafb-428f-a0bd-c48e330abeb7","Porphyrinic metal-organic frameworks as molybdenum adsorbents for the 99Mo/99mTc generator","Ma, C. (TU Delft RST/Applied Radiation & Isotopes); Wolterbeek, H.T. (TU Delft RST/Applied Radiation & Isotopes); Denkova, A.G. (TU Delft RST/Applied Radiation & Isotopes); Serra Crespo, P. (TU Delft RST/Applied Radiation & Isotopes)","","2023","Two porphyrinic metal-organic frameworks (PCN-222 and PCN-224) were prepared and their potential as molybdenum adsorbents for the 99Mo/99mTc generator was explored. The molybdenum adsorption properties of the two adsorbents, including adsorption kinetics and equilibrium isotherms, were evaluated at different molybdenum concentrations and pH. The maximum adsorption capacity of PCN-222 and PCN-224 was evaluated to be 525 mg g−1 and 455 mg g−1, respectively. The possible adsorption mechanism was investigated by X-ray Photoelectron Spectra and Fourier-Transform Infrared Spectroscopy. The results demonstrated that molybdenum species were adsorbed on the two MOFs through electrostatic attraction and hydrogen bonds. In the case of PCN-222, the Mo-O-Zr coordination interaction also played an important role. Additionally, the elution performance of two 99Mo/99mTc generators developed by using PCN-222 and PCN-224 as adsorbents was measured to assess possible clinical applications. The PCN-222-based 99Mo/99mTc generator exhibited better elution performance and showed that around 56% of 99mTc could be obtained without zirconium breakthrough when relatively high pH solutions were used (pH = 9.6).","","en","journal article","","","","","","","","","","","RST/Applied Radiation & Isotopes","","",""
"uuid:b5db9410-6d84-4778-853c-23e61ff3146c","http://resolver.tudelft.nl/uuid:b5db9410-6d84-4778-853c-23e61ff3146c","Sequence Control of the Self-Assembly of Elastin-Like Polypeptides into Hydrogels with Bespoke Viscoelastic and Structural Properties","López Barreiro, D. (DSM); Folch-Fortuny, Abel (DSM); Muntz, I.A.A. (TU Delft BN/Gijsje Koenderink Lab); Thies, Jens C. (DSM); Sagt, Cees M.J. (DSM); Koenderink, G.H. (TU Delft BN/Gijsje Koenderink Lab)","","2023","The biofabrication of structural proteins with controllable properties via amino acid sequence design is interesting for biomedicine and biotechnology, yet a complete framework that connects amino acid sequence to material properties is unavailable, despite great progress to establish design rules for synthesizing peptides and proteins with specific conformations (e.g., unfolded, helical, β-sheets, or β-turns) and intermolecular interactions (e.g., amphipathic peptides or hydrophobic domains). Molecular dynamics (MD) simulations can help in developing such a framework, but the lack of a standardized way of interpreting the outcome of these simulations hinders their predictive value for the design of de novo structural proteins. To address this, we developed a model that unambiguously classifies a library of de novo elastin-like polypeptides (ELPs) with varying numbers and locations of hydrophobic/hydrophilic and physical/chemical-cross-linking blocks according to their thermoresponsiveness at physiological temperature. Our approach does not require long simulation times or advanced sampling methods. Instead, we apply (un)supervised data analysis methods to a data set of molecular properties from relatively short MD simulations (150 ns). We also experimentally investigate hydrogels of those ELPs from the library predicted to be thermoresponsive, revealing several handles to tune their mechanical and structural properties: chain hydrophilicity/hydrophobicity or block distribution control the viscoelasticity and thermoresponsiveness, whereas ELP concentration defines the network permeability. Our findings provide an avenue to accelerate the design of de novo ELPs with bespoke phase behavior and material properties.","","en","journal article","","","","","","","","","","","BN/Gijsje Koenderink Lab","","",""
"uuid:c487fe21-f61e-4544-ad12-5fe656e48d2a","http://resolver.tudelft.nl/uuid:c487fe21-f61e-4544-ad12-5fe656e48d2a","Sandwich-like heterostructured nanomaterials immobilized laccase for the degradation of phenolic pollutants and boosted enzyme stability","Li, Mengyu (Zhengzhou University); Bai, Yahan (Zhengzhou University); Zhuang, Wei (Zhengzhou University; Nanjing Tech University); Liu, Jinle (Zhengzhou University); Wang, Zhi (Zhengzhou University); Rao, Yuan (Zhengzhou University); Li, Mengran (TU Delft ChemE/Materials for Energy Conversion and Storage); Ying, Hanjie (Nanjing Tech University); Ouyang, Pingkai (Nanjing Tech University)","","2023","A novel magnetic 2D/2D heterogeneous structure MXene@NiFe-LDH@Fe3O4 was prepared for immobilization of laccase. In this work, two-dimensional MXene nanosheets with abundant surface functional groups were heterogeneously assembled with layered double hydroxide (LDH) by in situ co-precipitation method, and magnetic nanoparticle Fe3O4 with excellent biocompatibility and rapid separation of materials and substrates was introduced subsequently, and then silane coupling agent was coated on the surface of MXene@NiFe-LDH@Fe3O4. The functionalized MXene@NiFe-LDH@Fe3O4 was employed as a carrier to immobilize laccase from Trametes-Versicolor. The enzyme loading of the nanocomposite material is as high as 167.9 mg/g. Compared with free enzymes, the immobilized laccase showed a notable improvement in stability in a wider range of pHs (2.0–8.0), temperatures (25–60 °C), and organic solvent concentration (1–5 M). The reusability study suggested that after 7 cycles of repeated catalysis, the degradation efficiency could reach 55.5% for 2,4-dichlorophenol, 92.1% for bisphenol A and70.9% for pyrocatechol. The results provide a new carrier preparation strategy for the efficient immobilization of laccase.","2D nanomaterials; Heterogeneous assembly; Immobilized laccase; Simulated industrial wastewater","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ChemE/Materials for Energy Conversion and Storage","","",""
"uuid:d3100b35-f855-42f8-a628-44c7c14d2a14","http://resolver.tudelft.nl/uuid:d3100b35-f855-42f8-a628-44c7c14d2a14","Equivalent material properties of the heat-affected zone in welded cold-formed rectangular hollow section connections","Yan, R. (TU Delft Steel & Composite Structures); Mela, Kristo (Tampere University); Yang, Fei (Chang'an University); El Bamby, H. (TU Delft Steel & Composite Structures); Veljkovic, M. (TU Delft Steel & Composite Structures)","","2023","A welded connection consists of three main material zones, the base material (BM), the heat-affect zone (HAZ), and the weld metal (WM). The strength of HAZ depends on the BM grade and manufacturing process, electrode grade, and welding parameters. Under certain conditions, HAZ has the lowest material strength, especially for high-strength steel. Therefore, a semi-empirical methodology is proposed to establish a constitutive model of HAZ necessary for predicting the fracture position of welded connections. This methodology is based on an engineering approach to consider HAZ as an isotropic and homogeneous material, with no consideration of different volumetric fractions of microstructures within a HAZ. The equivalent material properties of HAZ in butt-welded hollow section connections were investigated experimentally and numerically. Hardness tests and microstructure investigations were conducted to determine the boundaries of material variations and the width of HAZ. The stress–strain relationship of HAZ was established and calibrated based on tensile coupon tests and finite element analyses. Using the calibrated HAZ stress–strain relationship, the effect of transverse constraint imposed by the adjacent and stronger material (BM and WM) on HAZ was evaluated in the welded connections. Finally, the new methodology of a semi-empirical constitutive model based on the Swift model was used to propose equivalent characteristics of HAZ as a function of the mechanical properties of BM for a specific welding procedure considered in the project.","Butt weld; Constitutive model; Heat-affected zone; High-strength steel; Transverse constraint","en","journal article","","","","","","","","","","","Steel & Composite Structures","","",""
"uuid:66dd25db-778c-463b-96aa-c5d99c6d1ce3","http://resolver.tudelft.nl/uuid:66dd25db-778c-463b-96aa-c5d99c6d1ce3","Nanosecond gating of superconducting nanowire single-photon detectors using cryogenic bias circuitry","Hummel, Thomas (Paderborn University); Widhalm, Alex (Paderborn University); Höpker, Jan Philipp (Paderborn University); Jöns, Klaus D. (Paderborn University); Chang, J. (TU Delft QN/Groeblacher Lab); Fognini, Andreas (Single Quantum); Steinhauer, Stephan (KTH Royal Institute of Technology); Zwiller, Val (KTH Royal Institute of Technology); Zrenner, Artur (Paderborn University); Bartley, Tim J. (Paderborn University)","","2023","Superconducting nanowire single-photon detectors (SNSPDs) show near unity efficiency, low dark count rate, and short recovery time. Combining these characteristics with temporal control of SNSPDs broadens their applications as in active de-latching for higher dynamic range counting or temporal filtering for pump-probe spectroscopy or LiDAR. To that end, we demonstrate active gating of an SNSPD with a minimum off-to-on rise time of 2.4 ns and a total gate length of 5.0 ns. We show how the rise time depends on the inductance of the detector in combination with the control electronics. The gate window is demonstrated to be fully and freely, electrically tunable up to 500 ns at a repetition rate of 1.0 MHz, as well as ungated, free-running operation. Control electronics to generate the gating are mounted on the 2.3 K stage of a closed-cycle sorption cryostat, while the detector is operated on the cold stage at 0.8 K. We show that the efficiency and timing jitter of the detector is not altered during the on-time of the gating window. We exploit gated operation to demonstrate a method to increase in the photon counting dynamic range by a factor 11.2, as well as temporal filtering of a strong pump in an emulated pump-probe experiment.","","en","journal article","","","","","","","","","","","QN/Groeblacher Lab","","",""
"uuid:c3b8015e-8fb5-48ef-8738-f85dee04bf98","http://resolver.tudelft.nl/uuid:c3b8015e-8fb5-48ef-8738-f85dee04bf98","An empirical noise model for the benefit of model-based hydrodynamic leveling","Afrasteh, Y. (TU Delft Physical and Space Geodesy); Slobbe, D.C. (TU Delft Physical and Space Geodesy); Verlaan, M. (TU Delft Mathematical Physics; Deltares); Klees, R. (TU Delft Physical and Space Geodesy); Guarneri, H. (TU Delft Mathematical Physics); Keyzer, L.M. (TU Delft Environmental Fluid Mechanics); Pietrzak, J.D. (TU Delft Environmental Fluid Mechanics); Sacher, M. (Federal Agency for Cartography and Geodesy); Snellen, M. (TU Delft Control & Operations; TU Delft Aircraft Noise and Climate Effects); Zijl, F. (Deltares)","","2023","The main objective of this study is to develop and analyze an empirical noise model for model-derived coastal summer mean water levels (SMWLs) and use that to obtain a more realistic quality impact of combining hydrodynamic leveling and Unified European Leveling Network (UELN) data in realizing the European Vertical Reference System (EVRS). We considered three state-of-the-art hydrodynamic models for the Northeast Atlantic Ocean, including the North Sea and Wadden Sea; AMM7, DCSMv6-ZUNOv4, and 3D DCSM-FM. Moreover, we assess the spatiotemporal performance of these three models in representing coastal SMWLs. The empirical noise models are determined from the differences between observation- and model-derived SMWLs at coastal tide gauges. All three noise models show that the model noise is indeed correlated over sea distances up to hundreds of kilometers. At the same time, they all show a relatively large discontinuity at the origin (i.e., nugget effect); between 12.1 cm2 (3D DCSM-FM) and 16.3 cm2 (DCSMv6-ZUNOv4). The variance (i.e., covariance at zero sea distance) for these two models is 15.3 cm2 and 21.7 cm2, respectively. Averaging the water levels over three summers, lowered the variance and nugget effect for 3D DCSM-FM to 12.7 cm2 and 10.0 cm2, respectively. Our analysis also showed that between 30 and 50% of the variance has to be attributed to errors in the vertical referencing of the tide gauges. We lacked the information to assess what proportion of the observed noise covariances should be attributed to these errors. The performance assessments revealed significant variations over both space and time as well as among the three hydrodynamic models. The results suggest that there is still room for model improvement. In the final experiments, we used the noise model of the best overall performing model (i.e., 3D DCSM-FM) to reassess the quality impact of combining hydrodynamic leveling and UELN data in realizing the EVRS. The results suggest that not including the noise covariances leads to an overestimation of the total quality impact by 7 % and 8 % , when we average the water levels over one and three summer periods, respectively.","Empirical noise model; Hydrodynamic leveling; Tide gauge","en","journal article","","","","","","","","","","Control & Operations","Physical and Space Geodesy","","",""
"uuid:927dcb3b-aca1-4462-b546-d667e7673f46","http://resolver.tudelft.nl/uuid:927dcb3b-aca1-4462-b546-d667e7673f46","Putative metabolism of Ca. Accumulibacter via the utilization of glucose","Ziliani, Agustina (Swiss Federal Institute of Aquatic Science and Technology; IHE Delft Institute for Water Education); Bovio-Winkler, Patricia (Instituto de Investigaciones Biol ́ogicas Clemente Estable, Montevideo); Cabezas, Angela (Technological University of Uruguay); Etchebehere, Claudia (Instituto de Investigaciones Biol ́ogicas Clemente Estable, Montevideo); Garcia, Hector A. (IHE Delft Institute for Water Education); López-Vázquez, Carlos M. (IHE Delft Institute for Water Education); Brdjanovic, Damir (TU Delft BT/Environmental Biotechnology; IHE Delft Institute for Water Education); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Rubio-Rincón, Francisco J. (IHE Delft Institute for Water Education)","","2023","Ca. Accumulibacter was the predominant microorganism (relative FISH bio-abundance of 67 ± 5%) in a lab-scale sequential batch reactor that accomplished enhanced biological phosphorus removal (EBPR) while using glucose and acetate as the carbon sources (1:1 COD-based ratio). Both organic compounds were completely anaerobically consumed. The reactor's performance in terms of P/C ratio, phosphorous release and uptake, and overall kinetic and stoichiometric parameters were on the high end of the reported spectrum for EBPR systems (100:9.3 net mg phosphate removal per mg COD consumed when using glucose and acetate in a 1:1 ratio). The batch tests showed that, to the best of our knowledge, this is the first time a reactor enriched with Ca. Accumulibacter can putatively utilize glucose as the sole carbon source to biologically remove phosphate (COD:P (mg/mg) removal ratio of 100:6.3 when using only glucose). Thus, this research proposes that Ca. Accumulibacter directly anaerobically stored the fed glucose primarily as glycogen by utilizing the ATP provided via the hydrolysis of poly-P and secondarily as PHA by balancing its ATP utilization (glycogen generation) and formation (PHA storage). Alternative hypotheses are also discussed. The reported findings could challenge the conventional theories of glucose assimilation by Ca. Accumulibacter, and can be of significance for the biological removal of phosphorus from wastewaters with high contents of fermentable compounds or low VFAs.","Ca. Accumulibacter; Enhanced biological phosphorus removal; Glucose metabolism; Wastewater with fermentable compounds","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:c0456872-c5d6-48a9-83d3-875ad00ca1b7","http://resolver.tudelft.nl/uuid:c0456872-c5d6-48a9-83d3-875ad00ca1b7","Real-time collision risk based safety management for vessel traffic in busy ports and waterways","Li, M. (TU Delft Safety and Security Science; Hubei Key Laboratory of Inland Shipping Technology; Wuhan University of Technology); Mou, Junmin (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Chen, Pengfei (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); Chen, Linying (Wuhan University of Technology; Hubei Key Laboratory of Inland Shipping Technology); van Gelder, P.H.A.J.M. (TU Delft Safety and Security Science)","","2023","Regional risk analysis and management of maritime accidents is one of the fundamental tasks for maritime safety management. With the heavy and complicated maritime traffic in the ports and waterways, accidents, especially ship collision accidents, have been continuously posing threats to the maritime transportation system. To achieve effective and prompt identification of collision risk and to facilitate the stakeholders such as Maritime Safety Administration, this paper proposes an integrated approach for regional collision risk analysis and maritime safety management in busy ports and waterways. Firstly, regional gridding is used to link accident data and traffic data based on geographical location; Secondly, the risk model based on accident data is established. The reliability of the accident risk model is verified by data feature analysis. Finally, non-accident critical events are mined from historical accident data and traffic data as surrogate indicators of collision accidents. A regional real-time risk model is developed for integrating the accident risk model and non-accident critical events risk model by using random forest. A case study in Shenzhen port indicates that the proposed collision risk model can identify high-risk areas and facilitates maritime safety management to improve the safety level of vessel traffic in these areas. In this paper, the regional grid is used to overcome the shortcomings of different scales between data, and a real-time risk model is established by combining accidents and traffic. The 15-year maritime collision accidents are used for collision risk modeling, which improves the performance of the model.","AIS; Maritime safety management; NLVO; Non-accident critical events; Risk assessment and management; Ship collision accidents","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:88823ef2-0475-43e0-a294-dd96afd83e78","http://resolver.tudelft.nl/uuid:88823ef2-0475-43e0-a294-dd96afd83e78","Enhancing drought resilience and energy security through complementing hydro by offshore wind power—The case of Brazil","Conde Santos Borba, P. (TU Delft Energie and Industrie; Aeronautics Institute of Technology); Sousa, Wilson C. (Aeronautics Institute of Technology); Shadman, Milad (Universidade Federal do Rio de Janeiro); Pfenninger, Stefan (TU Delft Energie and Industrie)","","2023","During atypical droughts, power systems with a heavy reliance on hydropower risk increased greenhouse gas emissions if they are balanced with fossil-fired generation. This work investigates the role of offshore wind energy in reducing the vulnerability of power systems dependent on such hydrological patterns, thereby eliminating this emission increase, using Brazil as a case study. Offshore wind potential and its complementarity with hydro resources are addressed by considering bias-corrected reanalysis data. Then, a cost-minimizing model is built to analyze the effect of integrating wind farms (considering bottom-fixed and floating structures and distance to shore) into the existing power system in Brazil. Applying a lower, median, and upper bias correction factor, potentials are reduced by 8%–44% compared to uncorrected data. Irrespective of systematic bias, the findings indicate a high complementarity between Northeastern wind regimes and most hydropower basins. The share of offshore wind energy grows in scenarios with reduced costs, but wind farms are part of the optimal system even with the current costs. With increasing wind power capacity, dynamic dispatch changes, and natural gas no longer plays a role in the dry season as it currently does, but only in the rainy season on a significantly reduced scale. Existing reservoirs support the integration of offshore wind farms into highly renewable scenarios, but they are insufficient in a complete fossil fuel phaseout, where other electricity storage must be deployed to help balance the system. Yet, power systems in the scenarios with large wind capacity have less stored hydropower in the dry season than in the current system, while they store more in the rainy season, implying a reduced risk of empty reservoirs. The Brazilian power system with offshore wind farms can eliminate 54.4 Mton CO2eq/year (97% of current power sector emissions) without additional electricity storage.","Bias correction; Drought management; Energy modeling; Hydropower; Offshore wind energy; Renewables complementarity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Energie and Industrie","","",""
"uuid:f071a36d-2137-4339-806b-4ade51ab00e5","http://resolver.tudelft.nl/uuid:f071a36d-2137-4339-806b-4ade51ab00e5","Performance evaluation of ventilative cooling systems for buildings under different control parameters and strategies","Hu, Yan (Hunan University); Liu, Zhengxuan (TU Delft Design & Construction Management; Hunan University); Ai, Zhengtao (Hunan University); Zhang, Guoqiang (Hunan University)","","2023","Ventilative cooling is an energy-saving technology to diminish thermal discomfort and overheating risk of buildings, meanwhile achieving high indoor air quality (IAQ). However, there is still no optimal control strategy in practice, which considerably limits its application. This study developed a typical office building model to evaluate the performance of ventilative cooling systems with different control parameters and strategies for five typical cities in different climatic zones of China. Results showed that, when the control parameter was selected as the upper limit of satisfied comfortable zone by 90% of the occupants, the adaptive thermal comfort (ATC) model, which outperformed the other models in terms of outdoor air utilization, was not necessarily optimal in terms of energy efficiency. The outdoor air utilization potential based on the indoor dry-bulb air temperature (Td) and indoor operative temperature (Top) control was similar, but the energy usage varies considerably, especially in the hot climatic zones. When the overheating period controlled based on the thermal comfort models was the same, the energy usage would be underestimated by 16%–38% without considering the effect of radiant temperature. The ATC-based control could have up to 37% of energy-saving compared to thermostatic control, but inappropriately low limits could make it less advantages to achieve energy-saving. The energy-saving potential associated with the PMV and ATC controls showed a completely opposite trend in the different climatic zones. The analysis results indicate that eliminating the drawbacks of the lower limit in the ATC model is an effective way to demonstrate energy-saving effectiveness. The findings of this study will contribute to the effective improvement of the application potential of ventilative cooling in different climatic zones.","Control parameters; Energy saving; Indoor air quality; Thermal comfort; Ventilative cooling","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design & Construction Management","","",""
"uuid:79c9a98a-1adc-4dba-9c07-128263d512f6","http://resolver.tudelft.nl/uuid:79c9a98a-1adc-4dba-9c07-128263d512f6","Multi-view Contour-constrained Transformer Network for Thin-cap Fibroatheroma Identification","Liu, Sijie (Xi’an Jiaotong University; Erasmus MC); Xin, Jingmin (Xi’an Jiaotong University); Wu, Jiayi (Xi’an Jiaotong University); Deng, Yangyang (Xi’an Jiaotong University); Su, Ruisheng (Erasmus MC); Niessen, W.J. (TU Delft ImPhys/Vos group; TU Delft ImPhys/Computational Imaging; Erasmus MC); Zheng, Nanning (Xi’an Jiaotong University); van Walsum, T. (Erasmus MC)","","2023","Identification and detection of thin-cap fibroatheroma (TCFA) from intravascular optical coherence tomography (IVOCT) images is critical for treatment of coronary heart diseases. Recently, deep learning methods have shown promising successes in TCFA identification. However, most methods usually do not effectively utilize multi-view information or incorporate prior domain knowledge. In this paper, we propose a multi-view contour-constrained transformer network (MVCTN) for TCFA identification in IVOCT images. Inspired by the diagnosis process of cardiologists, we use contour constrained self-attention modules (CCSM) to emphasize features corresponding to salient regions (i.e., vessel walls) in an unsupervised manner and enhance the visual interpretability based on class activation mapping (CAM). Moreover, we exploit transformer modules (TM) to build global-range relations between two views (i.e., polar and Cartesian views) to effectively fuse features at multiple feature scales. Experimental results on a semi-public dataset and an in-house dataset demonstrate that the proposed MVCTN outperforms other single-view and multi-view methods. Lastly, the proposed MVCTN can also provide meaningful visualization for cardiologists via CAM.","IVOCT; Multi-view learning; Plaque identification; TCFA; Transformer","en","journal article","","","","","","","","","","","ImPhys/Vos group","","",""
"uuid:6f8f7430-8035-4bda-8e35-d5be04767878","http://resolver.tudelft.nl/uuid:6f8f7430-8035-4bda-8e35-d5be04767878","Gene-Directed FtsZ Ring Assembly Generates Constricted Liposomes with Stable Membrane Necks","Godino, E. (TU Delft BN/Christophe Danelon Lab); Danelon, C.J.A. (TU Delft BN/Bionanoscience)","","2023","Mimicking bacterial cell division in well-defined cell-free systems has the potential to elucidate the minimal set of proteins required for cytoskeletal formation, membrane constriction, and final abscission. Membrane-anchored FtsZ polymers are often regarded as a sufficient system to realize this chain of events. By using purified FtsZ and its membrane-binding protein FtsA or the gain-of-function mutant FtsA* expressed in PURE (Protein synthesis Using Reconstituted Elements) from a DNA template, it is shown in this study that cytoskeletal structures are formed, and yield constricted liposomes exhibiting various morphologies. However, the resulting buds remain attached to the parental liposome by a narrow membrane neck. No division events can be monitored even after long-time tracking by fluorescence microscopy, nor when the osmolarity of the external solution is increased. The results provide evidence that reconstituted FtsA-FtsZ proto-rings coating the membrane necks are too stable to enable abscission. The prospect of combining a DNA-encoded FtsZ system with assisting mechanisms to achieve synthetic cell division is discussed.","bacterial cytokinesis; bottom-up synthetic biology; cell-free gene expression; synthetic cells","en","journal article","","","","","","","","","","BN/Bionanoscience","BN/Christophe Danelon Lab","","",""
"uuid:a45a1aa5-3877-4265-af34-623425eaad8f","http://resolver.tudelft.nl/uuid:a45a1aa5-3877-4265-af34-623425eaad8f","Deep reinforcement learning for cerebral anterior vessel tree extraction from 3D CTA images","Su, Jiahang (Erasmus MC); Li, Shuai (Erasmus MC); Wolff, Lennard (Erasmus MC); van Zwam, Wim (Maastricht UMC); Niessen, W.J. (TU Delft ImPhys/Vos group; TU Delft ImPhys/Computational Imaging; Erasmus MC); van der Lugt, Aad (Erasmus MC); van Walsum, T. (TU Delft Biomechanical Engineering; Erasmus MC)","","2023","Extracting the cerebral anterior vessel tree of patients with an intracranial large vessel occlusion (LVO) is relevant to investigate potential biomarkers that can contribute to treatment decision making. The purpose of our work is to develop a method that can achieve this from routinely acquired computed tomography angiography (CTA) and computed tomography perfusion (CTP) images. To this end, we regard the anterior vessel tree as a set of bifurcations and connected centerlines. The method consists of a proximal policy optimization (PPO) based deep reinforcement learning (DRL) approach for tracking centerlines, a convolutional neural network based bifurcation detector, and a breadth-first vessel tree construction approach taking the tracking and bifurcation detection results as input. We experimentally determine the added values of various components of the tracker. Both DRL vessel tracking and CNN bifurcation detection were assessed in a cross validation experiment using 115 subjects. The anterior vessel tree formation was evaluated on an independent test set of 25 subjects, and compared to interobserver variation on a small subset of images. The DRL tracking result achieves a median overlapping rate until the first error (1.8 mm off the reference standard) of 100, [46, 100] % on 8032 vessels over 115 subjects. The bifurcation detector reaches an average recall and precision of 76% and 87% respectively during the vessel tree formation process. The final vessel tree formation achieves a median recall of 68% and precision of 70%, which is in line with the interobserver agreement.","3D CTA; Bifurcation detection; Brain vessel; CNN; Deep reinforcement learning; Tracking","en","journal article","","","","","","","","","","Biomechanical Engineering","ImPhys/Vos group","","",""
"uuid:7dec2a90-0122-4713-b13c-049c323f6ddd","http://resolver.tudelft.nl/uuid:7dec2a90-0122-4713-b13c-049c323f6ddd","Operating windows for early evaluation of the applicability of advanced reactive distillation technologies","Pazmiño-Mayorga, Isabel (The University of Manchester); Jobson, Megan (The University of Manchester); Kiss, A.A. (TU Delft ChemE/Product and Process Engineering)","","2023","Advanced reactive distillation technologies (ARDT) are often overlooked during process synthesis due to their complexity. This work proposes the use of operating windows with additional features to identify suitable operating limits for ARDT. Data needed to construct the operating windows are thermodynamic properties, kinetic parameters, constraints of materials and experimental methods, and heuristics. In addition, two new concepts are proposed to represent complex features: representative components and a sliding window. Results include the identification of suitable operating limits for ARDT to help assess their feasibility early in process design. The proposed approach is demonstrated by case studies. Methyl acetate production can be carried out at low pressures (0.5–3.6 atm), while lactic acid purification requires vacuum conditions (0.3–0.8 atm) to avoid thermal degradation. Tert-amyl methyl ether production was evaluated in two scenarios where the effect of side reactions is evidenced in a reduction of the reaction window due temperature limits to favour the main reaction over side reaction. This study is the first to evaluate advanced reactive distillation technologies using a graphical representation in an operating window to aid process synthesis, where the results provide key selection insights.","Operating windows; Process intensification; Process synthesis; Reactive distillation","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:c2be668f-9276-49eb-8959-73f258dd83c7","http://resolver.tudelft.nl/uuid:c2be668f-9276-49eb-8959-73f258dd83c7","Effect of N2 and CO2 bubbles on gas volume fraction and flow pattern in a quasi-2D bubble column by shadow imaging","Sarker, D. (TU Delft ChemE/Transport Phenomena; International University of Business Agriculture and Technology); Schinkel, C.V. (TU Delft ChemE/O&O groep); Portela, L. (TU Delft ChemE/Transport Phenomena)","","2023","This study reports the effect of N2 and CO2 bubbles on dilute to dense gas-liquid two-phase bubbly flow. A shadowgraph imaging technique captured bubble images at a high spatiotemporal resolution. The recordings of bubble images allow us to compute gas fraction distribution. It requires challenging segmentation and gas-liquid interface detection approaches in image processing. Hence a novel gas contour characterization technique has been introduced in this study that analyses light intensity per pixel for quantifying the effect of local gas volume fraction. The dominant gas structure and repetitive gas pattern have also been determined here using Fourier transform-based power spectral density and 2D cross-correlation functions, respectively. Gas-liquid flow regimes of dissolved CO2 bubbles are found quite different than that of N2 bubbles. The plausible reasons are that gas fraction distribution at the sparger region may inhibit bubble coalescence and the positive surface charge of CO2 bubbles acts as a barrier to the interface deformation.","Bubbly flow; CO bubble-bubble interaction; Cross-correlation; Light intensity; Power spectral density; Visual imaging","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ChemE/Transport Phenomena","","",""
"uuid:2727de9b-a8d9-4d8e-8341-7ccd7d415df9","http://resolver.tudelft.nl/uuid:2727de9b-a8d9-4d8e-8341-7ccd7d415df9","Tolerable degree of muscle sacrifice when harvesting a vastus lateralis or myocutaneous anterolateral thigh flap","Puladi, Behrus (University Hospital RWTH Aachen); Ooms, Mark (University Hospital RWTH Aachen); Geijtenbeek, T. (TU Delft Biomechatronics & Human-Machine Control); Trinler, Ursula (Andreas Wentzensen Research Institute, Ludwigshafen); Houschyar, Khosrow Siamak (Trauma Center Bergmannstrost Halle, Halle); Gruber, Lennart Johannes (University Hospital RWTH Aachen); Motmaen, Ila (University Hospital RWTH Aachen); Rashad, Ashkan (University Hospital RWTH Aachen); Hölzle, Frank (University Hospital RWTH Aachen); Modabber, Ali (University Hospital RWTH Aachen)","","2023","The myocutaneous anterolateral thigh (ALT) and vastus lateralis (VL) flaps include a large muscle mass and a sufficient vascular pedicle, and they have been used for decades to reconstruct traumatic and acquired defects of the head and neck and extremities. In spite of these benefits, musculoskeletal dysfunction was reported in nearly 1 out of 20 patients at follow-up. It is unclear whether the recently proposed muscle-sparing flap-raising approach could preserve VL muscle function and whether patients at increased risk could benefit from such an approach. Therefore, we performed a predictive dynamic gait simulation based on a biological motion model with gradual weakening of the VL during a self-selected and fast walking speed to determine the compensable degree of VL muscle reduction. Muscle force, joint angle, and joint moment were measured. Our study showed that VL muscle reduction could be compensated up to a certain degree, which could explain the observed incidence of musculoskeletal dysfunction. In elderly or fragile patients, the VL muscle should not be reduced by 50% or more, which could be achieved by muscle-sparing flap-raising of the superficial partition only. In young or athletic patients, a VL muscle reduction of 10%, which corresponds to a muscle cuff, has no relevant effect. Yet, a reduction of more than 30% leads to relevant weakening of the quadriceps. Therefore, in this patient population with the need for a large portion of muscle, alternative flaps should be considered. This study can serve as the first basis for further investigations of human locomotion after flap-raising.","Flap-raising; Myocutaneous anterolateral thigh flap; Predictive forward dynamic simulation; SCONE; Vastus lateralis muscle flap","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Biomechatronics & Human-Machine Control","","",""
"uuid:7ec6801c-68c5-4766-b701-37a952e48524","http://resolver.tudelft.nl/uuid:7ec6801c-68c5-4766-b701-37a952e48524","Design for values and conceptual engineering","Veluwenkamp, H.M. (TU Delft Ethics & Philosophy of Technology; Rijksuniversiteit Groningen); van den Hoven, M.J. (TU Delft Ethics & Philosophy of Technology)","","2023","Politicians and engineers are increasingly realizing that values are important in the development of technological artefacts. What is often overlooked is that different conceptualizations of these abstract values lead to different design-requirements. For example, designing social media platforms for deliberative democracy sets us up for technical work on completely different types of architectures and mechanisms than designing for so-called liquid or direct forms of democracy. Thinking about Democracy is not enough, we need to design for the proper conceptualization of these values. As we see it, we cannot responsibly engineer and innovate and shape technology in accordance with our moral values without engaging in systematic and continuous conceptual engineering: This is not only an academic, or theoretical issue, it is also not simply an issue for public policy or politics, or regulators, it has become a central problem for engineering and the world of technology. In this paper, we present a framework for doing the necessary conceptual work in the context of requirement engineering. We draw on the literature on conceptual engineering to lay out a methodology to (1) assess different conceptions and (2) to develop new conceptions. Moreover, we integrate this methodology with extant approaches in the philosophy of technology which aim at designing technological artefacts ethically. In the final section we apply this integrated framework to freedom in the context of social media networks.","Conceptual engineering; Control; Design and values; Freedom; Innovation; Value sensitive design","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Ethics & Philosophy of Technology","","",""
"uuid:073ef758-c26a-4ecb-8cb2-6ba16aee6439","http://resolver.tudelft.nl/uuid:073ef758-c26a-4ecb-8cb2-6ba16aee6439","Recycling of ferronickel slag tailing in cementitious materials: Activation and performance","Chi, Lin (University of Shanghai for Science and Technology); Lu, Shuang (Harbin Institute of Technology); Li, Z. (TU Delft Materials and Environment); Huang, Chendong (University of Shanghai for Science and Technology); Jiang, Hui (Harbin Institute of Technology); Peng, Bin (University of Shanghai for Science and Technology)","","2023","As an industrial by-product containing pozzolanic components, recycled ferronickel slag (FNS) has the potential to be supplementary cementitious materials (SCMs) to reduce the massive carbon footprint of the cement industry, however, the main limitation of ferronickel slag as SCMs is the low hydration rate at an early age. In this study, the pozzolanic activity property results indicate that if the proportion is more than 10 %, FSN can hardly participate in the cement hydration reaction during the early stage, even the mechanical strength of FNS-mortar decreases obviously with the higher proportion of ferronickel slag. Therefore, mechanical grinding and steam curing at an early age are applied to promote the reaction activity of the recycled ferronickel slag tailing in this study. Compared with standard curing, the compressive strength of hardened FNS-cement paste with steam curing at 60 °C or 80 °C increased by 8.2 % or 33.8 %, and the connected porosity decreased by 18.9 % or 17.3 %. And MgO in the ferronickel slag exists as Mg2SiO4 in raw materials and enters the C-S-H gel with the formation of M-S-H gel during the secondary hydration stage. This study provides a theoretical basis for solid waste-based concrete and promotes the recycling, conservation, and resources of solid waste in building materials.","Ferronickel slag; Grinding and heat curing; Hydration mechanism; SCMs","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Materials and Environment","","",""
"uuid:9b8cd229-c7fe-434d-8b16-184ff4b63e7a","http://resolver.tudelft.nl/uuid:9b8cd229-c7fe-434d-8b16-184ff4b63e7a","Sustainable mobility strategies and their impact: A case study using a multimodal activity based model","Zhou, H. (TNO; Universiteit van Amsterdam); Dorsman, J.L. (Universiteit van Amsterdam); Mandjes, M. (Universiteit van Amsterdam); Snelder, M. (TU Delft Transport and Planning; TNO)","","2023","Nowadays, many cities are intending to reduce the use of private vehicles. Governments are incorporating new mobility services and are adapting their parking policies to promote a more sustainable mobility, as both strategies are believed to have the potential to reduce private vehicle use. To understand the effects of these strategies, one needs to be able to model complex travel behaviour up to a very high level of detail. Owing to their flexibility, robustness and ability to model travel activity behaviour on an individual level, activity based travel demand models (ABM) offer a highly suitable methodology for this purpose. In this paper, we employ this methodology to perform a case study in a metropolitan region in the Netherlands which surrounds and includes the cities of Rotterdam and The Hague. This region is of vital economic importance and has a very developed and dense road network. The population of this region is growing, which motivates the ambition to improve its accessibility and move towards sustainable mobility. Therefore, the findings of this study are important to similar regions seeking to do this as well. After setting up a suitable, calibrated ABM able to perform a comprehensive study on the effects of new mobility services and parking policy adaptations in the above-mentioned region, we design seven scenarios to give quantitative answers to policy-related questions on how altering features can reduce the extent to which private vehicles are used for travelling. These features include the availability of mobility hubs (hubs on neighbourhood level where sustainable travel modes are linked), the availability of car/bike sharing services, the availability of ‘Mobility as a Service’ (MaaS) subscriptions, the amount of parking capacity in the region and the parking costs. We also study what the impact would be of an improved public transport service with lowered public transport travel times to and from the city centers, and the impact of an improved cycling network infrastructure with significantly lowered travel times for bike and e-bike travellers. Based on the case study, we find that the introduction of mobility hubs alone has limited impact. However, combining this with making sharing services available to the public through MaaS subscriptions, there is a potential to reduce the number of car trips significantly, while the number of trips undertaken by a more sustainable (shared) e-bike increases as well as the number of so-called multi-modal mode trips (trips undertaken by a combination of various modes). Furthermore, improving the public transport service and micromobility network further increases the potential of mobility hubs in terms of making mobility more sustainable. The case study also shows that limiting parking capacity and increasing parking costs in the city centers is especially helpful for the reduction of vehicle use, leading to an improved car flow.","Activity based travel demand modelling; Case study; Metropolitan region Rotterdam and The Hague; Mobility hubs; New mobility services; Parking","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:c25f95e0-fc66-478d-91b3-f5b26d28c55f","http://resolver.tudelft.nl/uuid:c25f95e0-fc66-478d-91b3-f5b26d28c55f","Influence of process-based, stochastic and deterministic methods for representing heterogeneity in fluvial geothermal systems","Major, Márton (Aarhus University); Daniilidis, Alexandros (TU Delft Reservoir Engineering; University of Geneva); Hansen, Thomas Mejer (Aarhus University); Khait, M. (TU Delft Reservoir Engineering; Stone Ridge Technology); Voskov, D.V. (TU Delft Reservoir Engineering; Stanford University)","","2023","Focus is on comparing stochastic, process-based and deterministic methods for modelling heterogeneity in hydraulic properties of fluvial geothermal reservoirs. Models are considered a generalized representation of a fluvial sequence in the upper part of the Gassum Formation in northern Denmark. Two ensemble realizations of process-based and stochastic heterogeneity were simulated using the state-of-the-art numerical modelling software, Delft Advanced Research Terra Simulator (DARTS), to assess differences on a statistically relevant sample size. Simulator settings were optimized to achieve two orders of magnitude improvement in simulation time. Our general findings show that the stochastic and process-based methods produce nearly identical results in terms of predicted breakthrough time and production temperature decline for high net-to-gross ratios (N/G). Simple homogenous and layered models overestimate breakthrough and underestimate temperature decline. More complex representation of facies in process-based models show smaller variance in results and stay within the limits of ensemble runs compared to simpler facies representation. Results indicate that a multivariate Gaussian based stochastic representation of heterogeneity provides comparable thermal response to a process-based model in fluvial systems of similar quality.","Directuse; Geothermal; Heterogeneity; Process-based; Stochastic; Thermal response","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:e650d011-a36d-40c1-b97b-9884d10a5665","http://resolver.tudelft.nl/uuid:e650d011-a36d-40c1-b97b-9884d10a5665","Distributed processing of Dutch AHN laser altimetry changes of the built-up area","Lindenbergh, R.C. (TU Delft Optical and Laser Remote Sensing); Cserép, Máté (Eötvös Loránd University)","","2023","The evolution and spreading of data capturing methods ranging from simple GPS devices like smart-phones to large scale imaging equipment – including very high resolution and hyperspectral cameras and LiDAR – resulted in an exponential growth in the amount of spatial data maintained by companies and organizations. At the same time methods for extracting information from such data are often behind in efficiency. In this paper we analyse the possibilities for nation-wide change detection of massive airborne laser altimetry point clouds, based on digital elevation models generated from them. The proposed workflow distinguishes modifications in the built-up area from other changes and noise. Our methodology combines different area processing spatial algorithms: object detection, noise filtering, morphological operations and clustering. Our proposed method is designed to scale dynamically on extensive datasets by processing a spatially partitioned input dataset in an easily parallelized manner. Favourable visualizations and aggregated representations of the results are examined, followed by a discussion of feasible validation methods. As a demonstration we showcase the implemented distributed evaluation of our workflow on the full Dutch altimetry archive – a dataset exceeding several terabytes of storage space – using a high-performance computing environment. While the average execution time was 47 h on a desktop computer, our solution only took less than 2.4 h to complete. The output was validated against the building layer of the TOP10NL topographic dataset, proving a 70% accuracy nation-wide and over 90% for urban areas. As a result our analysis shows that The Netherlands experienced an aggregated building volume change of 912.33 km3 between the acquisition of AHN-2 and AHN-3.","AHN; Big data; Change detection; Cloud computing; LiDAR; Object recognition","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:1acc2768-ff27-47a0-8057-fcd609f0d55b","http://resolver.tudelft.nl/uuid:1acc2768-ff27-47a0-8057-fcd609f0d55b","Automatic detection of bulldozer-induced changes on a sandy beach from video using YOLO algorithm","Barbero García, I. (University of Salamanca); Kuschnerus, M. (TU Delft Optical and Laser Remote Sensing); Vos, S.E. (TU Delft Coastal Engineering); Lindenbergh, R.C. (TU Delft Optical and Laser Remote Sensing)","","2023","Sandy beaches are subject to changes due to multiple factors, that are both natural (e.g. storms) and anthropogenic. Great efforts are being made to monitor these ecosystems and understand their dynamics in order to assure their conservation. The identification of anthropogenic changes and its differentiation from natural ones is an important task for coastal monitoring. In this study, we present a methodology for the detection of anthropogenic changes in a coastal ecosystem by automatically detecting active bulldozers in continuous beach video data. PCA is used to highlight changes in consecutive images due to moving objects. Next, the YOLO object detection algorithm is used to identify the bulldozers in the change images. YOLO was specifically trained for the task, obtaining a precision of 0.94 and a recall of 0.81. An automatic tool was developed, and the process was carried out on two months of video data, consisting of approximately 19 000 images. The resulting information was compared with changes derived from 3D data obtained from a permanent laser scanner. The correlation among the results of the two methodologies was computed. For a validation area and daily time frame a correlation of 0.88 was obtained between the number of detected bulldozers and the area affected by changes in height larger than 0.3 m.","Anthropogenic changes; Coastal monitoring; Object detection; Principal components analysis","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:e4174a5a-58ac-434a-beb8-465f2b585f2d","http://resolver.tudelft.nl/uuid:e4174a5a-58ac-434a-beb8-465f2b585f2d","Programming Thermochromic Liquid Crystal Hetero-Oligomers for Near-Infrared Reflectors: Unequal Incorporation of Similar Reactive Mesogens in Thiol-ene Oligomers","Sentjens, Henk (Eindhoven University of Technology); Kragt, A.J.J. (TU Delft Architectural Technology; Eindhoven University of Technology; ClimAd Technology B.V.); Lub, Johan (Eindhoven University of Technology); Claessen, Mart D.T. (Eindhoven University of Technology); Buurman, Vera E. (Eindhoven University of Technology); Schreppers, Joris (Eindhoven University of Technology); Gongriep, Henk A. (Eindhoven University of Technology); Schenning, Albert P.H.J. (Eindhoven University of Technology)","","2023","Cholesteric liquid crystal oligomers are widely researched for their interesting thermochromic properties. However, structure-property relationships to program the thermochromic properties of these oligomers have been rarely reported. In this work, we use the versatile thiol-ene click reaction to synthesize a series of hetero-oligomers and study the impact of different compositions on the thermochromic behavior of the resulting material. Characterization of the oligomers shows significantly different rates of reaction for the monomers despite their very similar structures, which leads to oligomer compositions that do not match the original reaction feed. The oligomers are then used to produce thin near-infrared reflecting coatings. The best-performing thermochromic reflector has a room-temperature reflection band that shifts a total of 510 nanometers upon heating to 120 °C. The shift is repeatable for up to 10 times with no appreciable degradation. The room temperature reflection of the coatings is shown to be tunable not only by adjusting the chiral dopant concentration but also by the ratio of the monomers. Finally, we show that the oligomers can be chemically modified by making their reactive end groups undergo a reaction with monothiol compounds. These modifications allow for further fine-tuning of liquid crystal oligomers for heat-regulating window films, for example.","","en","journal article","","","","","","","","","","","Architectural Technology","","",""
"uuid:504883aa-3dda-409d-bbba-340a4cb98fbb","http://resolver.tudelft.nl/uuid:504883aa-3dda-409d-bbba-340a4cb98fbb","Exploring the potential of the critical shear crack theory for reinforced and post-tensioned glass beams: Initial analysis and experiments","Cupać, Jagoda (Swiss Federal Institute of Technology; Technische Universität Dresden); Louter, P.C. (TU Delft Applied Mechanics); Nussbaumer, Alain (Swiss Federal Institute of Technology)","","2023","In the evolution of structural glass beam elements, the requirements for post-fracture load bearing capacity and safe failure behaviour have led to the development of reinforced and post-tensioned beams. Maximum bending capacity in the post-fracture state is normally associated with extensive yielding of the reinforcement, providing a safe failure mechanism through apparent ductility of the composite beam section. This can be achieved as long as the propagation of primary flexural cracks does not compromise the transfer of shear from the load points to the supports. Although shear failure is typically not critical for the ultimate limit state design of ’normal’ unreinforced glass beams, it may govern the load-bearing and deformation capacity in the post-fracture state for reinforced and post-tensioned glass beams. This paper presents exploratory experiments and initial analysis of the shear failure phenomenon in the post-fracture state of reinforced and post-tensioned glass beams. Potential shear transfer mechanisms are identified based on the critical shear crack theory developed for reinforced concrete members and applied in the analysis of shear failures observed in four-point bending tests of post-tensioned glass beams. The behaviour of fractured laminated glass under mixed-mode (tension+shear) loading is explored on a limited set of small-scale double-notched glass specimens, demonstrating the feasibility of the applied test methodology. Preliminary findings of the present study may serve as a basis for further investigations of shear resistance of glass beams. Typical shear failure kinematics and suitable constitutive laws of the applied materials need further investigation in order to provide design recommendations for the prediction of shear resistance of reinforced and post-tensioned glass beams.","Critical shear crack; Double-notched mixed-mode test; Post-fracture limit state; Reinforced and post-tensioned glass beam; Shear resistance; Shear-transfer actions","en","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:57a266a7-77d4-49db-87d9-c4ddd7028bf9","http://resolver.tudelft.nl/uuid:57a266a7-77d4-49db-87d9-c4ddd7028bf9","Revisiting the Electrochemical Nitrogen Reduction on Molybdenum and Iron Carbides: Promising Catalysts or False Positives?","Izelaar, B. (TU Delft Large Scale Energy Storage); Ripepi, D. (TU Delft ChemE/Materials for Energy Conversion and Storage); Asperti, S. (TU Delft Large Scale Energy Storage); Dugulan, A.I. (TU Delft RID/TS/Instrumenten groep; TU Delft RST/Fundamental Aspects of Materials and Energy); Hendrikx, R.W.A. (TU Delft Team Amarante Bottger); Bottger, A.J. (TU Delft Team Amarante Bottger); Mulder, F.M. (TU Delft ChemE/Materials for Energy Conversion and Storage); Kortlever, R. (TU Delft Large Scale Energy Storage)","","2023","The electrochemical dinitrogen reduction reaction (NRR) has recently gained much interest as it can potentially produce ammonia from renewable intermittent electricity and replace the Haber-Bosch process. Previous literature studies report Fe- and Mo-carbides as promising electrocatalysts for the NRR with activities higher than other metals. However, recent understanding of extraneous ammonia and nitrogen oxide contaminations have challenged previously published results. Here, we critically assess the NRR performance of several Fe- and Mo-carbides reported as promising by implementing a strict experimental protocol to minimize the effect of impurities. The successful synthesis of α-Mo2C decorated carbon nanosheets, α-Mo2C nanoparticles, θ-Fe3C nanoparticles, and χ-Fe5C2 nanoparticles was confirmed by X-ray diffraction, scanning and transmission electron microscopy, and X-ray photoelectron and Mössbauer spectroscopy. After performing NRR chronoamperometric tests with the synthesized materials, the ammonia concentrations varied between 37 and 124 ppb and are in close proximity with the estimated ammonia background level. Notwithstanding the impracticality of these extremely low ammonia yields, the observed ammonia did not originate from the electrochemical nitrogen reduction but from unavoidable extraneous ammonia and NOx impurities. These findings are in contradiction with earlier literature studies and show that these carbide materials are not active for the NRR under the employed conditions. This further emphasizes the importance of a strict protocol in order to distinguish between a promising NRR catalyst and a false positive.","ammonia; carbide; electrocatalysis; impurities; iron; molybdenum; nitrogen reduction reaction","en","journal article","","","","","","","","","","","Large Scale Energy Storage","","",""
"uuid:7bd8a4d5-2ea3-41fd-afc8-57900920035b","http://resolver.tudelft.nl/uuid:7bd8a4d5-2ea3-41fd-afc8-57900920035b","Hefty Algebras: Modular Elaboration of Higher-Order Algebraic Effects","Poulsen, C.B. (TU Delft Programming Languages); van der Rest, C.R. (TU Delft Programming Languages)","","2023","Algebraic effects and handlers is an increasingly popular approach to programming with effects. An attraction of the approach is its modularity: effectful programs are written against an interface of declared operations, which allows the implementation of these operations to be defined and refined without changing or recompiling programs written against the interface. However, higher-order operations (i.e., operations that take computations as arguments) break this modularity. While it is possible to encode higher-order operations by elaborating them into more primitive algebraic effects and handlers, such elaborations are typically not modular. In particular, operations defined by elaboration are typically not a part of any effect interface, so we cannot define and refine their implementation without changing or recompiling programs. To resolve this problem, a recent line of research focuses on developing new and improved effect handlers. In this paper we present a (surprisingly) simple alternative solution to the modularity problem with higher-order operations: we modularize the previously non-modular elaborations commonly used to encode higher-order operations. Our solution is as expressive as the state of the art in effects and handlers.","Agda; Algebraic Effects; Dependent Types; Modularity; Reuse","en","journal article","","","","","","","","","","","Programming Languages","","",""
"uuid:c20e13d9-14fb-48ea-94e1-df74d47a73a5","http://resolver.tudelft.nl/uuid:c20e13d9-14fb-48ea-94e1-df74d47a73a5","Grammatical-Evolution-based parameterized Model Predictive Control for urban traffic networks","Jeschke, J.M. (TU Delft Team Bart De Schutter; CGI Nederland B.V); Sun, D. (TU Delft Team Bart De Schutter); Jamshidnejad, A. (TU Delft Control & Operations; TU Delft Control & Simulation); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2023","While Model Predictive Control (MPC) is a promising approach for network-wide control of urban traffic, the computational complexity of the, often nonlinear, online optimization procedure is too high for real-time implementations. In order to make MPC computationally efficient, this paper introduces a parameterized MPC (PMPC) approach for urban traffic networks that uses Grammatical Evolution to construct continuous parameterized control laws using an effective simulation-based training framework. Furthermore, a projection-based method is proposed to remove the nonlinear constraints that are imposed on the parameters of the parameterized control laws and to guarantee the feasibility of the solution of the MPC optimization problem. The performance and computational efficiency of the constructed parameterized control laws are compared to those of a conventional MPC controller in an extensive simulation-based case study. The results show that the parameterized control laws, which are automatically constructed using Grammatical Evolution, decrease the computational complexity of the online optimization problem by more than 80% with a decrease in performance by less than 10%.","Grammatical Evolution; Model Predictive Control; Parameterized controller; Urban traffic control","en","journal article","","","","","","","","","","Control & Operations","Team Bart De Schutter","","",""
"uuid:084660bf-bf9a-4df8-bd62-c242a534d375","http://resolver.tudelft.nl/uuid:084660bf-bf9a-4df8-bd62-c242a534d375","Finding shortest and nearly shortest path nodes in large substantially incomplete networks by hyperbolic mapping","Kitsak, M.A. (TU Delft Network Architectures and Services; Northeastern University); Ganin, Alexander (University of Virginia; U.S. Army Engineer Research and Development Center); Elmokashfi, Ahmed (Simula Metropolitan Center for Digital Engineering); Cui, Hongzhu (Worcester Polytechnic Institute; Columbia University); Eisenberg, Daniel A. (Naval Post Graduate School of Engineering and Applied Sciences); Alderson, David L. (Naval Post Graduate School of Engineering and Applied Sciences); Korkin, Dmitry (Worcester Polytechnic Institute); Linkov, Igor (U.S. Army Engineer Research and Development Center)","","2023","Dynamic processes on networks, be it information transfer in the Internet, contagious spreading in a social network, or neural signaling, take place along shortest or nearly shortest paths. Computing shortest paths is a straightforward task when the network of interest is fully known, and there are a plethora of computational algorithms for this purpose. Unfortunately, our maps of most large networks are substantially incomplete due to either the highly dynamic nature of networks, or high cost of network measurements, or both, rendering traditional path finding methods inefficient. We find that shortest paths in large real networks, such as the network of protein-protein interactions and the Internet at the autonomous system level, are not random but are organized according to latent-geometric rules. If nodes of these networks are mapped to points in latent hyperbolic spaces, shortest paths in them align along geodesic curves connecting endpoint nodes. We find that this alignment is sufficiently strong to allow for the identification of shortest path nodes even in the case of substantially incomplete networks, where numbers of missing links exceed those of observable links. We demonstrate the utility of latent-geometric path finding in problems of cellular pathway reconstruction and communication security.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Network Architectures and Services","","",""
"uuid:55fc7798-8be5-44b1-82cb-38fd07c9addd","http://resolver.tudelft.nl/uuid:55fc7798-8be5-44b1-82cb-38fd07c9addd","Experimental and numerical investigation into the drag performance of dimpled surfaces in a turbulent boundary layer","van Campenhout, O.W.G. (TU Delft Aerodynamics; Dimple Aerospace B.V.); van Nesselrooij, M. (TU Delft Aerodynamics; Dimple Aerospace B.V.); Lin, Y. (TU Delft Aerodynamics); Casacuberta Puig, J. (TU Delft Aerodynamics); van Oudheusden, B.W. (TU Delft Aerodynamics); Hickel, S. (TU Delft Aerodynamics)","","2023","Although several previous studies have reported a potential drag-reducing effect of dimpled surfaces in turbulent boundary layers, there is a lack of replicability across experiments performed by different research groups. To contribute to the dialogue, we scrutinize one of the most studied dimple geometries reported in the literature, which has a dimple diameter of 20 mm and a depth of 0.5 mm. There is no general consensus in literature on the drag-reduction performance of this particular dimple geometry, with some studies suggesting a drag reduction, while others report a drag increase. The present combined experimental and numerical study comprises two sets of wind tunnel experiments and a well-resolved large-eddy simulation. The wind tunnel experiments and the large-eddy simulation both depict a total drag increase of around 1%–2% compared to the flat reference case. This finding agrees with a recent study by Spalart et al. (2019). Furthermore, the present wind tunnel experiments have shed light on a plausible reason behind the discrepancy between the study by Spalart et al. (2019) and earlier results from van Nesselrooij et al. (2016). Lastly, the large-eddy simulation results reveal that the pressure drag is the main contributor to the increase in the total drag of the dimpled surface. We believe that these results will contribute to a new consensus on the drag performance of this dimple geometry.","Dimpled surface; Drag reduction; Large-eddy simulation; Turbulent boundary layer; Wind tunnel experiment","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:adf5cb35-7b04-42c3-ba83-543c94ea6b71","http://resolver.tudelft.nl/uuid:adf5cb35-7b04-42c3-ba83-543c94ea6b71","Anharmonicity in bcc refractory elements: A detailed ab initio analysis","Srinivasan, P. (TU Delft (OLD) MSE-7; University of Stuttgart); Shapeev, Alexander (Skolkovo Institute of Science and Technology); Neugebauer, Jörg (Max-Planck-Institut für Eisenforschung); Körmann, F.H.W. (TU Delft Team Marcel Sluiter; Max-Planck-Institut für Eisenforschung); Grabowski, Blazej (University of Stuttgart)","","2023","Explicit anharmonicity, defined as the vibrational contribution beyond the quasiharmonic approximation, is qualitatively different between the group V and group VI bcc refractory elements. Group V elements show a small and mostly negative anharmonic entropy, whereas group VI elements have a large positive anharmonic entropy, strongly increasing with temperature. Here, we explain this difference utilizing highly accurate anharmonic free energies and entropies from ab initio calculations for Nb and Ta (group V), and Mo and W (group VI). The numerically calculated entropies are in agreement with prior experimental data. The difference in behavior between the two sets of elements arises not from their high-temperature behavior but rather from the 0K quasiharmonic reference state. We understand this by analyzing the 0K and the high-temperature phonon density of states and the electronic density of states. The qualitative difference disappears when the anharmonicity is instead referenced with a high-temperature effective harmonic potential. However, even for an optimized effective harmonic reference, the remaining effective anharmonicity is significant. The reason is that the anharmonicity in the bcc systems - carried by asymmetric distributions in the nearest neighbors - can never be accounted for by a harmonically restricted potential.","","en","journal article","","","","","","","","","","","(OLD) MSE-7","","",""
"uuid:df73715a-0d66-43ef-931d-a8777fd53c82","http://resolver.tudelft.nl/uuid:df73715a-0d66-43ef-931d-a8777fd53c82","Discharge performance of a high temperature phase change material with low-cost wire mesh","Opolot, Michael (University of Queensland); Zhao, Chunrong (University of Queensland); Keane, Partrick F. (University of South Australia); Liu, Ming (University of South Australia); Mancin, Simone (Università degli Studi di Padova); Bruno, Frank (University of South Australia); Hooman, K. (TU Delft Process and Energy)","","2023","Thermal energy storage is increasingly needed in a sustainable world because of its potential of capturing waste heat and being incorporated in solar power plants. For power generation, in particular, as turbine technology advances, a demand for higher temperature thermal energy storage materials also grows. For this purpose, latent thermal energy storage fits in well since it uses phase change materials (PCMs) which generally have a higher energy density compared to their sensible heat counterparts. In the present study, a eutectic Na2CO3(41.69%)-(33.1%)KCl-(25.21%)NaCl phase change material (PCM) with a melting temperature of 569 ° C was chosen as the storage material to experimentally assess the performance benefit of using a readily available stainless steel (ss304) wire mesh (as the periodic structure) to enhance heat transfer within the domain. In addition, for discharging, a numerical model was developed and compared with the experimental results. Furthermore, for discharging, a numerical investigation of the influence of the heat transfer fluid (HTF) flow-rate to the rate of heat transfer was performed. Overall, it was experimentally observed that the charging time for the composite case was shortened by about 35%, compared to the pure PCM case. For discharging, in the axial direction, the composite solidification time when compared to the pure PCM case was on average 10% shorter. Regarding the radial discharging performance of the composite, there was only about 5% improvement compared to the pure PCM case, which was expected due to the thermal contact resistance in the radial direction. Discharging experimental results were used to validate a discharging numerical model. Discharging results from the model showed that increasing the flow rate of the heat transfer fluid (HTF) reduced the time for solidification. It was observed that for the HTF flow rate of 5 L/min, 10 L/min, 20 L/min and 30 L/min, the discharge time was shortened by 23%, 30%, 33% and 35%, respectively.","Experimental testing; Heat transfer; Heat transfer enhancement; Numerical modelling; Phase change materials","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-14","","Process and Energy","","","",""
"uuid:66fe2b55-08d0-4dcf-aeab-61d725bd7258","http://resolver.tudelft.nl/uuid:66fe2b55-08d0-4dcf-aeab-61d725bd7258","Investigating the characteristics of residential end uses of water: A worldwide review","Mazzoni, Filippo (University of Ferrara); Alvisi, Stefano (University of Ferrara); Blokker, E.J.M. (TU Delft Sanitary Engineering; KWR Water Research Institute); Buchberger, Steven G. (University of Cincinnati); Castelletti, Andrea (Politecnico di Milano); Cominola, Andrea (Technical University of Berlin; Einstein Center Digital Future); Gross, Marie Philine (Technical University of Berlin); Mayer, Peter (Water Demand Management LLC); Steffelbauer, David B. (Kompetenzzentrum Wasser Berlin)","","2023","A detailed characterization of residential water consumption is essential for ensuring urban water systems' capability to cope with changing water resources availability and water demands induced by growing population, urbanization, and climate change. Several studies have been conducted in the last decades to investigate the characteristics of residential water consumption with data at a sufficiently fine temporal resolution for grasping individual end uses of water. In this paper, we systematically review 114 studies to provide a comprehensive overview of the state-of-the-art research about water consumption at the end-use level. Specifically, we contribute with: (1) an in-depth discussion of the most relevant findings of each study, highlighting which water end-use characteristics were so far prioritized for investigation in different case studies and water demand modelling and management studies from around the world; and (2) a multi-level analysis to qualitatively and quantitatively compare the most common results available in the literature, i.e. daily per capita end-use water consumption, end-use parameter average values and statistical distributions, end-use daily profiles, end-use determinants, and considerations about efficiency and diffusion of water-saving end uses. Our findings can support water utilities, consumers, and researchers (1) in understanding which key aspects of water end uses were primarily investigated in the last decades; and (2) in exploring their main features considering different geographical, cultural, and socio-economic regions of the world.","End-use database; Multi-level analysis; Residential end-use study; Residential water consumption; Water end uses","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-12","","","Sanitary Engineering","","",""
"uuid:e048ca06-f709-4cf8-9eac-8c4c3a2dad4e","http://resolver.tudelft.nl/uuid:e048ca06-f709-4cf8-9eac-8c4c3a2dad4e","A changing wave climate in the Mediterranean Sea during 58-years using UERRA-MESCAN-SURFEX high-resolution wind fields","Elshinnawy, Ahmed I. (Universidad de Cantabria; Tanta University); Antolínez, José A. Á. (TU Delft Coastal Engineering)","","2023","This study unravels 58-years (1961–2018) of wind-waves in the Mediterranean Sea (MS). A wave dataset was developed using the wave model WAVEWATCH III forced with the high-resolution (5.5 km) UERRA-MESCAN-SURFEX downscaled wind fields which better contain the imprint of the local geomorphology compared to other, coarser wind datasets used in previous studies. Thus, improving the reliability and characterization of the wind-wave climate in the basin. Validation results revealed a higher performance than previous datasets, particularly on the wave direction (θm), with a bias<1°. Climate variability at seasonal and interannual scales, wind-seas and swells distribution, and long-term trends in storminess and in the mean and extreme regimes were analysed. Results show a slight swell influence over the wind-sea in the hourly spectra at a large portion of the basin, excluding the wave generation areas. We detected that the western MS is the most storminess region with an average of three storms/year. Moreover, the anomalies of the seasonal mean wave direction relative to θm are large (∼60°), with opposing behaviours between the winter and summer. Finally, the long-term trends in the mean and extreme conditions and in storminess are mild with values reaching 6 cm/decade and less than 2% in the absolute value, respectively.","Climate variability; Long-term trends; Mediterranean Sea; Storminess; Wind-sea; Wind-waves","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:ca422fb3-c2db-4eae-b3df-d20fa82b3450","http://resolver.tudelft.nl/uuid:ca422fb3-c2db-4eae-b3df-d20fa82b3450","The Contribution of Sand Ripple and Slope Driven Sediment Flux to Morphologic Change of an Idealized Mound Under Waves","Lee, Seok Bong (Oregon State University); Wengrove, Meagan E. (Oregon State University); de Schipper, M.A. (TU Delft Coastal Engineering); Hopkins, Julia (Northeastern University); Kleinhans, Maarten G. (Universiteit Utrecht); Ruessink, Gerben (Universiteit Utrecht)","","2023","We investigate pathways of sediment diffusion for a Gaussian-shaped sand mound subjected to monochromatic waves. Our unique results nearly close the sediment budget by quantifying each of the sediment transport processes responsible for mound diffusion associated with sediment flux due to slope driven transport and ripple migration. Downslope ripple progression was observed as ripples formed at the mound top advanced down the side slopes in a direction perpendicular to wave propagation. Once ripples formed on the sides of the mounds the ripples became pathways for sediment flux from the top to the bottom of the mound, persisting even after ripples reached the base of the mound as sediment avalanching due to gravity and mound slope. Lateral ripple migration caused ripples to migrate along the sides of the sand mound in a direction parallel to wave propagation. Once ripples reached the base of the mound, lateral migration of ripples caused spreading of sand around the sides of the mound. Lateral ripple migration was largely driven by ripple splitting caused by a large downslope sediment flux from the center of the mound that generated ripples with longer wavelengths than wave orbital hydrodynamics could support. To restore equilibrium between sediment and flow conditions, ripples with longer wavelengths continuously split and migrated laterally around the mound. Our results reflect the importance of slope driven transport, bed fluidization, and ripple dynamics on the larger scale diffusivity and suggest that slope driven and ripple driven sediment fluxes should be more explicitly included in sediment transport formulations.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-11","","","Coastal Engineering","","",""
"uuid:5001674b-3f8d-4de0-8bd0-b269bb046dbc","http://resolver.tudelft.nl/uuid:5001674b-3f8d-4de0-8bd0-b269bb046dbc","Pulmonary toxicity of molybdenum disulphide after inhalation in mice","Sørli, Jorid B. (National Research Centre for the Working Environment, Copenhagen); Jensen, Alexander C.Ø. (National Research Centre for the Working Environment, Copenhagen); Mortensen, Alicja (National Research Centre for the Working Environment, Copenhagen); Szarek, Józef (University of Warmia and Mazury); Gutierrez, Claudia A.T. (University of Copenhagen; National Research Centre for the Working Environment, Copenhagen); Givelet, Lucas (Technical University of Denmark); Loeschner, Katrin (Technical University of Denmark); Loizides, Charis (The Cyprus Insitute); Biskos, G. (TU Delft Atmospheric Remote Sensing; The Cyprus Insitute)","","2023","Molybdenum disulphide (MoS2) is a constituent of many products. To protect humans, it is important to know at what air concentrations it becomes toxic. For this, we tested MoS2 particles by nose-only inhalation in mice. Exposures were set to 13, 50 and 150 mg MoS2/m3 (=8, 30 and 90 mg Mo/m3), corresponding to Low, Mid and High exposure. The duration was 30 min/day, 5 days/week for 3 weeks. Molybdenum lung-deposition levels were estimated based on aerosol particle size distribution measurements, and empirically determined with inductively coupled plasma-mass spectrometry (ICP-MS). Toxicological endpoints were body weight gain, respiratory function, pulmonary inflammation, histopathology, and genotoxicity (comet assay). Acellular reactive oxygen species (ROS) production was also determined. The aerosolised MoS2 powder had a mean aerodynamic diameter of 800 nm, and a specific surface area of 8.96 m2/g. Alveolar deposition of MoS2 in lung was estimated at 7, 27 and 79 µg/mouse and measured as 35, 101 and 171 µg/mouse for Low, Mid and High exposure, respectively. Body weight gain was lower than in controls at Mid and High exposure. The tidal volume was decreased with Low and Mid exposure on day 15. Increased genotoxicity was seen in bronchoalveolar lavage (BAL) fluid cells at Mid and High exposures. ROS production was substantially lower than for carbon black nanoparticles used as bench-mark, when normalised by mass. Yet if ROS of MoS2 was normalised by surface area, it was similar to that of carbon black, suggesting that a ROS contribution to the observed genotoxicity cannot be ruled out. In conclusion, effects on body weight gain and genotoxicity indicated that Low exposure (13 mg MoS2/m3, corresponding to 0.8 mg/m3 for an 8-hour working day) was a No Observed Adverse Effect Concentration (NOAEC,) while effects on respiratory function suggested this level as a Lowest Observed Adverse Effect Concentration (LOAEC).","Comet assay; DNA damage; molybdenum disulfide; MoS2","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:26fcbb6b-ad8a-4921-84c3-7d536fa37e57","http://resolver.tudelft.nl/uuid:26fcbb6b-ad8a-4921-84c3-7d536fa37e57","The Time Scale of Shallow Convective Self-Aggregation in Large-Eddy Simulations Is Sensitive to Numerics","Janssens, M. (TU Delft Atmospheric Remote Sensing; Wageningen University & Research); Vilà-Guerau de Arellano, Jordi (Wageningen University & Research); van Heerwaarden, Chiel C. (Wageningen University & Research); van Stratum, Bart J.H. (Wageningen University & Research); de Roode, S.R. (TU Delft Atmospheric Remote Sensing); Siebesma, A.P. (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI)); Glassmeier, F. (TU Delft Atmospheric Remote Sensing)","","2023","Numerical simulations of the tropical mesoscales often exhibit a self-reinforcing feedback between cumulus convection and shallow circulations, which leads to the self-aggregation of clouds into large clusters. We investigate whether this basic feedback can be adequately captured by large-eddy simulations (LESs). To do so, we simulate the non-precipitating, cumulus-topped boundary layer of the canonical “BOMEX” case over a range of numerical settings in two models. Since the energetic convective scales underpinning the self-aggregation are only slightly larger than typical LES grid spacings, aggregation timescales do not converge even at rather high resolutions (<100 m). Therefore, high resolutions or improved sub-filter scale models may be required to faithfully represent certain forms of trade-wind mesoscale cloud patterns and self-aggregating deep convection in large-eddy and cloud-resolving models, and to understand their significance relative to other processes that organize the tropical mesoscales.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:9c6406bd-f791-489d-a99f-682013316b4d","http://resolver.tudelft.nl/uuid:9c6406bd-f791-489d-a99f-682013316b4d","Experimental investigation of soil–structure interface behaviour under monotonic and cyclic thermal loading","Guo, Y. (TU Delft Geo-engineering; Southeast University); Golchin, A. (TU Delft Geo-engineering); Hicks, M.A. (TU Delft Geo-engineering); Liu, Songyu (Southeast University); Zhang, Guozhu (Southeast University); Vardon, P.J. (TU Delft Geo-engineering)","","2023","The effect of temperature on the monotonic and cyclic shearing response of a soil–structure interface is of critical importance for the application of thermal-active geo-structures. To investigate this, soils and soil–concrete interfaces were comprehensively tested with a temperature-controlled direct shear device under both fixed temperatures and thermal/mechanical cycles within the range of 2–38 °C. Monotonic and cyclic shearing with various boundary conditions, including constant normal load (CNL), constant normal stiffness (CNS) and constant volume (CV), were conducted to resemble the conditions that thermal-active-geo-structures may experience. The strength properties of the sand, clay, and sand–concrete and clay–concrete interfaces were partially influenced by heating and cooling under all boundary conditions. However, several effects were observed which could affect the performance of thermo-active structures. Heating cycles caused the clay–concrete interface to be overconsolidated, implying a lower excess pore pressure would be generated during shearing. The cyclic CNS tests suggested that the interface strength could degrade due to (thermally induced) cyclic shear displacements, with this effect strongly related to the state of the soil rather than the temperature directly. In these tests, the medium-dense sand–concrete interface degraded to almost zero shear strength after 5 cycles, whereas the clay–concrete interface asymptotically degraded to around 60% of its strength after 10 cycles.","Laboratory test; Shear strength; Soil–structure interaction; Temperature effects","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:bf4b667a-7910-41d7-82be-6277ba76a408","http://resolver.tudelft.nl/uuid:bf4b667a-7910-41d7-82be-6277ba76a408","Capturing the uncertainty about a sudden change in the properties of time series with confidence curves","Zhou, C. (TU Delft Water Resources); van Nooijen, R.R.P. (TU Delft Water Resources); Kolechkina, A.G. (TU Delft Team Bart De Schutter)","","2023","The representation of uncertainty in results is an important aspect of statistical techniques in hydrology and climatology. Hypothesis tests and point estimates are not well suited for this purpose. Other statistical tools, such as confidence curves, are better suited to represent uncertainty. Therefore three parametric methods to construct confidence curves for the location of a sudden change in the properties of a time series, a change point (CP), are analyzed for three distributions: log-normal, gamma, and Gumbel. Two types of change are considered: a change in the mean and a change in the standard deviation. A question that confidence curves do not answer is how likely the null hypothesis of ‘no change’ is. A possible statistic to help answer this question, denoted by Un, is introduced and analyzed. It is compared to the statistic that underlies the Pettitt test. All methods perform well in terms of coverage and confidence set size. One method is based on the profile likelihood for a CP, the other two, first defined in this article, on the pseudolikelihood for a CP. The main advantage of the pseudolikelihood over the profile likelihood lies in the much lower computational cost. The confidence curves generated by the three methods are very similar. In a limited test on time series of measurements found in the literature, the methods gave results that largely matched those reported elsewhere. Some results are also given for an order one autoregressive series with a lognormal marginal distribution.","Change point detection; Confidence curves; L-moments; Likelihood; Method of moments; Pseudolikelihood; Structural break; Uncertainty","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:8b6dfc5e-de4a-45c0-8bc8-8b595193629e","http://resolver.tudelft.nl/uuid:8b6dfc5e-de4a-45c0-8bc8-8b595193629e","Variable temperature thresholds of melt pond formation on Antarctic ice shelves","van Wessem, J. Melchior (Universiteit Utrecht); van den Broeke, Michiel R. (Universiteit Utrecht); Wouters, B. (TU Delft Physical and Space Geodesy; Universiteit Utrecht); Lhermitte, S.L.M. (TU Delft Mathematical Geodesy and Positioning; Katholieke Universiteit Leuven)","","2023","It has been argued that the −5 °C annual mean 2 m air temperature isotherm defines a limit of ice shelf viability on the Antarctic Peninsula as melt ponding increases at higher temperatures. It is, however, presently unknown whether this threshold can also be applied to other Antarctic ice shelves. Here we use two present-day and three future high-resolution Antarctic climate simulations to predict warming thresholds for Antarctic ice shelf melt pond formation on the basis of the melt-over-accumulation ratio. The associated warming thresholds match well with observed melt pond volumes and are found to be spatially highly variable and controlled by snow accumulation. For relatively wet ice shelves, the −5 °C temperature threshold was confirmed; but cold and dry ice shelves such as Amery, Ross and Filchner-Ronne are more vulnerable than previously thought, with threshold temperatures well below −15 °C. Coupled Model Intercomparison Project Phase 6 models predict that towards the end of this century these thresholds can be reached on many ice shelves, even on cold ice shelves and under moderate warming scenarios.","","en","journal article","","","","","","","","","","","Physical and Space Geodesy","","",""
"uuid:f2b9150e-7e5e-4f50-a966-754a02fa1d37","http://resolver.tudelft.nl/uuid:f2b9150e-7e5e-4f50-a966-754a02fa1d37","Physically plausible propeller noise prediction via recursive corrections leveraging prior knowledge and experimental data","Kalikatzarakis, Miltiadis (University of Strathclyde); Coraddu, A. (TU Delft Ship Design, Production and Operations); Atlar, Mehmet (University of Strathclyde); Gaggero, Stefano (University of Genova); Tani, Giorgio (University of Genova); Oneto, Luca (University of Genova)","","2023","For propeller-driven vessels, cavitation is the most dominant noise source producing both structure-borne and radiated noise impacting wildlife, passenger comfort, and underwater warfare. Physically plausible and accurate predictions of the underwater radiated noise at design stage, i.e., for previously untested geometries and operating conditions, are fundamental for designing silent and efficient propellers. State-of-the-art predictive models are based on physical, data-driven, and hybrid approaches. Physical models (PMs) meet the need for physically plausible predictions but are either too computationally demanding or not accurate enough at design stage. Data-driven models (DDMs) are computationally inexpensive ad accurate on average but sometimes produce physically implausible results. Hybrid models (HMs) combine PMs and DDMs trying to take advantage of their strengths while limiting their weaknesses but state-of-the-art hybridisation strategies do not actually blend them, failing to achieve the HMs full potential. In this work, for the first time, we propose a novel HM that recursively correct a state-of-the-art PM by means of a DDM which simultaneously exploits the prior physical knowledge in the definition of its feature set and the data coming from a vast experimental campaign at the Emerson Cavitation Tunnel on the Meridian standard propeller series behind different severities of the axial wake. Results in different extrapolating conditions, i.e., extrapolation with respect to propeller rotational speed, wakefield, and geometry, will support our proposal both in terms of accuracy and physical plausibility.","Extrapolation; Hybrid models; Meridian standard propeller series; Physical plausibility; Prior knowledge; Propeller cavitation noise; Recursive corrections","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:83cffb35-e4ff-4814-bf32-09590dc342ea","http://resolver.tudelft.nl/uuid:83cffb35-e4ff-4814-bf32-09590dc342ea","Development and evaluation of a human machine interface to support mode awareness in different automated driving modes","Tinga, Angelica M. (SWOV Institute for Road Safety Research); van Zeumeren, I.M. (TU Delft Design Aesthetics); Christoph, Michiel (SWOV Institute for Road Safety Research); van Grondelle, E.D. (TU Delft Design Aesthetics); Cleij, Diane (SWOV Institute for Road Safety Research); Aldea, Anna (SWOV Institute for Road Safety Research); van Nes, C.N. (TU Delft Applied Ergonomics and Design; SWOV Institute for Road Safety Research)","","2023","With increasing implementation of automated driving technology it is expected that different automation modes will be present within the same vehicle and within a single trip. At all times during automated driving the driver needs to have ‘mode awareness’, which is an understanding of the automation mode and the corresponding responsibilities. Yet, research on HMI design to support mode awareness for multiple automation modes within a single vehicle and within a single trip is currently limited. The current work describes the development and evaluation of a Human Machine Interface (HMI) to support mode awareness while driving in different automation modes. The work exists of three phases: Phase 1 defines functional requirements for HMI design based on literature review and 5 experimental studies including 146 participants. Phase 2 implements the functional requirements in HMI design through expert and focus group sessions. Phase 3 evaluates and improves upon the HMI design employing virtual reality and the RITE (Rapid Iterative Testing and Evaluation) method with 18 participants. The result is a continuous and holistic HMI design creating mode awareness through ambience. Findings from Phase 3 and previous research indicate that this HMI is comprehended well, with a relatively low task load, and with a good experienced system usability. It is important to additionally evaluate the HMI design resulting from the current study in driving simulators and in on-road tests. Such tests will provide an opportunity to verify and expand on the current study's findings and to contribute to guidelines for HMI design.","Automated driving; HMI; Holistic design; Human factors in vehicle automation; Mode awareness; Rapid iterative testing and evaluation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design Aesthetics","","",""
"uuid:b932a557-498e-4d10-adef-58443eed7734","http://resolver.tudelft.nl/uuid:b932a557-498e-4d10-adef-58443eed7734","Data-driven assisted model specification for complex choice experiments data: Association rules learning and random forests for Participatory Value Evaluation experiments","Hernández, J.I. (TU Delft Transport and Logistics); van Cranenburgh, S. (TU Delft Transport and Logistics); Chorus, C.G. (TU Delft Industrial Design Engineering); Mouter, N. (TU Delft Transport and Logistics)","","2023","We propose three procedures based on association rules (AR) learning and random forests (RF) to support the specification of a portfolio choice model applied in data from complex choice experiment data, specifically a Participatory Value Evaluation (PVE) choice experiment. In a PVE choice experiment, respondents choose a combination of alternatives, subject to a resource constraint. We combine a methodological-iterative (MI) procedure with AR learning and RF models to support the specification of parameters of a portfolio choice model. Additionally, we use RF model predictions to contrast the validity of the behavioural assumptions of different specifications of the portfolio choice model. We use data of a PVE choice experiment conducted to elicit the preferences of Dutch citizens for lifting COVID-19 measures. Our results show model fit and interpretation improvements in the portfolio choice model, compared with conventional model specifications. Additionally, we provide guidelines on the use of outcomes from AR learning and RF models from a choice modelling perspective.","Association rules; Choice experiments; Machine learning; Participatory value evaluation; Random forests","en","journal article","","","","","","","","","Industrial Design Engineering","","Transport and Logistics","","",""
"uuid:534eaa6a-b1bc-4ffc-b988-0eff0f226497","http://resolver.tudelft.nl/uuid:534eaa6a-b1bc-4ffc-b988-0eff0f226497","The influence of Low Impact Development (LID) on basin runoff in a half-urbanized catchment: A case study in San Antonio, Texas","Sui, Xinxin (Student TU Delft; National University of Singapore); van de Ven, F.H.M. (TU Delft Water Resources; Deltares)","","2023","Low Impact Development (LID) was promoted as an alternative to conventional urban drainage methods. The effects of LID at the site or urban scales have been widely evaluated. This project aims to investigate the impact of LID implementation on basin runoff at a regional scale in a half-urbanized catchment, particularly the overlap of urban and rural sub-flows at peak times. A SUPERFLEX conceptual model framework is adapted as a semi-distributed model to simulate the rainfall-runoff relationship in the catchment for San Antonio, Texas, as a case study. Scenario analyses of both urban development and LID implementation are conducted. Results show that (1) the infill urban development strategy benefits more from runoff control than the sprawl urban development; (2) in non-flood season, permeable pavements, bioretention cells, and vegetated swales decrease peak runoff significantly, and permeable pavements, bioretention cells, and green roofs are good at runoff volume retention; (3) contrary to the general opinion about the peak reduction effect of LID, for a partly urbanized, partly rural basin, the LID implementation delays urban peaks and may cause larger stacking of rural and urban peak runoffs, leading to larger basin peaks under extremely wet conditions.","Low Impact Development; Peak flows stacking; Rainfall-runoff relationship; SUPERFLEX; Urbanization","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:0230a5ba-8156-45d9-bef2-542b5b36bb13","http://resolver.tudelft.nl/uuid:0230a5ba-8156-45d9-bef2-542b5b36bb13","Deadly meals: The influence of personal and job factors on burnout and risky riding behaviours of food delivery motorcyclists","Quy Nguyen-Phuoc, Duy (The University of Da Nang); Ngoc Thi Nguyen, Ly (The University of Da Nang); Ngoc Su, Diep (The University of Da Nang); Nguyen Hoang Minh, M. (University of Transport and Communications); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science)","","2023","Food delivery riders are overrepresented in road crashes. Arguably, the increased risk experienced by food delivery riders is linked to the working conditions offered by the “gig economy”. Research is needed to fully understand the safety-related issues this vulnerable group of road users face daily and identify opportunities for counter measures. In this investigation, we proposed a new theoretical model to explain the risky behaviour of food delivery motorcyclists based on the well-established Job Demands-Resources (JD-R) model. Following the JD-R, we considered the impact of job demands (job aspects that require sustained effort) and job resources (job aspects that help achieve work-related goals, reduce job demands and stimulate personal development) on the risky riding behaviours of food delivery motorcyclists. The JD-R model was also extended with three constructs, including personal demands, personal resources, and perceived safety risk to explore the role of individuals' within-person aspects. The developed model was tested using data collected from 554 food delivery riders in the two biggest cities in Vietnam. The results showed that job burnout, job resources, and personal demands directly impact risky riding behaviours, in which job burnout was the most significant predictor. Constructs such as job demands, personal resources, and perceived safety risk were not significant predictors of risky riding behaviours. This research shows that organisation-level factors could be modified to prevent risky riding behaviour. The gig economy industry can do much more to improve the safety of delivery riders.","Burnout; Gig economy; Human factors; Riders; Risky behaviour; Road safety; Vulnerable road users","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Safety and Security Science","","",""
"uuid:deb108c5-78cc-479e-bc42-5b5b708c19c1","http://resolver.tudelft.nl/uuid:deb108c5-78cc-479e-bc42-5b5b708c19c1","Speed and heading control-based collision avoidance for a ship towing system","Zhang, Lei (Wuhan University of Technology; School of Navigation); Liu, Wenjie (Wuhan University of Technology); Du, Zhe (TU Delft Transport Engineering and Logistics); Du, Lei (Wuhan University of Technology); Li, Xiaobin (Wuhan University of Technology)","","2023","Collision avoidance is a priority task for ensuring the safety of a maritime transportation system. However, for a ship towing system, which is characterized by multiple vessels and physical connections, the research works about collision avoidance is limited. Thus, this paper proposes a speed and heading control-based conflict resolution of a ship towing system for collision avoidance. Two systems compose the core of the proposed conflict resolution: the risk assessment system and the coordination control system. The risk assessment is to identify the conflict and determine the time of avoiding action by calculating the index of conflict and the available maneuvering margin. The coordination control is based on the model predictive control (MPC) strategy to cooperatively control two tugboats for regulating the position, heading, and speed of the manipulated ship. Simulation experiments show that according to the index of conflict, the time cost, and the fuel consumption, a selected operation of combined heading and speed can be recommended for a ship towing system to provide a safer and more efficient towage manipulation.","Collision avoidance; Conflict resolution; Model predictive control; Ship towing system","en","journal article","","","","","","","","","","","Transport Engineering and Logistics","","",""
"uuid:90cd9fd8-110b-4ab0-8b60-1d6ae8d5cbe8","http://resolver.tudelft.nl/uuid:90cd9fd8-110b-4ab0-8b60-1d6ae8d5cbe8","Secure genotype imputation using homomorphic encryption","Zhou, Junwei (Wuhan University of Technology); Lei, Botian (Wuhan University of Technology); Lang, Huile (Wuhan University of Technology); Panaousis, Emmanouil (University of Greenwich); Liang, K. (TU Delft Cyber Security); Xiang, Jianwen (Wuhan University of Technology)","","2023","Genotype imputation estimates missing genotypes from the haplotype or genotype reference panel in individual genetic sequences, which boosts the potential of genome-wide association and is essential in genetic data analysis. However, the genetic sequences involve people's privacy, confirming an individual's identification and even disease information. This work proposes a secure genotype imputation model, which uses a linear regression model and the homomorphic encryption scheme over ciphertext to impute missing genotypes. The inference model is trained with float plaintext parameters, which are round into integers to avoid high complexity homomorphic evaluation on float number operations without bootstrapping operations. Even though the rounding parameters in the inference model are not the same as those in the trained model, We find that it will no effect on the outcome of the homomorphic prediction. Thus, a high-efficiency genotype imputation inference model over the ciphertext is obtained while keeping the high-security level. The simulation results indicate that the accuracy of the secure inference model is almost the same as the original model trained on float parameters. The secure inference model's accuracy is 98.6% for a single genotype.","Genetic security; Genotype imputation; Homomorphic encryption; Privacy computing; Privacy-preserving","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Cyber Security","","",""
"uuid:2b016eb2-8fa2-4939-afba-ab5782696816","http://resolver.tudelft.nl/uuid:2b016eb2-8fa2-4939-afba-ab5782696816","Objective speech outcomes after surgical treatment for oral cancer: An acoustic analysis of a spontaneous speech corpus containing 32.850 tokens","Tienkamp, Thomas B. (Rijksuniversiteit Groningen); van Son, Rob J.J.H. (Universiteit van Amsterdam; Netherlands Cancer Institute); Halpern, B.M. (TU Delft Multimedia Computing; Universiteit van Amsterdam; Netherlands Cancer Institute)","","2023","Introduction: Surgical treatment for oral cancer leads to lasting changes of the vocal tract and individuals treated for oral cancer (ITOC) often experience speech problems. The purpose of this study was to analyse the acoustic properties of the spontaneous speech of individuals who were surgically treated for oral cancer. It was investigated (1) how key spectral measures of articulation change post-treatment; (2) whether changes are more related to target manner or place of articulation; and (3) how spectral measures develop at various time points following treatment. Method: A corpus consisting of 32.850 tokens was constructed by manually segmenting the speech of five (four female - one male) American English speaking ITOC. General acoustic characteristics (duration and spectral tilt), plosives (burst frequency), fricatives (centre of gravity and spectral skewness), and vowels (F1 and F2) were analysed using linear mixed effects regression and compared to control speech. Moreover, a within speaker analysis was performed for speakers with multiple recordings. Results: Manner of articulation is more predictive of post-treatment changes than place of articulation. Compared to controls, ITOC produced the fricatives /f, v, θ, ð, s, z, ʃ, ʒ/ with a lower centre of gravity while no differences were found for plosives and vowels. Longitudinal analyses show high within-speaker variation, but general improvements one-year post-treatment. Conclusions: Surgical oral cancer treatment changes the spectral properties of speech. Fricatives with varying manner of articulations were distorted, suggesting that manner of articulation is more predictive than place of articulation in identifying general problem areas for ITOC.","Acoustic analysis; Oral cancer; Spontaneous speech; Tongue reconstruction","en","journal article","","","","","","","","","","","Multimedia Computing","","",""
"uuid:9fc92de9-2f32-426e-b79b-cf60b1e72790","http://resolver.tudelft.nl/uuid:9fc92de9-2f32-426e-b79b-cf60b1e72790","Mixed-mode fatigue crack propagation simulation by means of Geq and walker models of the structural steel S355","Xin, Haohui (Xi’an Jiaotong University); Liu, Jielin (Xi’an Jiaotong University); Correia, J.A.F.O. (TU Delft Engineering Structures; Xi’an Jiaotong University; University of Porto); Berto, Filippo (Xi’an Jiaotong University; Norwegian University of Science and Technology (NTNU)); Veljkovic, M. (TU Delft Steel & Composite Structures); Qian, Guian (Beijing Institute of Mechanical and Electrical Engineering)","","2023","In this paper, a numerical simulation method of mixed-mode fatigue crack propagation was explored using the extended finite element method (XFEM) and the Virtual Crack Closure Technique (VCCT). Both 2D and 3D numerical models were selected to simulate the fatigue crack propagation of steel specimens. Two coefficients were proposed to calculate the equivalent energy release rate (Geq) for a better simulation of the mixed-mode fatigue crack propagation of S355 steel. The Walker equation and the calculation formula of Geq were realized by a user-defined subroutine. A set of optimal correction coefficients of mode II energy release rate (GII) and mode III energy release rate (GIII) were quantitatively comparing the simulation results and test data. The results will contribute to fatigue crack propagation prediction of steel structures in the civil engineering field.","Equivalent energy release rate (); Extended finite element method (XFEM); Mixed mode fatigue crack propagation; Virtual Crack Closure Technology (VCCT); Walker equation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-09","","Engineering Structures","Steel & Composite Structures","","",""
"uuid:a074ac6c-a104-4ca3-9df6-80bd20047cd8","http://resolver.tudelft.nl/uuid:a074ac6c-a104-4ca3-9df6-80bd20047cd8","1st international round robin test on safety characteristics of hybrid mixtures","Spitzer, Stefan H. (Federal Institute for Materials Research and Testing Berlin; Physikalisch-Technische Bundesanstalt); Askar, Enis (Federal Institute for Materials Research and Testing Berlin); Benke, Alexander (Federal Institute for Materials Research and Testing Berlin); Cloney, Chris (DustEx Research Ltd, London Ontario); D'Hyon, Sebastian (Simtars Sponcom, Queensland); Dufaud, Olivier (Lorraine University); Dyduch, Zdzislaw (GIG Research Institut); Gabel, Dieter (Otto-von-Guericke University); Taveau, J.R. (TU Delft Fluid Mechanics; Jensen Hughes, Inc.)","","2023","There is no applicable existing standard for the determination of safety characteristics for hybrid mixtures. While developing a new standard in a joint research project in Germany first results from parameter studies led to a standard procedure that can be adopted by laboratories that are already testing dusts in the so called 20L-sphere with as little additional effort as necessary. In fact, one of the main objectives of this research project was to keep modifications and adjustments from the generally accepted dust testing procedures as easy and minimal as possible so as to limit potential deviations from one laboratory to another. In this first round robin test on hybrid mixtures ever, with methane as gas component and a specific corn starch as dust sample, the practicality of the whole procedure, the scattering of the results and the deviation between the testing apparatuses is investigated. This paper summarizes the experimental procedure adopted and objectives of the first round-robin phase involving three of the four original German companies, plus volunteering laboratories from Australia, Belgium, Czech Republic, France, Poland and P.R. China. The results will have an impact on the new standard and may lead to robust data for later simulation purposes.","20L-sphere; Hybrid mixtures; Round robin test; Turbulent combustion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Fluid Mechanics","","",""
"uuid:3a13b6d2-d035-490d-bc86-2bdfbbd8f635","http://resolver.tudelft.nl/uuid:3a13b6d2-d035-490d-bc86-2bdfbbd8f635","Irrigation development under uncertainty: A call for adaptive investment pathways","Prasad, Pooja (IHE Delft Institute for Water Education); Duker, Annelieke (IHE Delft Institute for Water Education); de Fraiture, Charlotte (Wageningen University & Research; IHE Delft Institute for Water Education); van der Zaag, P. (TU Delft Water Resources; IHE Delft Institute for Water Education)","","2023","There is an urgent need in sub-Saharan Africa (SSA) to enhance irrigation access to meet the challenges of growing population and climate risk. To achieve this, big investments are currently planned in large irrigation infrastructure. We believe there is danger in following this conventional approach, which requires big lumpsum investments, locking large capital into projects that do not adapt to deep uncertainties from climatic or socio-political factors. Instead, in this Perspective article, we propose an alternate “adaptive investment pathways” (AdIP) approach for planning step-wise investments towards desired objectives, implemented progressively depending on how the future unfolds, in order to gain flexibility. AdIP extends the adaptation pathways concept, which refers to a sequence of actions to be taken in response to a changing reality, and applies it to the context of development under uncertainty. Monitoring and learning is at the heart of this approach, which ensures that the plan adapts as new knowledge becomes available. Thus, AdIP internalizes risk and reduces chances of failures. For financial institutions backing development projects, following a pathway of smaller de-centralized investments lowers risk and incorporates a learning approach that allows re-thinking and adapting along the path. We illustrate the AdIP approach using the case of ephemeral sand river based small-scale irrigation in the drylands of SSA. We conclude that in face of deep uncertainties, the path to successful irrigation development in SSA requires a shift from making few large upfront investments in large-scale projects to making large numbers of smaller investments that assure flexibility.","Adaptation pathways; Development; Investments; Irrigation; Sub-Saharan Africa; Uncertainty","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:24a89895-e780-4446-a51b-40825d08eb4e","http://resolver.tudelft.nl/uuid:24a89895-e780-4446-a51b-40825d08eb4e","Real-time control of combined sewer systems: Risks associated with uncertainties","van der Werf, Job (TU Delft Sanitary Engineering); Kapelan, Z. (TU Delft Sanitary Engineering); Langeveld, J.G. (TU Delft Sanitary Engineering; Partners4UrbanWater)","","2023","Model Predictive Control (MPC) of combined sewer systems can reduce environmental degradation caused by uncontrolled overflows. However, practical uncertainties are often neglected when assessing the potential of MPC strategies. This paper aims to understand the risks associated with using a non-perfect internal MPC-model, real precipitation forecast, and realistic dynamic system capacity fluctuations. An MPC with the objective to reduce the total combined sewer overflow (CSO) volume was implemented in the case study of Eindhoven in the Netherlands where highly sensitive waterways receive the sewer overflows. Two types of risks were identified: relative system performance loss and operative deterioration. The former entails a practical decrease in efficacy of controlling CSO spills compared to the theoretical situation, whereas the latter describes the aggravation of environmental pollution compared to a static form of system operation. The results obtained demonstrate that precipitation forecast uncertainty is associated with a small relative system performance loss. Opposite to this, significant performance loss was observed as a consequence of uncertainties in the internal MPC model and the actual sewer system capacity available. The latter caused additional combined sewer overflows compared to a statically optimised control for smaller precipitation events.","Combined sewer overflows; Model predictive control; Operational optimisation; Risk analysis; Uncertainty","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:72843934-0cc4-477b-9205-84d3316c2ed0","http://resolver.tudelft.nl/uuid:72843934-0cc4-477b-9205-84d3316c2ed0","A simple method for sizing and estimating the performance of PV systems in trolleybus grids","Diab, I. (TU Delft DC systems, Energy conversion & Storage); Saffirio, Alice (Student TU Delft); Chandra Mouli, G.R. (TU Delft DC systems, Energy conversion & Storage); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","Solar PV systems have so far been the source of choice for the sustainable supply of urban electric transport networks—like trams and trolleybus grids. However, no consensus exists yet on the placement or sizing of PV systems at the traction substations, and no method is available for easy estimation of the PV system utilization performance. The latter is crucial for understanding the need for storage, grid exchange, or even power curtailment, and has therefore a direct impact on the technical and financial feasibility of the project. This paper looks at 11 Key Performance Indicators (KPI) that are available to trolleybus operators, in two PV case studies on Arnhem (NL) and Gdynia (PL), using verified and validated bus, grid, and PV models. Through one KPI, namely the here-defined Energy Traffic KPI, a strong trend (R2=0.93) is described that can now allow stakeholders a quick estimation of the PV potential using a simple third-degree polynomial instead of resorting to the complex grid, bus, and PV modelling. A simple placement and sizing method is also presented derived from this KPI, in a way as to increase the technical and economical feasibility of an installed PV system. Despite all efforts, stakeholders are still warned of an intrinsic, upper-performance plateau that exists in transport grids, at around 38% direct PV utilization, caused by the unavoidable mismatch between PV generation and vehicle timetables and schedules. Stakeholders are urged to implement more smart grid loads as a base load to increase the feasibility of their investments in renewables, and to transform the transportation systems thereby to multi-functional grids that can assist the main city grid.","DC systems; Electrical transportation; Public transport; PV systems; Regenerative braking; Trolleybus","en","journal article","","","","","","","","","","","DC systems, Energy conversion & Storage","","",""
"uuid:94788d89-8472-4a0f-ae4c-3d459d2b0bcd","http://resolver.tudelft.nl/uuid:94788d89-8472-4a0f-ae4c-3d459d2b0bcd","Longshore sediment transport by large-scale lake circulations at low-energy, non-tidal beaches: A field and model study","Ton, A.M. (TU Delft Coastal Engineering); Vuik, V. (TU Delft Coastal Engineering; HKV Consultants); Aarninkhof, S.G.J. (TU Delft Hydraulic Engineering)","","2023","Low-energy, non-tidal lake beaches are known to be subject to longshore morphodynamics, but little is known about how they are driven by wind and wave-driven currents. Lake Markermeer is a shallow (∼4 m deep), wind-dominated lake, of approximately 700 km2. A gradient in wind-induced water level set-up at the leeward shore induces a flow from the shallower to the deeper parts of the lake, thereby generating a large-scale, horizontal circulation. Flow measurements and results from a numerical Delft3D model of the lake show that these circulations impact the nearshore currents greatly, even more than wave-driven longshore currents for most wind conditions. From nearshore measurements at the first study site in lake Markermeer, we found a clear relation between longshore sediment transport capacity and the measured longshore volume flux. The model numerical can predict flow direction and magnitude for any wind condition. Using wind statistics, the net transport capacity for a short period or a long term mean can be predicted. The relation is confirmed for a second study site, which shows a distinct net transport capacity that could not be explained from wave-driven longshore flow alone. Concluding, large-scale lake circulations are of great significance for the morphological development of low-energy, non-tidal beaches in shallow, wind-driven water bodies. Knowledge of these circulations and their dependence on wind characteristics is a crucial factor to better understand and predict sediment losses of lake beaches.","Delft3D; Large-scale lake circulation; Longshore transport; Low-energy; Morphodynamics; Sandy lake beach","en","journal article","","","","","","","","","","Hydraulic Engineering","Coastal Engineering","","",""
"uuid:509f137a-f72e-4d41-936b-f182ebd714a9","http://resolver.tudelft.nl/uuid:509f137a-f72e-4d41-936b-f182ebd714a9","Effects of carbonate distribution pattern on the mechanical behaviour of bio-cemented sands: A DEM study","Zhang, A. (TU Delft Geo-engineering); Dieudonné, A.A.M. (TU Delft Geo-engineering)","","2023","Microbially induced carbonate precipitation is a promising ground improvement technique which can enhance the mechanical properties of soils through the precipitation of calcium carbonate. Experimental evidences indicate that the precipitated carbonate can display different distribution patterns. Crystals can develop at grain–grain contacts (contact cementing), connect soil grains that were initially not in contact with each other (bridging), precipitate on the grain surface (coating), or fill in the void space (pore filling). This paper investigates the role of the aforementioned distribution patterns on the mechanical behaviour of lightly bio-cemented soil samples using discrete element modelling. Bio-cemented samples with different distribution patterns and carbonate contents are built, and a series of drained triaxial compression simulations are carried out at different confining pressures. The results show that cementation in the form of bridging and contact cementing leads to obvious improvement in stiffness, strength and dilatancy. In contrast, cementation in the form of coating contributes only slightly to mechanical improvement, and pore filling exhibits negligible influence on the mechanical response of the material. The findings suggest that, to gain strength improvement in the most effective way, treatments should be tailored to precipitate calcium carbonate crystals in the form of bridging.","Bio-cemented soils; Discrete element method; Microstructure; Shear strength","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:94716349-d26d-4df5-8726-4ea7d7929154","http://resolver.tudelft.nl/uuid:94716349-d26d-4df5-8726-4ea7d7929154","Differences in levels of accessibility: The importance of spatial scale when measuring distributions of the accessibility of health and emergency services","van Wee, G.P. (TU Delft Transport and Logistics); de Jong, Tom (Stellenbosch University)","","2023","This paper explores to what extent inequalities in travel times, measured via the Gini index, depend on the spatial scale at which (average) travel times are measured. By using the new concept of Dedicated Accessibility Points, for the Netherlands we calculated average travel times at four spatial levels, ranging from virtually individual addresses to the level of municipalities. Travel times by car and bicycle to three medical points of interest are calculated: pharmacies, family doctors, and hospitals, as well as travel times by car from three other points of interest: ambulance stations, fire stations and police stations. At the level of individual addresses the errors made due to spatial aggregation is absent, but at higher spatial scales it plays a role. The results show that the Gini index is heavily influenced by the spatial scale at which the indices are calculated, with smaller indices at higher spatial scales. We discuss the implications of these differences for research and policy.","Accessibility; Equity; MAUP; Spatial aggregation","en","journal article","","","","","","","","","","","Transport and Logistics","","",""
"uuid:4c5b62f1-f61b-4170-84ae-885ee061bcbe","http://resolver.tudelft.nl/uuid:4c5b62f1-f61b-4170-84ae-885ee061bcbe","Prediction of DNA rejoining kinetics and cell survival after proton irradiation for V79 cells using Geant4-DNA","Sakata, Dousatsu (National Institutes for Quantum Science and Technology; Osaka University); Hirayama, Ryoichi (National Institutes for Quantum Science and Technology); Shin, Wook Geun (Seoul National University Hospital); Belli, Mauro (Independent researcher); Tabocchini, Maria A. (Istituto Nazionale di Fisica Nucleare); Stewart, Robert D. (University of Washington); Brown, J.M.C. (TU Delft RST/Medical Physics & Technology; Swinburne University of Technology; University of Wollongong); Kyriakou, G.I. (TU Delft Electrical Engineering, Mathematics and Computer Science; University of Ioannina); Tran, Hoang N. (Université de Bordeaux)","","2023","Purpose: Track structure Monte Carlo (MC) codes have achieved successful outcomes in the quantitative investigation of radiation-induced initial DNA damage. The aim of the present study is to extend a Geant4-DNA radiobiological application by incorporating a feature allowing for the prediction of DNA rejoining kinetics and corresponding cell surviving fraction along time after irradiation, for a Chinese hamster V79 cell line, which is one of the most popular and widely investigated cell lines in radiobiology. Methods: We implemented the Two-Lesion Kinetics (TLK) model, originally proposed by Stewart, which allows for simulations to calculate residual DNA damage and surviving fraction along time via the number of initial DNA damage and its complexity as inputs. Results: By optimizing the model parameters of the TLK model in accordance to the experimental data on V79, we were able to predict both DNA rejoining kinetics at low linear energy transfers (LET) and cell surviving fraction. Conclusion: This is the first study to demonstrate the implementation of both the cell surviving fraction and the DNA rejoining kinetics with the estimated initial DNA damage, in a realistic cell geometrical model simulated by full track structure MC simulations at DNA level and for various LET. These simulation and model make the link between mechanistic physical/chemical damage processes and these two specific biological endpoints.","Cell survival; DNA damage; Geant4-DNA; Monte Carlo simulation","en","journal article","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","RST/Medical Physics & Technology","","",""
"uuid:12605271-0e4b-431a-83a7-b81ae3ddd84b","http://resolver.tudelft.nl/uuid:12605271-0e4b-431a-83a7-b81ae3ddd84b","Dynamic optimization for minimal HVAC demand with latent heat storage, heat recovery, natural ventilation, and solar shadings","de Araujo Passos, L.A. (TU Delft Team Bart De Schutter); van den Engel, P.J.W. (TU Delft Environmental & Climate Design); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2023","Satisfying thermal comfort in indoor spaces is still a challenge in terms of energy saving, and several HVAC (Heating, Ventilation, and Air-Conditioning) systems have been proposed for this purpose. This paper conducts an analysis to evaluate and optimize the long-term operation of a novel HVAC system installed at The Green Village, a living lab in Delft, the Netherlands. This system comprises all-glass facades with steerable solar shades, sky windows, a climate tower equipped with Phase-Change Material (PCM), a heat recovery unit, and a heat pump. The current analysis draws on transient modeling to predict the system's behavior while relying on constrained nonlinear optimization to select the optimal design parameters (e.g. floor heat capacity and solar absorptance) and optimal operational conditions (e.g. use of PCM and heat recovery unit, aperture of sky windows and solar shadings). The goal is to schedule the control inputs to operate the system as much as possible as a passive energy system, with minimal active power all year round. The results show that the optimization can reduce the yearly heat demand by around 10.6%, with the solar shadings being the most significant component to be optimized. Furthermore, the optimized system is capable to supply 58% of the annual thermal demand passively – In this case, an auxiliary thermal demand of only 27 kWh/m2/year is required, which may qualify the system as a low-energy building.","Indoor climate; Low-energy buildings; Natural ventilation; Optimization; Phase-Change Material (PCM); Solar shadings","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:02c36638-04c4-40cc-a904-d75a53acf6d8","http://resolver.tudelft.nl/uuid:02c36638-04c4-40cc-a904-d75a53acf6d8","The change in temporal trend and spatial distribution of CO2 emissions of China's public and commercial buildings","You, Kairui (Chongqing University); Yu, Yanhui (Chongqing University); Cai, Weiguang (Chongqing University); Liu, Zhengxuan (TU Delft Design & Construction Management)","","2023","The CO2 emission mitigation of the commercial and public building sector (P&C) is critical for achieving China's carbon peak and carbon neutrality. Analyzing changes in CO2 emissions and their driving factors from temporal and spatial perspective provides insights for developing equitable and effective decarbonization strategies. This study investigated the change in temporal trend and spatial distribution of CO2 emissions of China's P&C during period of 2005–2018 according to the Kaya identify and Gravity Center model. Meanwhile, combined with the Logarithmic Mean Divisia Index, this study proposed a decomposed method to identify the driving factor of the movement of the gravity center. The results showed that: 1) in the temporal dimension, China's P&C has still not achieved its CO2 emissions peak, arriving 820.68 MtCO2. The most positive and negative driving factors were per capita add value of tertiary industry and energy efficiency, respectively; 2) in the spatial dimension, during the 13th Five Year Plan period, the gravity center moved southwestward, and the most positive and negative driving factors were energy consumption unit area and energy efficiency, respectively; Besides, to accelerate the decarbonization of China's P&C, this study reviewed the main decarbonization strategies, divided them into six categories and provided policy implications. In summary, this study provides a completed assessment on CO2 emission changes of China's P&C, facilitating policy-makers to develop more reasonable implementation plans for emission mitigation.","CO emissions; Decarbonization; Gravity center; Logarithmic mean divisia index; Public and commercial building sector","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Design & Construction Management","","",""
"uuid:161d9c30-204a-4758-a6b4-c5ba8fefc354","http://resolver.tudelft.nl/uuid:161d9c30-204a-4758-a6b4-c5ba8fefc354","Dirichlet form analysis of the Jacobi process","Grothaus, Martin (Technische Universität Kaiserslautern); Sauerbrey, M. (TU Delft Analysis)","","2023","We construct and analyze the Jacobi process – in mathematical biology referred to as Wright–Fisher diffusion – using a Dirichlet form. The corresponding Dirichlet space takes the form of a Sobolev space with different weights for the function itself and its derivative and can be rewritten in a canonical form for strongly local Dirichlet forms in one dimension. Additionally to the statements following from the general theory on these forms, we obtain orthogonal decompositions of the Dirichlet space, derive Sobolev embeddings, verify functional inequalities of Hardy type and analyze the long time behavior of the associated semigroup. We deduce corresponding properties of the Markov process and show that it is up to minor technical modifications a solution to the Jacobi SDE. We also provide uniqueness statements for this SDE, such that properties of general solutions follow.","Dirichlet form; Hypergeometric functions; Jacobi process; Wright–Fisher diffusion","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Analysis","","",""
"uuid:d2b53153-5e49-4aac-aece-247ef24d80f3","http://resolver.tudelft.nl/uuid:d2b53153-5e49-4aac-aece-247ef24d80f3","Aeroacoustic Benchmarking of Trailing-Edge Noise from NACA 633 –018 Airfoil with Trailing-Edge Serrations","Luesutthiviboon, S. (TU Delft Control & Simulation; TU Delft Aircraft Noise and Climate Effects); Lima Pereira, L.T. (TU Delft Wind Energy); Ragni, D. (TU Delft Wind Energy); Avallone, F. (TU Delft Wind Energy); Snellen, M. (TU Delft Control & Operations; TU Delft Aircraft Noise and Climate Effects)","","2023","Experimental results on trailing-edge (TE) noise from a NACA 633 –018 airfoil are presented for a chord-based Reynolds number Rec range between 2 × 105 and 3 × 106. Far-field TE noise from the baseline airfoil with a straight TE and TE serrations is measured with varying Rec, angle of attack, and serration shape and flap angle. Additionally, aerodynamic coefficients and boundary-layer parameters at the TE are also reported. To cover such a broad Rec range, two NACA 633 –018 airfoil models were tested in two different wind tunnels. The measurements include the emitted noise with natural and forced transition locations. For the straight TE, the forced transition location results in up to 5 dB increase of the far-field TE noise level, compared to the natural one. Scaling of the far-field noise spectra from the baseline TE shows that the Strouhal numbers St at which the peak noise level is measured reduce as Rec increases. TE noise spectra for the cases with the TE serrations are found to be dependent on the airfoil lift and Rec. The present data are to be included in the framework of the Benchmark Problems for Airframe Noise Computations category I and are publicly available in a repository with the following digital object identifier (DOI): https://doi.org/10.4121/20940646.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-06-05","","Control & Operations","Control & Simulation","","",""
"uuid:4a507c1c-293f-4a96-b006-77dd25e308d6","http://resolver.tudelft.nl/uuid:4a507c1c-293f-4a96-b006-77dd25e308d6","Overheating control in additive manufacturing using a 3D topology optimization method and experimental validation","Ranjan, R. (TU Delft Computational Design and Mechanics); Chen, Z. (Chalmers University of Technology); Ayas, C. (TU Delft Computational Design and Mechanics); Langelaar, Matthijs (TU Delft Computational Design and Mechanics); van Keulen, A. (TU Delft Mechanical, Maritime and Materials Engineering)","","2023","Overheating is a major issue especially in metal Additive Manufacturing (AM) processes, leading to poor surface quality, lack of dimensional precision, inferior performance and/or build failures. A 3D density-based topology optimization (TO) method is presented which addresses the issue of local overheating during metal AM. This is achieved by integrating a simplified AM thermal model and a thermal constraint within the optimization loop. The simplified model, recently presented in literature, offers significant computational gains while preserving the ability of overheating detection. The novel thermal constraint ensures that the overheating risk of optimized designs is reduced. This is fundamentally different from commonly used geometry-based TO methods which impose a geometric constraint on overhangs. Instead, the proposed approach takes the process physics into account. The proposed method is validated via an experimental comparative study. Optical tomography (OT) is used for in-situ monitoring of process conditions during fabrication and obtained data is used for evaluation of overheating tendencies. The novel TO method is compared with two other methods: standard TO and TO with geometric overhang control. The experimental data reveals that the novel physics-based TO design experienced less overheating during the build as compared to the two classical designs. A study further investigated the correlation between overheating observed by high OT values and the defect of porosity. It shows that overheated regions indeed show higher defect of porosity. This suggests that geometry-based guidelines, although enhance printability, may not be sufficient for eliminating overheating issues and related defects. Instead, the proposed physics-based method is able to deliver efficient designs with reduced risk of overheating.","Additive Manufacturing; Hotspot reduction; Optical tomography; Overheating avoidance; Thermal modelling of L-PBF; Topology optimization","en","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","","Computational Design and Mechanics","","",""
"uuid:f703f992-f444-42cb-88b1-9c81ae1109d6","http://resolver.tudelft.nl/uuid:f703f992-f444-42cb-88b1-9c81ae1109d6","A systematic review and comparison of automated tools for quantification of fibrous networks","de Vries, J.J. (Erasmus MC); Laan, Daphne M. (Erasmus MC); Frey, F.F.F. (TU Delft BN/Timon Idema Lab); Koenderink, G.H. (TU Delft BN/Gijsje Koenderink Lab); de Maat, Moniek P.M. (Erasmus MC)","","2023","Fibrous networks are essential structural components of biological and engineered materials. Accordingly, many approaches have been developed to quantify their structural properties, which define their material properties. However, a comprehensive overview and comparison of methods is lacking. Therefore, we systematically searched for automated tools quantifying network characteristics in confocal, stimulated emission depletion (STED) or scanning electron microscopy (SEM) images and compared these tools by applying them to fibrin, a prototypical fibrous network in thrombi. Structural properties of fibrin such as fiber diameter and alignment are clinically relevant, since they influence the risk of thrombosis. Based on a systematic comparison of the automated tools with each other, manual measurements, and simulated networks, we provide guidance to choose appropriate tools for fibrous network quantification depending on imaging modality and structural parameter. These tools are often able to reliably measure relative changes in network characteristics, but absolute numbers should be interpreted with care. Statement of significance: Structural properties of fibrous networks define material properties of many biological and engineered materials. Many methods exist to automatically quantify structural properties, but an overview and comparison is lacking. In this work, we systematically searched for all publicly available automated analysis tools that can quantify structural properties of fibrous networks. Next, we compared them by applying them to microscopy images of fibrin networks. We also benchmarked the automated tools against manual measurements or synthetic images. As a result, we give advice on which automated analysis tools to use for specific structural properties. We anticipate that researchers from a large variety of fields, ranging from thrombosis and hemostasis to cancer research, and materials science, can benefit from our work.","Fibrin; Fibrous networks; Image processing; Microscopy; Systematic review","en","journal article","","","","","","","","","","","BN/Timon Idema Lab","","",""
"uuid:8f682970-d1c9-4bd0-af34-a4b92cff1957","http://resolver.tudelft.nl/uuid:8f682970-d1c9-4bd0-af34-a4b92cff1957","Partial and complete replanning of an intermodal logistic system under disruptions","Akyüz, M. Hakan (Erasmus Universiteit Rotterdam); Dekker, Rommert (Erasmus Universiteit Rotterdam); Sharif Azadeh, S. (TU Delft Transport and Planning)","","2023","The exclusive and excessive use of long-distance road transportation is not suitable way to reduce the negative environmental impacts of logistics systems. Intermodal transport, combining road with other transport modes, has the potential to reduce both operating costs and carbon footprints. One of the reasons for the low share of intermodal transport is its requirement for the coordination of scheduled transport services that can result in reducing reliability in case of disruptions due to the arrival of new shipment orders, fluctuations in shipment quantities, delays, and service cancellations within the network. This calls for reliable and efficient algorithms to replan the shipments’ distribution. In this paper, the replanning problem is formulated as a path-based multi-commodity network flows. We provide two different network topologies, one of which is based on a time–space network, while the other embeds time aspect in a highly scalable alternative structure to large transportation networks. We propose a column generation method whose pricing sub-problems are presented as resource constrained shortest path problem solved via a tailored label-correcting algorithm. We look at the pros and cons of complete and partial replanning in case of disruption and provide managerial insights for intermodal networks. An extensive set of computational experiments is presented on realistic instances being generated with the consultation of our industrial partners for a logistic network including railways, waterways, and roads. The promising outcomes validate the efficiency of the proposed approach that can be easily adjusted to real-time intermodal logistic replanning.","Column generation; Disruptions; Multi-commodity network flow; Replanning intermodal transportation","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:ad5b0568-9d0a-4479-835b-666549ce2401","http://resolver.tudelft.nl/uuid:ad5b0568-9d0a-4479-835b-666549ce2401","Climate change impacts on immovable cultural heritage in polar regions: A systematic bibliometric review","Nicu, Ionut Cristi (Norwegian Institute for Cultural Heritage Research (NIKU)); Fatorić, Sandra (TU Delft History, Form & Aesthetics)","","2023","Over the past decade, research on the impacts of climate change on immovable cultural heritage (ICH) in the polar regions (Arctic and Antarctica) has slowly increased. This article offers a systematic review and synthesis of the publications about climate change impacts on the diverse ICH and climate change adaptation in the polar regions. Gray literature was not included in the study. Arctic countries like Sweden, Finland, Iceland, and Russia, and their associated research organizations, are under-represented in this literature when compared with the USA, Canada, Denmark, and Norway. More than half of the analyzed literature is published in the last 3 years (2019, 2020, and 2021) with a focus on coastal erosion and ICH degradation (cryospheric hazards). ICH is at risk from biological degradation, coastal erosion, debris flow, and thaw slumping. Nearly half of the studies report on the need for climate change adaptation planning and implementation for ICH. This study shows that advances in research on climate change impacts and adaptation responses are needed to improve decision- and policy-maker capacity to support effective adaptation policies and to contribute to the achievement of SDGs in polar regions. The polar regions' vulnerable landscapes and ICH sites can be used to communicate a larger message about the climate change challenges and adaptation measures. This article is categorized under: Assessing Impacts of Climate Change > Observed Impacts of Climate Change Vulnerability and Adaptation to Climate Change > Learning from Cases and Analogies Climate and Development > Sustainability and Human Well-Being.","Antarctica; Arctic; climate change; climate change adaptation; cryospheric hazards; cultural heritage","en","journal article","","","","","","","","","","","History, Form & Aesthetics","","",""
"uuid:f17601be-b162-4b06-94f1-9c1f683ea7d6","http://resolver.tudelft.nl/uuid:f17601be-b162-4b06-94f1-9c1f683ea7d6","Capitalized value of evolving flood risks discount and nature-based solution premiums on property prices","Mutlu, A. (TU Delft Policy Analysis); Roy, Debraj (Universiteit van Amsterdam); Filatova, T. (TU Delft Policy Analysis)","","2023","Nature-based solutions (NbS) are a cornerstone of climate change adaptation worldwide. Yet, evidence on their economic benefits is scarce, especially since the provided environmental amenities usually spatially correlate with climate-induced hazards, effects of which NbS aim to curb. This lack of empirical evidence creates obscurity regarding social acceptability of NS, hindering their uptake and upscaling. We apply hedonic price models to estimate homeowners' willingness-to-pay for NbS (like flood safety, environmental benefits), while controlling for spatio-temporal changes in capitalized flood risk discounts due to the 1993-1995 floods in the Limburg Province, the Netherlands. We reveal a pre-flood effect of 5.6% (discounting on average -€12,753 for flood-prone properties), which rises to 10.9% (−€24,691 on average) immediately after the floods. However, the effect is only transitory. The flood discount of home values price discount diminishes over time and eventually vanishes in 9–12 years, which coincides with the implementation of the largest and oldest NbS intervention in the Netherlands. Our analysis shows that NbS amenities provide a 15% (€33,687 on average) premium to nearby residential property prices. This evidence of the evolving flood risk discount and the stable NbS premium for individual homeowners could support the economic feasibility and wide acceptability of NbS for climate change adaptations.","Flood Risk; Hedonic Price Method; Nature-based solutions; Property Value","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:d88294bb-5fe9-41ee-9a1b-ba9b8f5a6881","http://resolver.tudelft.nl/uuid:d88294bb-5fe9-41ee-9a1b-ba9b8f5a6881","Behavior of Engineered Cementitious Composites (ECCs) Subjected to Coupled Sustained Flexural Load and Salt Frost","Li, Yonghao (Shandong University); Zhang, Ning (Shandong Hi-Speed Engineering Test CO); Sun, Renjuan (Shandong University); Guan, Yanhua (Shandong University); Liu, Lemin (Shandong Expressway Infrastructure Construction Co); Tian, Changjin (Shandong University); Ling, Yifeng (Shandong University); Zhang, Hongzhi (Shandong University); Šavija, B. (TU Delft Materials and Environment)","","2023","The performance of engineered cementitious composites (ECCs) under coupled salt freezing and loaded conditions is important for its application on the transportation infrastructure. However, in most of the studies, the specimens were generally loaded prior to the freezing. The influence of sustained load was merely considered. To this end, four sustained deflection levels, i.e., 0%, 10%, 30% and 50% of the deflection at the ultimate flexural strength, and three salt concentrations (1%, 3% and 5%) were applied. Prior to the salt frost resistance test, the fluid absorption of ECC specimens under various conditions were measured. The changes in relative dynamic elastic modulus (RDEM) during the freeze–thaw cycles were captured. The depth and the content profile of free chloride were measured after the coupled sustained load and freezing and thawing cycles. It is shown that 3% NaCl solution leads to the largest deterioration in all cases. There is no visible flaking or damage occurring on the surface. The relationships between locally sustained flexural stress and RDEM loss and also locally sustained flexural stress and free chloride penetration depth were proposed and showed satisfactory results. It is concluded that when ECC is subjected to the FTCs under 1% de-ice salt solution, no depassivation of the steel is expected even under a large deflection level. In terms of 3% and 5% salt solution, the thickness of cover should be no less than 20 mm when a deflection level of 0.5 is applied.","chloride penetration depth; engineered cementitious composites (ECCs); free chloride profile; salt frost; sustained flexural load","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:22adf208-2bc2-4f56-92d5-1c35326a2946","http://resolver.tudelft.nl/uuid:22adf208-2bc2-4f56-92d5-1c35326a2946","Design of pin connections between steel members","Conde, Jorge (Universidad Politécnica de Madrid); da Silva, Luis S. (Universidade de Coimbra); Tankova, T. (TU Delft Steel & Composite Structures); Simões, Rui (Universidade de Coimbra); Abecasis, Tiago (Tal Projecto)","","2023","The design of pin connections between steel members has been established for many years in design codes. However, recently, in the scope of the revision of Eurocode 3, Part 1–8 (EN 1993–1-8), questions were raised concerning the safety of the corresponding design verifications. This paper identifies two main aspects that require revision, namely: (i) the possibility to design a pin as a bolt in shear and (ii) the verification of the resistance of the pin itself. Based on a thorough literature review, experimental tests and a parametric study, a new proposal submitted to CEN as an amendment to the code, is presented to solve these two identified issues.","Eurocode 3; Lug; Pin; Pin connection; Steel","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Steel & Composite Structures","","",""
"uuid:8de82b6c-c163-4bdf-9ca6-9e5e8801e315","http://resolver.tudelft.nl/uuid:8de82b6c-c163-4bdf-9ca6-9e5e8801e315","Ratings and experiences in using a mobile application to increase physical activity among university students: implications for future design","Figueroa, C.A. (TU Delft Information and Communication Technology; University of California); Gomez-Pathak, Laura (University of California); Khan, Imran (University of California); Williams, Joseph Jay (University of Toronto); Lyles, Courtney R. (University of California); Aguilera, Adrian (University of California)","","2023","University students have low levels of physical activity and are at risk of mental health disorders. Mobile apps to encourage physical activity can help students, who are frequent smartphone-users, to improve their physical and mental health. Here we report students’ qualitative feedback on a physical activity smartphone app with motivational text messaging. We provide recommendations for the design of future apps. 103 students used the app for 6 weeks in the context of a clinical trial (NCT04440553) and answered open-ended questions before the start of the study and at follow-up. A subsample (n = 39) provided additional feedback via text message, and a phone interview (n = 8). Questions focused on the perceived encouragement and support by the app, text messaging content, and recommendations for future applications. We analyzed all transcripts for emerging themes using qualitative coding in Dedoose. The majority of participants were female (69.9%), Asian or Pacific Islander (53.4%), with a mean age of 20.2 years, and 63% had elevated depressive symptoms. 26% felt encouraged or neutral toward the app motivating them to be more physically active. Participants liked messages on physical activity benefits on (mental) health, encouraging them to complete their goal, and feedback on their activity. Participants disliked messages that did not match their motivations for physical activity and their daily context (e.g., time, weekday, stress). Physical activity apps for students should be adapted to their motivations, changing daily context, and mental health issues. Feedback from this sample suggests a key to effectiveness is finding effective ways to personalize digital interventions.","Attitude; Exercise; Mental health; Students; Telemedicine","en","journal article","","","","","","","","","","","Information and Communication Technology","","",""
"uuid:83a9312f-ba78-4da9-bbc9-ceca05363b5b","http://resolver.tudelft.nl/uuid:83a9312f-ba78-4da9-bbc9-ceca05363b5b","An operational simulation framework for modelling the multi-interaction of two-wheelers on mixed-traffic road segments","Ni, Ying (Tongji University); Li, Y. (TU Delft Transport and Planning; Tongji University); Yuan, Y. (TU Delft Transport and Planning); Sun, Jian (Tongji University)","","2023","In recent years, the interest in riding in cities using the two-wheeler (e.g., bicycles, electric bicycles, electric mopeds, etc.) increases. Mixed-traffic road segments are one of the most common traffic scenes where the mixed two-wheeler flows exist. Because the movements are often not restricted by lanes, the two-wheeler uses lateral road space more freely and shows obvious multilateral interactions (i.e. multi-interaction) with others, bringing issues that endanger traffic safety. A precise estimation of its impacts on traffic operation and safety is necessary, while the microscopic simulation model can satisfy the need as a helpful tool. However, most existing simulation models of these three types of two-wheelers are essentially focusing on handling the one-on-one interaction. The capability to deal with the two-wheeler multi-interaction in mixed traffic is still rare, and the description of what endogenous tasks are contained by the multi-interaction has also not given by literature. To this end, this paper first defines what the multi-interaction entails on the operational behaviour level, claiming that it contains three intertwined processes, namely a (mental) perception, a (mental) decision, and a physical process. The (mental) perception and decision processes represent the recognition of interactions and the response to traffic conditions, while the physical process refers to the execution of these mental activities. A three-layer simulation framework has then been developed, where each layer sequentially corresponds to one of the operational behaviour tasks. Integrated component models are also proposed in each layer to cover these operational tasks. A Comfort Zone model is hence put forward to dynamically perceive the multiple interactive road users, while a Bayesian network model is developed to deal with the decision-making process under multi-interaction situations. Meanwhile, a behaviour force model is also proposed to capture the non-lane based movements following the selected behaviour and current interaction states. Finally, we face validate the proposed models by the comparison between simulation results and observations obtained from trajectory dataset. Results indicate the model performance matches the observed interaction and motion well.","Bayesian network; Comfort zone; Mixed bicycle flow; Multi-interaction; Operational behaviour; Three-layer framework","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Transport and Planning","","",""
"uuid:9c847254-2500-4291-a628-d102e18aaf2e","http://resolver.tudelft.nl/uuid:9c847254-2500-4291-a628-d102e18aaf2e","Automated discovery of generalized standard material models with EUCLID","Flaschel, Moritz (ETH Zürich); Kumar, Siddhant (TU Delft Team Sid Kumar); De Lorenzis, Laura (ETH Zürich)","","2023","We extend the scope of our recently developed approach for unsupervised automated discovery of material laws (denoted as EUCLID) to the general case of a material belonging to an unknown class of constitutive behavior. To this end, we leverage the theory of generalized standard materials, which encompasses a plethora of important constitutive classes including elasticity, viscosity, plasticity and arbitrary combinations thereof. We show that, based only on full-field kinematic measurements and net reaction forces, EUCLID is able to automatically discover the two scalar thermodynamic potentials, namely, the Helmholtz free energy and the dissipation potential, which completely define the behavior of generalized standard materials. The a priori enforced constraint of convexity on these potentials guarantees by construction stability and thermodynamic consistency of the discovered model; balance of linear momentum acts as a fundamental constraint to replace the availability of stress–strain labeled pairs; sparsity promoting regularization enables the automatic selection of a small subset from a possibly large number of candidate model features and thus leads to a parsimonious, i.e., simple and interpretable, model. Importantly, since model features go hand in hand with the correspondingly active internal variables, sparse regression automatically induces a parsimonious selection of the few internal variables needed for an accurate but simple description of the material behavior. A fully automatic procedure leads to the selection of the hyperparameter controlling the weight of the sparsity promoting regularization term, in order to strike a user-defined balance between model accuracy and simplicity. By testing the method on synthetic data including artificial noise, we demonstrate that EUCLID is able to automatically discover the true hidden material model from a large catalogue of constitutive classes, including elasticity, viscoelasticity, elastoplasticity, viscoplasticity, isotropic and kinematic hardening.","Constitutive models; Generalized standard materials; Interpretable models; Inverse problems; Sparse regression; Unsupervised learning","en","journal article","","","","","","","","","","","Team Sid Kumar","","",""
"uuid:f4769729-0d99-4cf6-88d6-81e93809358d","http://resolver.tudelft.nl/uuid:f4769729-0d99-4cf6-88d6-81e93809358d","Mo(AlxSi1−x)2 healing particles for high temperature ceramics and encapsulation by selective oxidation of aluminium","Ding, Z. (TU Delft Team Marcel Hermans); Brouwer, J.C. (TU Delft Team Marcel Hermans); Kwakernaak, C. (TU Delft Team Maria Santofimia Navarro); Zhu, Jia-Ning (TU Delft Team Vera Popovich); Popovich, V. (TU Delft Team Vera Popovich); Hermans, M.J.M. (TU Delft Team Marcel Hermans); Sloof, W.G. (TU Delft Team Joris Dik)","","2023","To prevent premature triggering of the healing reaction in Mo-Si containing self-healing thermal barrier coating system, an oxygen impenetrable shell (α-Al2O3) around the sacrificial healing particles (MoSi2) is desired. Here an encapsulation method is presented through selective oxidation of Al in Mo(AlxSi1-x)2 particles. Healing particles of Mo(AlxSi1-x)2 is designed in terms of alumina shell thickness, particle size and fraction Al dissolved. By replacing Si by Al in MoSi2 up to the maximum solubility (x = 0.65) a strong crack healing ability is maintained (relative volume expansion ≥ 40 %). The formed exclusive α-Al2O3, featuring a two-layered structure, results from a counter-diffusion process along the grain boundaries, and its oxidation kinetics fits well with the 3D diffusion-Jander model. After 16 h exposure in gaseous ambient with a pO2 of 5 × 10-10 atm. at 1100 °C, a closed and dense shell of α-Al2O3 is formed with a thickness of about 1.3 µm. The oxide shell produced under this condition provided healing particles with significantly improved stability upon exposure to high pO2 of 0.2 atm. at 1100 °C for 50 h. The particles after exposure feature an inner core of MoSi2 with Al completely consumed and an oxide shell of α-Al2O3.","Alumina scale growth; Encapsulation; Mo(Al,Si); Oxidation; Self-healing thermal barrier coatings","en","journal article","","","","","","","","","","","Team Marcel Hermans","","",""
"uuid:146779e1-7706-403b-8c28-c26dfa70e797","http://resolver.tudelft.nl/uuid:146779e1-7706-403b-8c28-c26dfa70e797","Effect of microstructure on tensile properties of quenched and partitioned martensitic stainless steels","Sierra Soraluce, A. (IMDEA Materials Institute); Li, G. (TU Delft Team Maria Santofimia Navarro); Santofimia, Maria Jesus (TU Delft Team Maria Santofimia Navarro); Molina-Aldareguia, J. M. (IMDEA Materials Institute; Universidad Politécnica de Madrid); Smith, A. (Rina Consulting - Centro Sviluppo Materiali); Muratori, M. (Acerinox Europa SAU); Sabirov, I. (IMDEA Materials Institute)","","2023","Quenching and partitioning (Q&P) treatment has been proven effective in manufacturing advanced high strength steels with high content of retained austenite, showing the improved balance of high strength and sufficient ductility. This method has been very well elaborated for carbon steel processing over the last two decades. Though it can also be potentially applied for processing other steel families, this has been scarcely studied. This article focuses on the effect of chemistry and heat treatment parameters on the microstructure and properties of Q&P treated martensitic stainless steels. Three different martensitic stainless steels with different contents of alloying elements are subjected to Q&P processing with varying quenching temperature or partitioning temperature and partitioning time. The tensile behavior of the Q&P treated steels is studied. The effect of chemistry and Q&P treatment parameters on the microstructure and tensile properties is analyzed. The effect of plastic deformation on the microstructure of the Q&P treated steels is also investigated. It is demonstrated that the Q&P treated martensitic stainless steels can show a good combination of enhanced strength and sufficient tensile ductility. Their uniform elongation increases with the increasing volume fraction of retained austenite due to the transformation induced plasticity (TRIP) effect. The ability of the martensitic matrix to accumulate plastic deformation also plays an important role. The Q&P process - microstructure - property relationship is discussed.","Electron backscatter diffraction; Phase transformation; Quenching and partitioning; Retained austenite; Stainless steel; Tensile properties","en","journal article","","","","","","","","","","","Team Maria Santofimia Navarro","","",""
"uuid:bd44af96-a582-404e-a368-9bbefe8f9b51","http://resolver.tudelft.nl/uuid:bd44af96-a582-404e-a368-9bbefe8f9b51","Enriching Point Clouds with Implicit Representations for 3D Classification and Segmentation","Yang, Z. (TU Delft Urban Data Science; Tongji University); Ye, Qin (Tongji University); Stoter, J.E. (TU Delft Urban Data Science); Nan, L. (TU Delft Urban Data Science)","","2023","Continuous implicit representations can flexibly describe complex 3D geometry and offer excellent potential for 3D point cloud analysis. However, it remains challenging for existing point-based deep learning architectures to leverage the implicit representations due to the discrepancy in data structures between implicit fields and point clouds. In this work, we propose a new point cloud representation by integrating the 3D Cartesian coordinates with the intrinsic geometric information encapsulated in its implicit field. Specifically, we parameterize the continuous unsigned distance field around each point into a low-dimensional feature vector that captures the local geometry. Then we concatenate the 3D Cartesian coordinates of each point with its encoded implicit feature vector as the network input. The proposed method can be plugged into an existing network architecture as a module without trainable weights. We also introduce a novel local canonicalization approach to ensure the transformation-invariance of encoded implicit features. With its local mechanism, our implicit feature encoding module can be applied to not only point clouds of single objects but also those of complex real-world scenes. We have validated the effectiveness of our approach using five well-known point-based deep networks (i.e., PointNet, SuperPoint Graph, RandLA-Net, CurveNet, and Point Structuring Net) on object-level classification and scene-level semantic segmentation tasks. Extensive experiments on both synthetic and real-world datasets have demonstrated the effectiveness of the proposed point representation.","implicit representation; object classification; point cloud; semantic segmentation","en","journal article","","","","","","","","","","","Urban Data Science","","",""
"uuid:c1cd5953-2fb6-4b15-ac2b-ba93b7631747","http://resolver.tudelft.nl/uuid:c1cd5953-2fb6-4b15-ac2b-ba93b7631747","Strengthening effects evaluation on fatigue damage of rib to deck joint in orthotropic steel deck","Xin, Haohui (Xi’an Jiaotong University); Liu, Jielin (Xi’an Jiaotong University); Correia, Jose A.F. (Xi’an Jiaotong University; Universidade do Porto); Berto, Filippo (Xi’an Jiaotong University; Norwegian University of Science and Technology (NTNU)); Veljkovic, M. (TU Delft Steel & Composite Structures); Yang, Fei (Chang'an University)","","2023","Strengthening fatigue damage of orthotropic steel decks (OSDs) needs to comprehensively consider the strengthening effect and the dead weight introduced during the strengthening process, especially for OSDs in super large-span bridges or old renovated bridges, where the dead weight cannot be significantly increased during repair and maintenance. This paper proposed a new CFRP prestressed reinforcement method, that does not significantly increase dead weight while effectively inhibiting fatigue crack growth. The strengthening effects of various strengthening methods on the fatigue crack propagation of rib to deck joint in OSDs were compared. Besides, the strengthening effects of different crack sizes were also analyzed. The results showed that the proposed method is effective in reducing fatigue damage at the rib to deck joint in OSDs.","Extended finite element method; Orthotropic steel decks (OSDs); Prestressed strengthening method; Rib to deck joint","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Steel & Composite Structures","","",""
"uuid:ee9e1460-1066-475b-b367-21ec4f4920c0","http://resolver.tudelft.nl/uuid:ee9e1460-1066-475b-b367-21ec4f4920c0","Roadmap development for the deployment of virtual coupling in railway signalling","Aoun, J. (TU Delft Transport and Planning); Quaglietta, E. (TU Delft Transport and Planning); Goverde, R.M.P. (TU Delft Transport and Planning)","","2023","Developments in the railway industry are continuously evolving and long-term transition strategies can enable an efficient implementation of signalling technologies that provide a significant increase in network capacity and operation efficiency. Virtual Coupling (VC) advances moving block signalling by further reducing train separation to less than an absolute braking distance using train-to-train communication and cooperative train control within a Virtually-Coupled Train Set (VCTS). This paper proposes a method to develop scenario-based roadmaps based on a SWOT and hybrid Delphi-AHP multi-criteria analysis. Step-changes are identified and initially assessed in a Swimlane based on priorities and time order collected from stakeholders through a survey and further developed in a workshop. Optimistic and pessimistic scenarios are assessed regarding various factors and timelines. Step-changes are initially defined in a Swimlane and then enriched with optimistic and pessimistic scenarios to ultimately derive scenario-based roadmaps. Durations for each of the step-changes are developed into scenario-based roadmaps that can be used as an efficient tool for stakeholders to identify and solve potential criticalities/risks to the deployment of VC as well as to setup investment and development plans. The approach is applied to deliver implementation roadmaps of VC for different market segments with particular focus on mainline railways.","Planning; Railway operations; Railway technology; Roadmapping; Virtual Coupling","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:34d469dc-be81-4146-8c76-44f5e741302a","http://resolver.tudelft.nl/uuid:34d469dc-be81-4146-8c76-44f5e741302a","Gentle Driving of Piles (GDP) at a sandy site combining axial and torsional vibrations: Part I - installation tests","Tsetas, A. (TU Delft Dynamics of Structures); Tsouvalas, A. (TU Delft Dynamics of Structures; TU Delft Offshore Engineering); Sánchez Gómez, S. (TU Delft Macromechanic Laboratory); Pisano, F. (TU Delft Geo-engineering); Kementzetzidis, Evangelos (IHE Delft Institute for Water Education); Molenkamp, T. (TU Delft Offshore Engineering); Elkadi, A.S.K. (Deltares); Metrikine, A. (TU Delft Offshore Engineering; TU Delft Engineering Structures)","","2023","Gentle Driving of Piles (GDP) is a new technology for the vibratory installation of tubular (mono)piles. Its founding principle is that both efficient installation and low noise emission can be achieved by applying to the pile a combination of axial and torsional vibrations. Preliminary development and demonstration of the proposed technology are the main objectives of the GDP research programme. To this end, onshore medium-scale tests in sand have been performed on piles installed using both impact and vibratory driving methods (including GDP). After presenting the development of a purpose-built GDP driving device and the geotechnical characterisation of the site, this paper covers the execution of GDP installation tests. Focus is on the installation performance of GDP-driven piles, which is discussed with the aid of structural and ground monitoring data. The comparison between piling data associated with GDP and standard axial vibro-driving points out the potential of the proposed installation technology, particularly with regard to the beneficial effect of the torsional vibration component. The findings of this study encourage further development of the GDP method and its future extension to offshore full-scale conditions.","Dynamics; Monopiles; Pile driving; Soil/structure interaction","en","journal article","","","","","","","","","","Engineering Structures","Dynamics of Structures","","",""
"uuid:010c1cec-3809-4a4b-b971-bc499462763d","http://resolver.tudelft.nl/uuid:010c1cec-3809-4a4b-b971-bc499462763d","Knowledge- and ambiguity-aware robot learning from corrective and evaluative feedback","Celemin, Carlos (TU Delft Learning & Autonomous Control); Kober, J. (TU Delft Learning & Autonomous Control)","","2023","In order to deploy robots that could be adapted by non-expert users, interactive imitation learning (IIL) methods must be flexible regarding the interaction preferences of the teacher and avoid assumptions of perfect teachers (oracles), while considering they make mistakes influenced by diverse human factors. In this work, we propose an IIL method that improves the human–robot interaction for non-expert and imperfect teachers in two directions. First, uncertainty estimation is included to endow the agents with a lack of knowledge awareness (epistemic uncertainty) and demonstration ambiguity awareness (aleatoric uncertainty), such that the robot can request human input when it is deemed more necessary. Second, the proposed method enables the teachers to train with the flexibility of using corrective demonstrations, evaluative reinforcements, and implicit positive feedback. The experimental results show an improvement in learning convergence with respect to other learning methods when the agent learns from highly ambiguous teachers. Additionally, in a user study, it was found that the components of the proposed method improve the teaching experience and the data efficiency of the learning process.","Active learning; Corrective demonstrations; Human reinforcement; Interactive imitation learning; Uncertainty","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:41b31770-b8a4-4f0a-a7cb-5571aad5df96","http://resolver.tudelft.nl/uuid:41b31770-b8a4-4f0a-a7cb-5571aad5df96","Impact of experimentally measured relative permeability hysteresis on reservoir-scale performance of underground hydrogen storage (UHS)","Bo, Z. (TU Delft Reservoir Engineering; University of Queensland); Boon, M.M. (TU Delft Reservoir Engineering); Hajibeygi, H. (TU Delft Reservoir Engineering); Hurter, Suzanne (University of Queensland; TNO)","","2023","Underground Hydrogen Storage (UHS) is an emerging large-scale energy storage technology. Researchers are investigating its feasibility and performance, including its injectivity, productivity, and storage capacity through numerical simulations. However, several ad-hoc relative permeability and capillary pressure functions have been used in the literature, with no direct link to the underlying physics of the hydrogen storage and production process. Recent relative permeability measurements for the hydrogen-brine system show very low hydrogen relative permeability and strong liquid phase hysteresis, very different to what has been observed for other fluid systems for the same rock type. This raises the concern as to what extend the existing studies in the literature are able to reliably quantify the feasibility of the potential storage projects. In this study, we investigate how experimentally measured hydrogen-brine relative permeability hysteresis affects the performance of UHS projects through numerical reservoir simulations. Relative permeability data measured during a hydrogen-water core-flooding experiment within ADMIRE project is used to design a relative permeability hysteresis model. Next, numerical simulation for a UHS project in a generic braided-fluvial water-gas reservoir is performed using this hysteresis model. A performance assessment is carried out for several UHS scenarios with different drainage relative permeability curves, hysteresis model coefficients, and injection/production rates. Our results show that both gas and liquid relative permeability hysteresis play an important role in UHS irrespective of injection/production rate. Ignoring gas hysteresis may cause up to 338% of uncertainty on cumulative hydrogen production, as it has negative effects on injectivity and productivity due to the resulting limited variation range of gas saturation and pressure during cyclic operations. In contrast, hysteresis in the liquid phase relative permeability resolves this issue to some extent by improving the displacement of the liquid phase. Finally, implementing relative permeability curves from other fluid systems during UHS performance assessment will cause uncertainty in terms of gas saturation and up to 141% underestimation on cumulative hydrogen production. These observations illustrate the importance of using relative permeability curves characteristic of hydrogen-brine system for assessing the UHS performances.","Relative permeability hysteresis; Reservoir simulation; Underground hydrogen storage","en","journal article","","","","","","","","","","","Reservoir Engineering","","",""
"uuid:8f718453-3563-45b0-8ed8-541cadbb8db1","http://resolver.tudelft.nl/uuid:8f718453-3563-45b0-8ed8-541cadbb8db1","Numerical modelling of wave overtopping discharges at rubble mound breakwaters using OpenFOAM®","Mata, Marisol Irías (Deltares); van Gent, M.R.A. (TU Delft Coastal Engineering; Deltares)","","2023","Numerical modelling of wave interaction with rock-armoured rubble mound breakwaters has been performed to study wave overtopping. The influences of the slope angle, a berm in the seaward slope, a protruding crest wall, a recurved parapet, and the wave steepness have been studied using a validated CFD model (OpenFOAM). The numerical modelling confirms trends that have been observed in physical model tests while the validity of earlier developed guidelines has been examined outside the ranges of the physical model tests on which the guidelines are based. The numerical model results confirm that wave overtopping at rubble mound breakwaters depends on the wave steepness, that the influence of a berm is affected by the wave steepness, and that an earlier developed influence factor to account for the effects of a protruding crest wall can be applied to even larger crest walls than the tested crest walls on which the guidelines are based. The results indicate that the influence of the applied core material of the berm on the discharges is very limited. The numerical model also indicates that applying a recurved parapet on a crest wall of a rubble mound breakwater only has an effect for very small overtopping discharges. The numerical model results show that wave overtopping at rubble mound breakwaters strongly depends on the slope angle. Since this effect is so large that it cannot be neglected, while present guidelines for non-breaking waves do not include the effect of the slope angle, modified guidelines have been proposed. The observed effects of the slope on wave overtopping discharges at rubble mound structures still need to be verified based on physical model tests.","Berm; CFD; Coastal structures; Crest wall; Design guidelines; Numerical modelling; OpenFOAM; Parapet; Permeability; Rubble mound breakwaters; Slope angle; Wave overtopping","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:2ffe569b-779d-4058-8167-0182a80bc4aa","http://resolver.tudelft.nl/uuid:2ffe569b-779d-4058-8167-0182a80bc4aa","Monitoring phage-induced lysis of gram-negatives in real time using a fluorescent DNA dye","Egido, Julia E. (University Medical Center Utrecht); Toner-Bartelds, Catherine (University Medical Center Utrecht); Martins Costa, A.R. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft; Fagenbank); Brouns, S.J.J. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft; Fagenbank); Rooijakkers, Suzan H.M. (University Medical Center Utrecht); Bardoel, Bart W. (University Medical Center Utrecht); Haas, Pieter Jan (University Medical Center Utrecht)","","2023","Bacteriophages (phages) are viruses that specifically attack bacteria. Their use as therapeutics, which constitutes a promising alternative to antibiotics, heavily relies on selecting effective lytic phages against the pathogen of interest. Current selection techniques are laborious and do not allow for direct visualization of phage infection dynamics. Here, we present a method that circumvents these limitations. It can be scaled for high-throughput and permits monitoring of the phage infection in real time via a fluorescence signal readout. This is achieved through the use of a membrane-impermeant nucleic acid dye that stains the DNA of damaged or lysed bacteria and new phage progeny. We have tested the method on Pseudomonas aeruginosa and Klebsiella pneumoniae and show that an increase in fluorescence reflects phage-mediated killing. This is confirmed by other techniques including spot tests, colony plating, flow cytometry and metabolic activity measurements. Furthermore, we illustrate how our method may be used to compare the activity of different phages and to screen the susceptibility of clinical isolates to phage. Altogether, we present a fast, reliable way of selecting phages against Gram-negative bacteria, which may be valuable in optimizing the process of selecting phages for therapeutic use.","","en","journal article","","","","","","","","","","","BN/Stan Brouns Lab","","",""
"uuid:49782df5-5c10-481e-9fdb-53e88c187daa","http://resolver.tudelft.nl/uuid:49782df5-5c10-481e-9fdb-53e88c187daa","Crevasse splay morphodynamics near a non-vegetated, ephemeral river terminus: Insights from process-based modelling","Li, Jiaguang (China University of Geosciences; Key Laboratory of Theory and Technology of Petroleum Exploration and Development in Hubei Province); van der Vegt, Helena (Deltares); Storms, J.E.A. (TU Delft Applied Geology); Tooth, Stephen (Aberystwyth University)","","2023","Crevasse splays generate subtle local relief and contribute to fluvial basin sedimentary filling but controls on splay development along dryland rivers remain poorly understood owing to limited field, laboratory, and numerical modelling studies. Based on previously-acquired field data and new remote sensing observations of splay morphology and sedimentology (e.g. slope, width, length, grain size) and flooding characteristics (e.g. discharge, water depth and extent) near the terminus of the non-vegetated, ephemeral Río Colorado on the southeastern margin of Salar de Uyuni, Bolivia, we undertake process-based modelling using Delft3D to isolate the role of hydrological controls on crevasse splay morphodynamics. Holding the potential sediment supply constant, we focus on the role of discharge (outflow from trunk channel to crevasse channel during rising stage), floodplain water levels, and backflow (reflux to the trunk channel during falling stage). Using nine different model runs, each with 10 simulated flood cycles, we show that the processes associated with these hydrological controls result in various outcomes, from short crevasse splay channels that may bifurcate and develop depositional bars to longer splays with one primary channel that mainly transfers sediment across the floodplain. Results reveal that increases in flood discharge lead to more rapid splay sedimentation and stabilization of a single crevasse channel. Increases in floodplain water level lead to shorter but wider splays and facilitate the formation of multiple stable crevasse channels. High floodplain water levels probably restrict splay length owing to deceleration of outflow as floodplain water is encountered, but separate crevasse channels may form downstream as backflow breaches the trunk channel levee during falling stage. These findings support and extend previous observations from the Río Colorado and other dryland rivers worldwide. Future modelling studies that consider a wider range of hydrological, sedimentological, and floodplain topographic conditions will help develop more comprehensive numerical models of splay development. A combination of insights from field, laboratory experimentation, remote sensing and modelling will improve knowledge of the cascades of channel-floodplain dynamics that characterise many dryland endorheic basins.","Backflow; Channel-floodplain morphodynamics; Crevasse splay; Discharge; Dryland river","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-14","","","Applied Geology","","",""
"uuid:90e782ab-17fd-42c5-b9e4-79c6fbf92e03","http://resolver.tudelft.nl/uuid:90e782ab-17fd-42c5-b9e4-79c6fbf92e03","Gentle Driving of Piles (GDP) at a sandy site combining axial and torsional vibrations: Part II - cyclic/dynamic lateral loading tests","Kementzetzidis, Evangelos (IHE Delft Institute for Water Education); Pisano, F. (TU Delft Geo-engineering); Elkadi, A.S.K. (Deltares); Tsouvalas, A. (TU Delft Dynamics of Structures; TU Delft Offshore Engineering); Metrikine, A. (TU Delft Offshore Engineering; TU Delft Engineering Structures)","","2023","Gentle Driving of Piles (GDP) is a new technology for the vibratory installation of tubular (mono)piles. Its founding principle is that both efficient installation and low noise emission can be achieved by applying to the pile a combination of axial and torsional vibrations. Preliminary development and demonstration of the proposed technology are the main objectives of the GDP research programme. To this end, onshore medium-scale tests in sand have been performed on piles installed using both impact and vibratory driving methods (including GDP). While the results of the installation tests are presented by Tsetas et al. (2023), this work focuses on the post-installation performance of GDP-driven piles under a sequence of slow/large-amplitude (cyclic) and faster/low-amplitude (dynamic) load parcels. The field data point out the influence of onshore unsaturated soil conditions, which result in complex cyclic pile stiffness trends due to the interplay of pile–soil gapping and soil's fabric changes. The pile stiffness under small-amplitude vibrations is strongly correlated with the previous response to large load cycles, and noticeably frequency-dependent for load cycles with a period lower than 1 s. Overall, the post-installation performance of GDP-driven piles appears to be satisfactory, which encourages further development and demonstration at full scale.","Cyclic loading; Dynamics; Piles & piling; Pile–soil gapping; Sands; Soil/structure interaction","en","journal article","","","","","","","","","","Engineering Structures","Geo-engineering","","",""
"uuid:45360441-9fe4-4e2a-875a-9bf4be5f9eae","http://resolver.tudelft.nl/uuid:45360441-9fe4-4e2a-875a-9bf4be5f9eae","Daedalus MASE (mission assessment through simulation exercise): A toolset for analysis of in situ missions and for processing global circulation model outputs in the lower thermosphere-ionosphere","Sarris, Theodore E. (Democritus University of Thrace); Tourgaidis, Stelios (Democritus University of Thrace); Pirnaris, Panagiotis (Democritus University of Thrace); Baloukidis, Dimitris (Democritus University of Thrace); Papadakis, Konstantinos (Democritus University of Thrace; Viikki Biocenter 1); Doornbos, Eelco (Royal Netherlands Meteorological Institute (KNMI)); Siemes, C. (TU Delft Astrodynamics & Space Missions); Visser, P.N.A.M. (TU Delft Space Engineering); van den IJssel, J.A.A. (TU Delft Astrodynamics & Space Missions)","","2023","Daedalus MASE (Mission Assessment through Simulation Exercise) is an open-source package of scientific analysis tools aimed at research in the Lower Thermosphere-Ionosphere (LTI). It was created with the purpose to assess the performance and demonstrate closure of the mission objectives of Daedalus, a mission concept targeting to perform in-situ measurements in the LTI. However, through its successful usage as a mission-simulator toolset, Daedalus MASE has evolved to encompass numerous capabilities related to LTI science and modeling. Inputs are geophysical observables in the LTI, which can be obtained either through in-situ measurements from spacecraft and rockets, or through Global Circulation Models (GCM). These include ion, neutral and electron densities, ion and neutral composition, ion, electron and neutral temperatures, ion drifts, neutral winds, electric field, and magnetic field. In the examples presented, these geophysical observables are obtained through NCAR’s Thermosphere-Ionosphere-Electrodynamics General Circulation Model. Capabilities of Daedalus MASE include: 1) Calculations of products that are derived from the above geophysical observables, such as Joule heating, energy transfer rates between species, electrical currents, electrical conductivity, ion-neutral collision frequencies between all combinations of species, as well as height-integrations of derived products. 2) Calculation and cross-comparison of collision frequencies and estimates of the effect of using different models of collision frequencies into derived products. 3) Calculation of the uncertainties of derived products based on the uncertainties of the geophysical observables, due to instrument errors or to uncertainties in measurement techniques. 4) Routines for the along-orbit interpolation within gridded datasets of GCMs. 5) Routines for the calculation of the global coverage of an in situ mission in regions of interest and for various conditions of solar and geomagnetic activity. 6) Calculations of the statistical significance of obtaining the primary and derived products throughout an in situ mission’s lifetime. 7) Routines for the visualization of 3D datasets of GCMs and of measurements along orbit. Daedalus MASE code is accompanied by a set of Jupyter Notebooks, incorporating all required theory, references, codes and plotting in a user-friendly environment. Daedalus MASE is developed and maintained at the Department for Electrical and Computer Engineering of the Democritus University of Thrace, with key contributions from several partner institutions.","daedalus MASE; daedalus mission; GCM; global circulation model; in situ measurements; lower thermosphere ionosphere; LTI","en","journal article","","","","","","","","","","Space Engineering","Astrodynamics & Space Missions","","",""
"uuid:dc1fcfb8-51b4-44d1-bf7b-e17605ed6125","http://resolver.tudelft.nl/uuid:dc1fcfb8-51b4-44d1-bf7b-e17605ed6125","Dayside Upper-Thermospheric Density Fluctuations as Observed by GRACE and GRACE-FO at ∼500 km Height","Park, Jaeheung (Korea Astronomy and Space Science Institute; Korea University of Science and Technology); van den IJssel, J.A.A. (TU Delft Astrodynamics & Space Missions); Siemes, C. (TU Delft Astrodynamics & Space Missions)","","2023","We statistically investigate fluctuation amplitudes (normalized to the background values) of dayside low-/mid-latitude upper-thermospheric mass density as observed by the Gravity Recovery and Climate Experiment (GRACE) and GRACE-Follow-On (GRACE-FO) spacecraft at ∼500 km altitude between 2002 and 2022. There are three new findings in our results. First, the climatology closely replicates previous studies on stratospheric and upper-thermospheric gravity waves (GWs) below the GRACE(-FO) altitudes. For example, in low-latitude regions, the fluctuations are stronger above continents than in the oceanic area. Mid-latitude fluctuations prefer the local winter hemisphere to the summer, and the South American/Atlantic region in June solstice hosts stronger fluctuations than in any other low-/mid-latitude locations or seasons. Fluctuations are more intense under lower solar activity. The above-mentioned consistency of the GRACE(-FO) results with previous lower-altitude GW studies confirms that GWs can penetrate up to 500 km. Second, the anti-correlation of upper-thermospheric GW with solar activity, which has been earlier reported for multi-year time scales, can also be identified on the scale of the solar rotation period (∼27 days). Third, we demonstrate asymmetry between pre-noon and post-noon GWs. The former exhibits stronger GW activity, which may result from the colder thermosphere being more favorable for intense mass density fluctuations via secondary/tertiary GW generation.","accelerometer; GRACE; GRACE-FO; gravity wave; upper thermosphere","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:f8938fe2-127b-4ac9-bcb1-e4af8c791a23","http://resolver.tudelft.nl/uuid:f8938fe2-127b-4ac9-bcb1-e4af8c791a23","Care Ethics and the Future of Work: a Different Voice","Ley, Madelaine (TU Delft Ethics & Philosophy of Technology)","","2023","The discourse on the future of work should learn from a turn in philosophy that occurred in the 1980s, one that recognizes the good life towards which ethics strives can only be reached on a foundation of caring relationships (Gillian, 1982; Noddings, 1984). Care ethics recognizes that human well-being is a group project, one that involves strong relationships, and concern for bodies and emotions. Too often, these features are left out of research exploring robotics in the workplace. This paper outlines the main tenets of care ethics, then applies the moral framework to the context of industrial and retail settings using robots. This approach sees these contexts through a relational lens, helping to identify, evaluate, and improve relationships critical to ensuring workers’ well-being. Second, care ethics considers taking care of people’s bodies beyond mere safety, examining how working with robots can exacerbate societal or economic pressures. Lastly, care ethics takes emotions as an important source of knowledge in building and supporting care. Additionally, this paper contributes to the care ethics literature by applying the framework to the context of robotized industrial workplaces, which has yet to be done.","Care ethics; Embodiment; Emotions; Future of work; Robot ethics","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:116a2af7-38c1-4178-8221-4370fe2a37f7","http://resolver.tudelft.nl/uuid:116a2af7-38c1-4178-8221-4370fe2a37f7","Automated opioid risk scores: a case for machine learning-induced epistemic injustice in healthcare","Pozzi, G. (TU Delft Ethics & Philosophy of Technology)","","2023","Artificial intelligence-based (AI) technologies such as machine learning (ML) systems are playing an increasingly relevant role in medicine and healthcare, bringing about novel ethical and epistemological issues that need to be timely addressed. Even though ethical questions connected to epistemic concerns have been at the center of the debate, it is going unnoticed how epistemic forms of injustice can be ML-induced, specifically in healthcare. I analyze the shortcomings of an ML system currently deployed in the USA to predict patients’ likelihood of opioid addiction and misuse (PDMP algorithmic platforms). Drawing on this analysis, I aim to show that the wrong inflicted on epistemic agents involved in and affected by these systems’ decision-making processes can be captured through the lenses of Miranda Fricker’s account of hermeneutical injustice. I further argue that ML-induced hermeneutical injustice is particularly harmful due to what I define as an automated hermeneutical appropriation from the side of the ML system. The latter occurs if the ML system establishes meanings and shared hermeneutical resources without allowing for human oversight, impairing understanding and communication practices among stakeholders involved in medical decision-making. Furthermore and very much crucially, an automated hermeneutical appropriation can be recognized if physicians are strongly limited in their possibilities to safeguard patients from ML-induced hermeneutical injustice. Overall, my paper should expand the analysis of ethical issues raised by ML systems that are to be considered epistemic in nature, thus contributing to bridging the gap between these two dimensions in the ongoing debate.","Automated hermeneutical appropriation; Epistemic injustice; Epistemology and ethics of ML; Hermeneutical injustice; Medical ML; Opioid risk score; PDMP","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:ced82361-2f7d-4237-94d0-409850bb9d29","http://resolver.tudelft.nl/uuid:ced82361-2f7d-4237-94d0-409850bb9d29","Aerodynamic benefits of drafting in speed skating: Estimates from in-field skater's wakes and wind tunnel measurements","Terra, W. (NOC * NSF); Spoelstra, A.M.C.M.G. (TU Delft Aerodynamics); Sciacchitano, A. (TU Delft Aerodynamics)","","2023","The effect of drafting on the aerodynamic drag of a long-track speed skater is investigated in-field, at the 400m ice-rink of Thialf, Heerenveen. The Ring of Fire system is used to measure the flow downstream of an elite, isolated skater at approximately 11 m/s, transiting repeatedly through a tunnel filled with Helium-filled soap bubble flow tracers. Large-scale stereoscopic particle image velocimetry is used at an acquisition frequency of 500 Hz to obtain the near to far wake up to 11 m distance behind the skater. Over these 11 m, the center of gravity of the wake can shift up to 10 cm laterally, depending on the phase of the skating motion, and it moves about 15 cm to the floor. The former suggests that a trailing skater should slightly adapt its trajectory to achieve the lowest aerodynamic drag by drafting. The drag reduction of a trailing skater is estimated from the measurements on the isolated rider, assuming that the trailing rider's drag reduction only stems from the loss in total pressure in the wake of the first rider. The drag reduction is obtained with varying lateral and longitudinal distance between the leading and hypothetical trailing rider. It is observed that the peak reduction (∼40%) steeply decays with increasing lateral offset: at an offset of 50 cm the reduction is negligible. Instead, with increasing longitudinal offset, the decay is more gradual: at a distance of 11 m the reduction of 17% remains significant. The in-field estimations of the drag reduction are supported by wind tunnel measurements conducted on scaled skater models. Finally, the results obtained on the ice-rink indicate that a trailing skater should follow a slightly wider trajectory of about 20 cm, in comparison to the leading skater, to achieve the peak drag reduction during the entire skating stroke.","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:404a27d7-0a55-4ce5-a90d-8ec315e70cc6","http://resolver.tudelft.nl/uuid:404a27d7-0a55-4ce5-a90d-8ec315e70cc6","A wavelet-based separation method for tonal and broadband components of low Reynolds-number propeller noise","Meloni, S. (Universita degli Studi della Tuscia Viterbo); de Paola, E. (University of Roma Tre); Grande, E. (TU Delft Wind Energy); Ragni, D. (TU Delft Wind Energy); Stoica, L. G. (University of Roma Tre); Di Marco, A. (University of Roma Tre); Camussi, R. (University of Roma Tre)","","2023","Propeller noise generally exhibits a rich mixture of tonal and broadband components related to different physical mechanisms. Specifically, the tones are characterized by having deterministic and persistent characteristics, while the broadband counterpart has random behaviour. The separation is essential for the experimenters as they provide information on the different noise sources. In this framework, the study presents a novel wavelet-based method able to separate the noise emitted by a low Reynolds number propeller into its tonal and broadband components. The technique is applied to an isolated rotor operating under different loading configurations, including hover and cruise conditions. The acoustic pressure data are obtained in the anechoic tunnel (A-tunnel) of the TU Delft low-speed laboratory with a near-field polar and azimuthal distribution of microphones. The method is based upon a threshold varying procedure that separates the tonal and broadband components through the computation of two-point statistics. Advantages and drawbacks with respect to other methodologies already known from the literature are discussed. The application of the method provides the spectral content of the tonal and broadband components as well as the different polar and azimuthal directivity. Specifically, the observed dipole-like shape directivity for the tonal part and flatter broadband OASPL, confirm that the method can provide quite a good separation. Furthermore, the overall flow behaviour is inferred from the decomposition and validated through benchmarked flow visualizations.","aeroacoustics; drone; PIV; propeller noise; wavelet decomposition","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:a4ffa499-f887-4e30-811a-5ad375fde044","http://resolver.tudelft.nl/uuid:a4ffa499-f887-4e30-811a-5ad375fde044","Individual yield nowcasting for residential PV systems","Grzebyk, Daniel (Student TU Delft; Solar Monkey); Alcañiz Moya, A. (TU Delft Photovoltaic Materials and Devices); Donker, Jaap (Solar Monkey); Zeman, M. (TU Delft Electrical Sustainable Energy); Ziar, H. (TU Delft Photovoltaic Materials and Devices); Isabella, O. (TU Delft Photovoltaic Materials and Devices)","","2023","Due to the inherent uncertainty in photovoltaic (PV) energy generation, an accurate power forecasting is essential to ensure a reliable operation of PV systems and a safe electric grid. Machine learning (ML) techniques have gained popularity on the development of this task due to its increased accuracy. Most literature, however, focuses only on less than 5 PV systems during training process, which does not ensure generalization to unseen systems. When in presence of a large feet, regional forecasts are the norm. Nevertheless, none of these approaches are usable when it comes to monitoring residential PV systems. In this work, we propose a single ML model that is able to predict the individual power of a large fleet of 1102 PV systems. XGBoost algorithm was selected as the most suitable algorithm for the task of PV yield nowcasting due to its performance and ease of use. This algorithm obtains Mean Absolute Error (MAE) of 0.877 kWh (considering an average system size of 4.44 kWp) and Mean Absolute Percentage Error (MAPE) of 23% for hourly data aggregated to daily values. XGBoost predictions for individual PV systems are on average two times better than currently used commercial software. We discuss the lack of a suitable loss function that can combine absolute and relative errors for residential PV yield forecasting. We also point out the lack of an adequate metric to compute the error made on the predictions and provide hints on developing a suitable one.","Forecasting; Loss function; Machine learning; Nowcasting; Photovoltaics; Solar yield prediction; XGBoost","en","journal article","","","","","","","","","","Electrical Sustainable Energy","Photovoltaic Materials and Devices","","",""
"uuid:bd166f7a-9850-4d41-ac4c-873f62c41c83","http://resolver.tudelft.nl/uuid:bd166f7a-9850-4d41-ac4c-873f62c41c83","In-situ damage mechanism investigation and a prediction model for delamination with fibre bridging in composites","Yao, Liaojun (Harbin Institute of Technology); Liu, J. (Harbin Institute of Technology); Lyu, Zhangming (Harbin Institute of Technology); Alderliesten, R.C. (TU Delft Structural Integrity & Composites); Hao, Cui (Northwestern Polytechnical University); Ren, Chuanxi (Chinese Academy of Sciences); Guo, Licheng (Harbin Institute of Technology)","","2023","Carbon-fibre reinforced composites are susceptible to delamination. Fibre bridging is an important shielding mechanism frequently observed in delamination. The presence of these bridging fibres can significantly increase interlaminar resistance, making it critical to represent this phenomenon for delamination characterization in composite laminates. To this end, in-situ SEM examinations were carried out to thoroughly explore damage mechanisms around delamination front as well as in bridging fibres. It was found that micro-cracks initiated at fibre–matrix interface can gradually develop and coalesce into micro-delaminations ahead of the main crack. The accumulation of these micro-delaminations can finally cause macro delamination propagation. The performance of bridging fibres can be summarized as three typical stages, i.e. bending, fibre–matrix peeling and final breakage with crack opening. Subsequently, theoretical discussions on bridging stress distribution were conducted in accordance with these bridging mechanism examinations, contributing to a new traction-separation constitutive to represent fibre bridging performance. A FEA prediction model was finally developed to characterize delamination behavior with fibre bridging. The simulation results can agree well with the experimental data in the entire delamination, demonstrating its effectiveness in fibre-bridged delamination representation. This study also demonstrated the importance of having in-depth understanding on fibre bridging mechanisms to appropriately represent bridging performance during delamination growth in composite laminates.","Bridging mechanisms; Delamination; Polymer-matrix composites; Prediction model","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Structural Integrity & Composites","","",""
"uuid:686e2361-38d3-42fe-b13d-d934479799c1","http://resolver.tudelft.nl/uuid:686e2361-38d3-42fe-b13d-d934479799c1","Evolution of ship damage stability assessment—Transitioning designers to direct numerical simulations","Mauro, F. (TU Delft Ship Design, Production and Operations; University of Strathclyde); Vassalos, Dracos (University of Strathclyde); Paterson, Donald (University of Strathclyde); Boulougouris, Evangelos (University of Strathclyde)","","2023","Theory and application of damage stability followed over the years two dissociated paths: static assessments and dynamic simulations. The first approach, being easy to apply and understand, has been preferred by ship designers and regulators; the second, more advanced and first-principle oriented, has been mainly reserved for research or high-level consultancy, especially for passenger ships. Nowadays, the availability of numerical flooding simulation tools across the scientific community and calculation power in the industry allows for a possible definitive transition of damage stability assessment towards direct numerical analyses. However, research should softly drive designers towards more advanced processes via a suitable didascalic calculation framework. The multi-level approach pursued in project FLARE is an example of such a transition from static to dynamic damage stability assessment. The present work initially carefully reviews the probabilistic concept of damage stability, critically comparing the prescriptive statistical methods with direct ones and providing insights and guidance on how researchers and designers can reconcile with the original implicit assumption of the probabilistic approach. Secondly, the development of the multi-level framework highlights incongruences concerning modelling of damages between static and dynamic assessments, disfavouring the comprehension of dynamic results to designers. Two detailed examples highlight the differences in dynamic simulation results between different damage breach modelling, leading to completely different flooding paths for the same damage case. Finally, the paper indicates how a compromise between academic approach and application could help designers to start their transition towards direct numerical damage stability analyses.","Damage stability; Direct method; Multi-level framework; Passenger ship; Survivability","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:50e1cc03-d74a-4ec6-8649-0e6a6a927afc","http://resolver.tudelft.nl/uuid:50e1cc03-d74a-4ec6-8649-0e6a6a927afc","Sensing the Local Magnetic Environment through Optically Active Defects in a Layered Magnetic Semiconductor","Klein, Julian (Massachusetts Institute of Technology); Song, Zhigang (Harvard University; UCLA); Pingault, B.J. (TU Delft QID/Taminiau Lab; Harvard University); Dirnberger, Florian (City College of New York); Chi, Hang (U.S. Army Research Laboratory; Massachusetts Institute of Technology); Curtis, Jonathan B. (Harvard University; UCLA); Dana, Rami (Massachusetts Institute of Technology); Bushati, Rezlind (City College of New York; City University of New York); Quan, Jiamin (The University of Texas at Austin; City University of New York; City College of New York)","","2023","Atomic-level defects in van der Waals (vdW) materials are essential building blocks for quantum technologies and quantum sensing applications. The layered magnetic semiconductor CrSBr is an outstanding candidate for exploring optically active defects because of a direct gap, in addition to a rich magnetic phase diagram, including a recently hypothesized defect-induced magnetic order at low temperature. Here, we show optically active defects in CrSBr that are probes of the local magnetic environment. We observe a spectrally narrow (1 meV) defect emission in CrSBr that is correlated with both the bulk magnetic order and an additional low-temperature, defect-induced magnetic order. We elucidate the origin of this magnetic order in the context of local and nonlocal exchange coupling effects. Our work establishes vdW magnets like CrSBr as an exceptional platform to optically study defects that are correlated with the magnetic lattice. We anticipate that controlled defect creation allows for tailor-made complex magnetic textures and phases with direct optical access.","CrSBr; defect emission; defect magnetic order; magnetic correlation; magnetic semiconductor; sensing; van der Waals magnet","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","QID/Taminiau Lab","","",""
"uuid:0ae3c18e-1f86-4e90-bf4a-b2052faec3e6","http://resolver.tudelft.nl/uuid:0ae3c18e-1f86-4e90-bf4a-b2052faec3e6","A novel strain-based health indicator for the remaining useful life estimation of degrading composite structures","Galanopoulos, Georgios (University of Patras); Eleftheroglou, N. (TU Delft Structural Integrity & Composites; University of Patras); Milanoski, Dimitrios (University of Patras); Broer, Agnes A.R. (TU Delft Structural Integrity & Composites); Zarouchas, D. (TU Delft Structural Integrity & Composites); Loutas, Theodoros (University of Patras)","","2023","We present a generic methodology for developing a Health Indicator out of strain-based Structural Health Monitoring data suitable for implementation in prognostic tasks. For this purpose, an in-house test campaign is launched. Single-stringered composite panels are subjected to compression-compression fatigue with the strains being monitored with Fiber Bragg Grating sensors located along the stringers’ feet. Three different fatigue scenarios with increased complexity are investigated i.e. constant amplitude fatigue, variable amplitude fatigue and finally random amplitude (spectrum) fatigue. In this paper, we propose a fusion scheme based on Genetic Algorithms, with the resulted fused Health Indicator achieving high monotonicity and prognosability, both crucial attributes for an enhanced performance of prognostic algorithms. Finally, a popular machine learning algorithm, i.e. Gaussian Process Regression, is employed in order to predict the Remaining Useful Life of the panels in the test set. It is evidenced that the newly proposed fused Health Indicator predicts the Remaining Useful Life far more accurately as several popular performance metrics indicate. The methodology retains a data agnostic character able to be applied in Structural Health Monitoring data from different sensing technologies.","Composite structures; Fiber Bragg grating sensors; Genetic algorithms; Health indicators; Remaining Useful Life prediction; Structural health monitoring","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Structural Integrity & Composites","","",""
"uuid:18dd5d98-d185-4564-bc23-c3484c4d9f5b","http://resolver.tudelft.nl/uuid:18dd5d98-d185-4564-bc23-c3484c4d9f5b","Predictors of Persistent Somatic Symptoms in the General Population: A Systematic Review of Cohort Studies","Kitselaar, Willeke M. (Universiteit Leiden; Leiden University Medical Center); Van Der Vaart, Rosalie (Universiteit Leiden); Perschl, Johanna (Universiteit Leiden); Numans, M.E. (Leiden University Medical Center); Evers, A.W.M. (TU Delft Applied Ergonomics and Design; Universiteit Leiden)","","2023","Objective Up to 10% of the general population experiences persistent somatic symptoms (PSS). Numerous studies in a variety of health domains are dedicated to identifying factors that are associated with PSS onset. The present study aimed to provide an overview of predictors for PSS onset in the general population and the related health domains. Methods A systematic search was performed identifying longitudinal cohort studies that examined factors associated with PSS onset in the general population. Included studies measured potential predictors before PSS onset and were categorized according to the dynamic biopsychosocial model. Four levels of evidence were discerned for predictors, based on the number of studies and percentage of consistent findings. Results In the 154 articles eligible for analysis, 27 PSS subtypes were studied, with primary focus on fibromyalgia (25.0%) and irritable bowel syndrome (23.3%). Of the >250 predictors of PSS onset, 46 were investigated more than once and showed consistent results. Strong evidence identifies biological (e.g., infections, body weight-related metrics), psychological (e.g., sleep problems, psychopathology), interpersonal (life events, childhood/interpersonal stress), contextual (employment), and health behavioral (health care utilization) predictors. Conclusions The results provide strong evidence for factors from all dynamic biopsychosocial domains, although interpersonal and health behavioral factors are relatively under investigated. Thus, evidence suggests that reduction of predictors of PSS onset to a specific factor/domain may be too restrictive. There is no evidence that this differs per PSS subtype. Exploring all domains and measuring common factors across subtypes are essential to improve the clinical course of PSS.","biopsychosocial model; functional somatic symptoms; medically unexplained symptoms; persistent somatic symptoms; risk factors; systematic review","en","journal article","","","","","","","","","","","Applied Ergonomics and Design","","",""
"uuid:dc3952be-47af-4ccd-99a8-dc6188df000d","http://resolver.tudelft.nl/uuid:dc3952be-47af-4ccd-99a8-dc6188df000d","Use of algebraic dual spaces in domain decomposition methods for Darcy flow in 3D domains","Jain, V. (TU Delft Aerodynamics); Palha, A. (TU Delft Aerodynamics); Gerritsma, M.I. (TU Delft Aerodynamics)","","2023","In this work we use algebraic dual spaces with a domain decomposition method to solve the Darcy equations. We define the broken Sobolev spaces and their finite dimensional counterparts. A global trace space is defined that connects the solution between the broken spaces. Use of algebraic dual spaces results in a sparse, metric-free representation of the incompressibility constraint, the pressure gradient term, and on the continuity constraint between the sub domains. To demonstrate this, we solve two test cases: (i) a manufactured solution case, and (ii) an industrial benchmark reservoir modelling problem SPE10. The results demonstrate that the dual spaces can be used for domain decomposition formulation, and despite having more unknowns, requires less simulation time compared to the continuous Galerkin formulation, without compromising on the accuracy of the solution.","Algebraic dual spaces; Darcy equations; Domain decomposition; Hybrid finite elements; Mimetic spectral element method; SPE10","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:354975ba-8c87-405a-a61a-5cb31d3b2399","http://resolver.tudelft.nl/uuid:354975ba-8c87-405a-a61a-5cb31d3b2399","Energy analysis of autoclave CFRP manufacturing using thermodynamics based models","Ogugua, C.J. (TU Delft Aerospace Manufacturing Technologies); Anton, S.V. (TU Delft Aerospace Manufacturing Technologies); Tripathi, A.P. (TU Delft Education AE); Larrabeiti, Miguel Dominguez; van Hees, S.O. (TU Delft Aerospace Manufacturing Technologies); Sinke, J. (TU Delft Aerospace Manufacturing Technologies); Dransfeld, C.A. (TU Delft Aerospace Manufacturing Technologies)","","2023","Autoclave curing is one of the most energy consuming processes in manufacturing carbon fibre reinforced polymers. In order to improve the energy efficiency, one needs to understand energy usage in an autoclave and factors that influence it. This work presents two thermodynamic based models for estimating energy consumption in an autoclave. The first model is an analytical approach based on simplified heat capacity equation. The second model combines the Multi-Relaxation-Time Lattice Boltzmann method (MRT LBM) with Fourier heat equation to simulate autoclave temperature flow and energy consumption. The output from the two models were compared to energy consumption data collected using a power meter. The estimated values from the MRT LBM method showed a better match with only 1% difference from the experimental value. Since the two models are parametric and scalable, a what-if analysis was carried out to investigate the influence of varying process parameters on autoclave energy consumption. Parameters including cure cycle, autoclave size and loading capacity.","Autoclave curing; Composites manufacturing; Energy consumption; Energy efficiency; Lattice Boltzmann","en","journal article","","","","","","","","","","","Aerospace Manufacturing Technologies","","",""
"uuid:cf8570f2-7241-4202-bd76-55b55243496f","http://resolver.tudelft.nl/uuid:cf8570f2-7241-4202-bd76-55b55243496f","Structural integrity assessment of a full-scale adhesively-bonded bi-material joint for maritime applications","Saeedifar, M. (TU Delft Structural Integrity & Composites); Saleh, M. (TU Delft Aerospace Structures & Computational Mechanics; Technology Innovation Institute); Krairi, Anouar (Material Innovation Institute (M2i)); Teixeira De Freitas, S. (TU Delft Structural Integrity & Composites); Zarouchas, D. (TU Delft Structural Integrity & Composites)","","2023","The present study proposes a comprehensive integrity assessment approach for a full-scale adhesively-bonded bi-material joint for maritime applications. The joint represents a cross-section of the bond-line connection of a ship with a steel hull and a sandwich composite superstructure. The full-scale joint consists of a sandwich composite core adhesively bonded to two U-shaped steel brackets. The joint was subjected to a quasi-static loading profile including 6 load cycles up to the final failure. Each load cycle was followed by a dwell segment holding the joint at the maximum displacement for 30 s and then unloading to 50% of the maximum displacement. Three Structural Health Monitoring (SHM) techniques including Acoustic Emission (AE), Fiber Optic Sensor (FOS), and Digital Image Correlation (DIC) were employed during the test to assess the damage state of the joint. Moreover, a Finite Element Model (FEM) was developed to simulate the evolution behavior of different damage mechanisms in the joint and the FE results were compared against the experimental findings. The obtained results showed that the integration of all the employed techniques could successfully detect the damage initiation, assess the severity of the damage, localize the critical regions of the joint, and distinguish the different damage mechanisms.","Acoustic emission; Adhesively-bonded bi-material joint; Finite element analysis (FEA); Full-scale mechanical test; Integrity assessment; Structural health monitoring","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:f17c7abd-9d05-4fa3-8e96-7f3780f01995","http://resolver.tudelft.nl/uuid:f17c7abd-9d05-4fa3-8e96-7f3780f01995","Sensitivity analysis for buckling characterisation using the vibration correlation technique","Baciu, Theodor D. (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR); University of Bremen; Student TU Delft); Degenhardt, Richard (Private University of Applied Sciences; Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR); University of Bremen); Franzoni, Felipe (Deutsches Zentrum für Luft- und Raumfahrt e.V. (DLR)); Gliszczynski, Adrian (Private University of Applied Sciences; Lodz University of Technology); Arbelo, Mariano A. (Division of Fundamental Science); Castro, Saullo G.P. (TU Delft Aerospace Structures & Computational Mechanics); Kalnins, Kaspars (Riga Technical University)","","2023","The Vibration Correlation Technique (VCT) is a non-destructive method to predict buckling loads for imperfection-sensitive structures. While successfully used to validate numerical models and predict experimental buckling loads, recommendations for defining the VCT experiment are scarce. Here, its sensitivity towards the number of load steps and the maximum load level measured is studied, and an uncertainty quantification of the measured frequency affecting the VCT prediction is performed First, a series of finite element (FE) models representing nominally identical cylinders, and validated by buckling experiments, are used to perform a sensitivity study. When no frequency deviations are introduced in the FE results, a positive correlation between the VCT predictions and the maximum load used for measurements is found, the number of load steps used being only relevant in reducing the errors. Introducing frequency deviations deterred the predictions correlation with the maximum load, while using more load steps reduced this influence. Second, a sensitivity study based on experimental data confirmed most of the trends previously observed using the FE results, the exception being a poor prediction sensitivity as a function of the maximum load, owing to several cylinders for which the VCT method gave predictions that progressively decreased with increasing the load.","Composite structures; Sensitivity analysis; Shell buckling; Test set-up; Uncertainty quantification; Vibration correlation technique","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:4b372e6a-c0dc-4c1a-b5f6-27a20830a2ff","http://resolver.tudelft.nl/uuid:4b372e6a-c0dc-4c1a-b5f6-27a20830a2ff","Resilience assessment of chemical industrial areas during Natech-related cascading multi-hazards","Zeng, Tao (South China University of Technology; Katholieke Universiteit Leuven; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety); Chen, Guohua (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Katholieke Universiteit Leuven; Universiteit Antwerpen); Hu, Kun (South China University of Technology; Guangdong Provincial Science and Technology Collaborative Innovation Center for Work Safety)","","2023","In chemical industrial areas, technological accidents triggered by natural events (Natech events) may escalate. Complex cascading multi-hazard scenarios with high uncertainties may be caused. Resilience is an essential property of a system to withstand and recover from disruptive events. The present study focuses on the change of the resilience level due to (possible) interactions between cascading hazards, chemical installations and safety barriers during the dynamic evolution of fire escalations triggered by a natural hazard (certain cascading multi-hazard scenarios). A quantitative resilience assessment method is developed to this end. The state transition of a system facing accidents in the context of resilience is explored. Moreover, the uncertainties accompanying an accident evolution are quantified using a Dynamic Bayesian Network, allowing a detailed analysis of the system performance in different time steps. System resilience is measured as a time-dependent function with respect to the change of system performance. The applicability of the proposed methodology is demonstrated by a case study, and the effects of different configurations of safety barriers on improving resilience are discussed. The results are valuable to support disaster prevention within chemical industrial areas.","Cascading multi-hazard; Escalation; Natech event; Resilience; Safety barrier","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-07","","","Safety and Security Science","","",""
"uuid:1095b27d-c47d-4824-8833-b29654f62fc6","http://resolver.tudelft.nl/uuid:1095b27d-c47d-4824-8833-b29654f62fc6","Organic Scintillator-Fibre Sensors for Proton Therapy Dosimetry: SCSF-3HF and EJ-260","Penner, Crystal (TRIUMF; University of British Columbia); Usherovich, Samuel (TRIUMF); Niedermeier, Jana (TRIUMF; FH Aachen University of Applied Sciences; University of Oldenburg); Belanger-Champagne, Camille (TRIUMF); Trinczek, Michael (TRIUMF); Paulssen, E. (TU Delft RST/Applied Radiation & Isotopes; FH Aachen University of Applied Sciences); Hoehr, Cornelia (TRIUMF)","","2023","In proton therapy, the dose from secondary neutrons to the patient can contribute to side effects and the creation of secondary cancer. A simple and fast detection system to distinguish between dose from protons and neutrons both in pretreatment verification as well as potentially in vivo monitoring is needed to minimize dose from secondary neutrons. Two 3 mm long, 1 mm diameter organic scintillators were tested for candidacy to be used in a proton–neutron discrimination detector. The SCSF-3HF (1500) scintillating fibre (Kuraray Co. Chiyoda-ku, Tokyo, Japan) and EJ-260 plastic scintillator (Eljen Technology, Sweetwater, TX, USA) were irradiated at the TRIUMF Neutron Facility and the Proton Therapy Research Centre. In the proton beam, we compared the raw Bragg peak and spread-out Bragg peak response to the industry standard Markus chamber detector. Both scintillator sensors exhibited quenching at high LET in the Bragg peak, presenting a peak-to-entrance ratio of 2.59 for the EJ-260 and 2.63 for the SCSF-3HF fibre, compared to 3.70 for the Markus chamber. The SCSF-3HF sensor demonstrated 1.3 times the sensitivity to protons and 3 times the sensitivity to neutrons as compared to the EJ-260 sensor. Combined with our equations relating neutron and proton contributions to dose during proton irradiations, and the application of Birks’ quenching correction, these fibres provide valid candidates for inexpensive and replicable proton-neutron discrimination detectors.","biological dosimeter; optical fibers; proton therapy dosimetry; scintillators","en","journal article","","","","","","","","","","","RST/Applied Radiation & Isotopes","","",""
"uuid:0dcdecba-cbbe-4946-8c6e-aa37a8c5a7e5","http://resolver.tudelft.nl/uuid:0dcdecba-cbbe-4946-8c6e-aa37a8c5a7e5","Tuning the Q -factor of nanomechanical string resonators by torsion support design","Li, Z. (TU Delft Dynamics of Micro and Nano Systems); Xu, M. (TU Delft QN/Groeblacher Lab; Kavli institute of nanoscience Delft); Norte, R.A. (TU Delft QN/Groeblacher Lab; TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft); Aragon, A.M. (TU Delft Computational Design and Mechanics); van Keulen, A. (TU Delft Mechanical, Maritime and Materials Engineering); Alijani, F. (TU Delft Dynamics of Micro and Nano Systems); Steeneken, P.G. (TU Delft Dynamics of Micro and Nano Systems; TU Delft QN/Steeneken Lab; Kavli institute of nanoscience Delft)","","2023","In recent years, the Q-factor of Si 3 N 4 nanomechanical resonators has significantly been increased by soft-clamping techniques using large and complex support structures. To date, however, obtaining similar performance with smaller supports has remained a challenge. Here, we make use of torsion beam supports to tune the Q-factor of Si 3 N 4 string resonators. By design optimization of the supports, we obtain a 50% Q-factor enhancement compared to the standard clamped-clamped string resonators. By performing experimental and numerical studies, we show that further improvement of the Q-factor is limited by a trade-off between maximizing stress and minimizing torsional support stiffness. Thus, our study also provides insight into dissipation limits of high-stress string resonators and outlines how advanced designs can be realized for reaching ultimate f 0 × Q product while maintaining a small footprint.","","en","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","","Dynamics of Micro and Nano Systems","","",""
"uuid:b0c787ee-67dd-4aba-98c3-550a1eb5903f","http://resolver.tudelft.nl/uuid:b0c787ee-67dd-4aba-98c3-550a1eb5903f","Phononic crystals’ band gap manipulation via displacement modes","Valiya Valappil, S. (TU Delft Computational Design and Mechanics); Aragon, A.M. (TU Delft Computational Design and Mechanics); Goosen, J.F.L. (TU Delft Computational Design and Mechanics)","","2023","Phononic crystal band gaps (BGs), which are realized by Bragg scattering, have a central frequency and width related to the unit cell's size and the impedance mismatch between material phases. BG tuning has generally been performed by either trial and error or by computational tools such as topology optimization. In either case, understanding how to systematically change the design for a particular band structure is missing. This paper addresses this by closely studying the displacement modes within the wavebands that are responsible for the BG. We look at the variation in different displacement modes due to the changes in the geometry and correlate these changes to their corresponding band structures. We then use this insight to design the unit cell for a particular application, for instance, for generating partial BGs.","Brillouin zone; Displacement modes; Partial band gaps; Phononic crystals","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:5ba743ac-59ba-40d5-b6ed-8b6fe4397989","http://resolver.tudelft.nl/uuid:5ba743ac-59ba-40d5-b6ed-8b6fe4397989","Maximum of the Membrane Model on Regular Trees","Cipriani, A. (TU Delft Applied Probability; University College London (UCL)); Dan, Biltu (Indian Institute of Science); Hazra, Rajat Subhra (Universiteit Leiden; Indian Statistical Institute); Ray, Rounak (Eindhoven University of Technology)","","2023","The discrete membrane model is a Gaussian random interface whose inverse covariance is given by the discrete biharmonic operator on a graph. In literature almost all works have considered the field as indexed over Zd, and this enabled one to study the model using methods from partial differential equations. In this article we would like to investigate the dependence of the membrane model on a different geometry, namely trees. The covariance is expressed via a random walk representation which was first determined by Vanderbei in (Ann Probab 12:311–314, 1984). We exploit this representation on m-regular trees and show that the infinite volume limit on the infinite tree exists when m≥ 3. Further we determine the behavior of the maximum under the infinite and finite volume measures.","Extremes; Membrane model; Random interfaces; Random walk representation; Trees","en","journal article","","","","","","","","","","","Applied Probability","","",""
"uuid:1331acbe-a2f3-4178-81e2-e045316afd20","http://resolver.tudelft.nl/uuid:1331acbe-a2f3-4178-81e2-e045316afd20","Model predictive control of a thermal chimney and dynamic solar shades for an all-glass facades building","de Araujo Passos, L.A. (TU Delft Team Bart De Schutter); Ceha, T.J. (TU Delft Climate Design and Sustainability); Baldi, S. (TU Delft Team Bart De Schutter; Southeast University); De Schutter, B.H.K. (TU Delft Delft Center for Systems and Control)","","2023","Controlling the operation of HVAC (Heating, Ventilation, and Air-Conditioning) systems is arguably the most effective way to reach desired indoor conditions in buildings. Nevertheless, such control may involve complex dynamics when dealing with passive energy technologies. In this paper, we focus on maximizing the passive operation of HVAC in a novel low-energy building design by means of Model Predictive Control (MPC). The low-energy building design, located in The Green Village, consists of a thermal chimney and solar shades over all-glass facades to provide the required indoor air conditioning as passively as possible. The MPC controller is based on a transient grey box model and a hierarchical control architecture to satisfy thermal comfort while minimizing the active energy requirements. Using sensor data collected from the actual building in April and May 2021, the grey box model shows a good agreement with the measurements, since the variance accounted for is 90% in most cases. Moreover, via a comparative study among different MPC architectures we show that managing the distinct transient response of each component (shades and chimney) is the best for successful overall performance – e.g. considering linear agents for shading and nonlinear agents for ventilation. The hierarchical MPC architecture established outperforms the standard ones by 22.7% in terms of control performance. We also compare the proposed MPC approach against the rule-based control method currently implemented in the actual building, which indicates that MPC demands about 78% less active energy, highlighting the proposed optimization-based control approach.","All-glass facades; And air-conditioning); HVAC (Heating; MPC (Model predictive control); Passive energy; Solar shading; Thermal chimney; Ventilation","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","Delft Center for Systems and Control","Team Bart De Schutter","","",""
"uuid:dc7f8f99-53e1-4fda-a9c7-0bb61ae792e7","http://resolver.tudelft.nl/uuid:dc7f8f99-53e1-4fda-a9c7-0bb61ae792e7","An initial study of interference coloration for quantifying the texture and fabric of ice","Owen, C.C. (TU Delft Offshore Engineering); Hendrikse, H. (TU Delft Offshore Engineering)","","2023","The manual application of universal (Rigsby) stage techniques is commonly used to determine the fabric of thin sections of ice viewed with crossed-polarized light. This process can require hours of focus in cold conditions to identify the c-axis of each grain in a thin section. Automated ice texture and fabric methods of several forms exist but are rarely implemented beyond the field of glaciology. The present study introduces a method based on the theory of interference coloration for automated ice texture and quarter fabric analysis by using in-plane conventional photography of an ice thin section as input. The method is compatible with universal stages and polariscopes, and is not restricted by the planar-face dimensions of the thin section, allowing for thin section analysis of any size when sufficient digital camera resolution is available. Light source color temperature and chromatic adaptation are considered in the interference coloration theory, and ice fabrics are simulated for reference in identifying ice types. Sample thin section texture and quarter fabric analyses from freshwater lake and laboratory-grown ice are presented to demonstrate the applications of the method. The method is compared with the Rigsby stage technique, which yielded mean (standard deviation of) azimuth and inclination errors of 2.9 (1.0) and 11.5 (8.0) degrees, respectively, thereby demonstrating accuracy sufficient for quantifying quarter fabrics when considering a mean standard deviation in inclination of 5.4 degrees with the Rigsby stage technique.","Birefringence; c-axis; Grain boundary; Ice microstructure; Image processing","en","journal article","","","","","","","","","","","Offshore Engineering","","",""
"uuid:b5a1d36b-7034-4893-9c95-03654a89da37","http://resolver.tudelft.nl/uuid:b5a1d36b-7034-4893-9c95-03654a89da37","Short pitch corrugation mitigation by rail constraint design","Zhang, P. (TU Delft Railway Engineering); Li, S. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2023","Short pitch corrugation is a quasi-periodic rail defect that induces a high level of noise and accelerates track degradation. This paper proposes a methodology to mitigate short pitch corrugation by rail constraint design, including four steps. In Step 1, corrugation is numerically reproduced by employing a three-dimensional (3D) finite element (FE) vehicle-track model with degraded fastenings. In Step 2, the corrugation initiation mechanism is identified by the operating deflection shapes (ODSs) approach. In Step 3, different types of rail constraints are designed and their effects on rail vibration modes are analysed. Then FE models of these rail constraints are built up and validated. In Step 4, rail constraint models from Step 3 are applied to the 3D FE vehicle-track interaction model and their effectiveness on corrugation mitigation is evaluated. The results indicate rail longitudinal compression modes and the induced longitudinal dynamic contact force dominate the initial differential wear and corrugation initiation. Based on this mechanism, a new rail constraint is designed in this work that can completely suppress longitudinal compression modes and significantly reduce the fluctuation amplitude of the longitudinal contact force so that corrugation can hardly initiate. This paper first points out a direction for field corrugation mitigation by strengthening the rail longitudinal constraint.","3D Fe vehicle-track dynamic interaction model; Longitudinal dynamic contact force; Operating deflection shapes; Rail constraint design; Rail longitudinal compression modes; Short pitch corrugation mitigation","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:270e5812-a37e-4289-a08b-bee663cd5792","http://resolver.tudelft.nl/uuid:270e5812-a37e-4289-a08b-bee663cd5792","Time-Inverted Kuramoto Model Meets Lissajous Curves: Multi-Robot Persistent Monitoring and Target Detection","Boldrer, M. (TU Delft Learning & Autonomous Control); Lyons, L. (TU Delft Learning & Autonomous Control); Palopoli, Luigi (Università di Trento); Fontanelli, Daniele (Università di Trento); Ferranti, L. (TU Delft Learning & Autonomous Control)","","2023","This letter proposes a distributed strategy to achieve both persistent monitoring and target detection in a rectangular and obstacle-free environment. Each robot has to repeatedly follow a smooth trajectory and avoid collisions with other robots. To achieve this goal, we rely on the time-inverted Kuramoto dynamics and the use of Lissajous curves. We analyze the resiliency of the system to perturbations or temporary failures, and we validate our approach through both simulations and experiments on real robotic platforms. In the letter, we adopt Model Predictive Contouring Control as a low level controller to minimize the tracking error while accounting for the robots' dynamical constraints and the control inputs saturation. The results obtained in the experiments are in accordance with the simulations.","Distributed control; kuramoto model; multi-robots; persistent monitoring; target detection","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Learning & Autonomous Control","","",""
"uuid:ec913c48-20ce-4750-8d57-83cdad827cea","http://resolver.tudelft.nl/uuid:ec913c48-20ce-4750-8d57-83cdad827cea","The effects of fuel type and cathode off-gas recirculation on combined heat and power generation of marine SOFC systems","van Veldhuizen, B.N. (TU Delft Ship Design, Production and Operations); van Biert, L. (TU Delft Ship Design, Production and Operations); Amladi, A. (Rijksuniversiteit Groningen); Woudstra, T. (Rijksuniversiteit Groningen); Visser, K. (TU Delft Ship Design, Production and Operations); Aravind, P.V. (Rijksuniversiteit Groningen)","","2023","An increasing demand in the marine industry to reduce emissions led to investigations into more efficient power conversion using fuels with sustainable production pathways. Solid Oxide Fuel Cells (SOFCs) are under consideration for long-range shipping, because of its high efficiency, low pollutant emissions, and fuel flexibility. SOFC systems also have great potential to cater for the heat demand in ships, but the heat integration is not often considered when assessing its feasibility. This study evaluates the electrical and heat efficiency of a 100 kW SOFC system for marine applications fuelled with methane, methanol, diesel, ammonia, or hydrogen. In addition, cathode off-gas recirculation (COGR) is investigated to tackle low oxygen utilisation and thus improve heat regeneration. The software Cycle Tempo is used to simulate the power plant, which uses a 1D model for the SOFCs. At nominal conditions, the highest net electrical efficiency (LHV) was found for methane (58.1%), followed by diesel (57.6%), and ammonia (55.1%). The highest heat efficiency was found for ammonia (27.4%), followed by hydrogen (25.6%). COGR resulted in similar electrical efficiencies, but increased the heat efficiency by 11.9% to 105.0% for the different fuels. The model was verified with a sensitivity analysis and validated by comparison with similar studies. It is concluded that COGR is a promising method to increase the heat efficiency of marine SOFC systems.","Alternative fuels; Heat integration; Ships; Solid Oxide Fuel Cell; Sustainability; Thermodynamic analysis","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:7d60774d-d5c1-4814-b38e-e524204550ed","http://resolver.tudelft.nl/uuid:7d60774d-d5c1-4814-b38e-e524204550ed","Frequency-domain stability methods for reset control systems","Ahmadi Dastjerdi, A. (TU Delft Mechatronic Systems Design); Astolfi, Alessandro (Imperial College London); Hassan HosseinNia, S. (TU Delft Mechatronic Systems Design)","","2023","Reset controllers have the potential to enhance the performance of high-precision industrial motion systems. However, similar to other non-linear controllers, the stability analysis for these controllers is complex and often requires parametric model of the system, which may hinder their applicability. In this paper a frequency-domain approach for assessing stability properties of control systems with first and second order reset elements is developed. The proposed approach is also able to determine uniformly bounded-input bounded-state (UBIBS) property for reset control systems in the case of resetting to non-zero values. An illustrative example to demonstrate the effectiveness of the proposed approach in using frequency response measurements to assess stability properties of reset control systems is presented.","Frequency-domain; H condition; Reset controllers; Stability","en","journal article","","","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:90f16a03-5856-48f3-8e8a-433d7e3a0916","http://resolver.tudelft.nl/uuid:90f16a03-5856-48f3-8e8a-433d7e3a0916","Role of Defects, Pores, and Interfaces in Deciphering the Alkali Metal Storage Mechanism in Hard Carbon","Vasileiadis, A. (TU Delft RST/Storage of Electrochemical Energy); Li, Yuqi (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Lu, Yaxiang (Chinese Academy of Sciences); Hu, Yong Sheng (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2023","There are several questions and controversies regarding the Na storage mechanism in hard carbon. This springs from the difficulty of probing the vast diversity of possible configurational environments for Na storage, including surface and defect sites, edges, pores, and intercalation morphologies. In the effort to explain the observed voltage profile, typically existing of a voltage slope section and a low-voltage plateau, several experimental and computational studies have provided a variety of contradicting results. This work employs density functional theory to thoroughly examine Na storage in hard carbon in combination with electrochemical experiments. Our calculation scheme disentangles the possible interactions by evaluating the enthalpies of formation, shedding light on the storage mechanisms. Parallel evaluation of the Li and K storage, and comparison with experiments, put forward a unified reaction mechanism for the three alkali metals. The results underline the importance of exposed metal surfaces and metal-carbon interfaces for the stability of the pore-filling mechanism responsible for the low-voltage plateau, in excellent agreement with the experimental voltage profiles. This generalized understanding provides insights into hard carbons as negative electrodes and their optimized properties.","alkali metal storage mechanism; hard carbon; lithium-ion battery; nanopores; sodium-ion battery","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:d060c9f2-bcba-4aff-93fe-1696cad1ccac","http://resolver.tudelft.nl/uuid:d060c9f2-bcba-4aff-93fe-1696cad1ccac","Macroscopic quorum sensing sustains differentiating embryonic stem cells","Daneshpour Aryadi, H. (TU Delft BN/Greg Bokinsky Lab; Kavli institute of nanoscience Delft; University of Massachusetts Medical School); van den Bersselaar, P. (TU Delft OLD BN/Hyun Youk Lab; Kavli institute of nanoscience Delft; University of Massachusetts Medical School); Chao, Chun Hao (University of Massachusetts Medical School); Fazzio, Thomas G. (University of Massachusetts Medical School); Youk, Hyun (University of Massachusetts Medical School; CIFAR)","","2023","Cells can secrete molecules that help each other’s replication. In cell cultures, chemical signals might diffuse only within a cell colony or between colonies. A chemical signal’s interaction length—how far apart interacting cells are—is often assumed to be some value without rigorous justifications because molecules’ invisible paths and complex multicellular geometries pose challenges. Here we present an approach, combining mathematical models and experiments, for determining a chemical signal’s interaction length. With murine embryonic stem (ES) cells as a testbed, we found that differentiating ES cells secrete FGF4, among others, to communicate over many millimeters in cell culture dishes and, thereby, form a spatially extended, macroscopic entity that grows only if its centimeter-scale population density is above a threshold value. With this ‘macroscopic quorum sensing’, an isolated macroscopic, but not isolated microscopic, colony can survive differentiation. Our integrated approach can determine chemical signals’ interaction lengths in generic multicellular communities. [Figure not available: see fulltext.].","","en","journal article","","","","","","","","","","","BN/Greg Bokinsky Lab","","",""
"uuid:475945f1-df19-4dfc-a060-5fc6bcd1d4ab","http://resolver.tudelft.nl/uuid:475945f1-df19-4dfc-a060-5fc6bcd1d4ab","The inclusion of scalar dissipation rate in modeling of an n-dodecane spray flame using flamelet generated manifold","Bao, Hesheng (Eindhoven University of Technology); Akargun, Hayri Yigit (Eindhoven University of Technology); Roekaerts, D.J.E.M. (TU Delft Fluid Mechanics; Eindhoven University of Technology); Somers, Bart (Eindhoven University of Technology)","","2023","In this work, an extension of the Flamelet Generated Manifold (FGM) method is developed suitable for igniting turbulent flames. To create the FGM, the strongly stretched flamelet equations (SSFE) are solved. Whereas in the standard basic method a single representative flamelet strain rate is used, in the new method a range of strain rates is taken into account. This allows including the effect of a varying turbulent scalar dissipation rate (SDR) during ignition. The new approach is validated by applying it in an Large Eddy Simulation (LES) of the Engine Combustion Network (ECN) Spray A turbulent flame for which detailed experimental data are available. First, in a priori validation step, the performance of the new extended FGM, the multi-strainrate FGM (mFGM), is validated by the simulation of ignition and species profiles in laminar flames along the so-called S-curve diagram and comparing with full chemistry calculations. The sub-grid scale (SGS) spray dispersion model is validated against the inert spray experiments in terms of vapor and liquid penetration as well as the spatial distribution of mixture fraction and its root mean square. Finally, the performance of the extended FGM is evaluated by comparison with the ECN Spray A flame. It is found that compared to the single-strain-rate FGM, the prediction of the ignition delay is improved considerably. This is related to the effect of the inclusion of the effect of the SDR, which is mainly on the second-stage ignition, i.e. the high-temperature chemistry. The low-temperature combustion is also affected as it occurs in richer mixtures than observed for the single-strain-rate FGM. Especially the formaldehyde, associated with low-temperature combustion, occurs in wider distribution. Finally, also predictions of soot evolution are studied. To improve the soot prediction capabilities, a new correction to the retrieved source term of the important pre-cursor, acetylene, is introduced. The above modeling developments have been made using a customized OpenFOAM solver developed by the authors. This work demonstrates the importance of including the SSFE SDR as independent parameter in an FGM based on igniting flamelets.","ECN Spray A; Flamelet generated manifold; Ignition; Scalar dissipation rate; Soot","en","journal article","","","","","","","","","","","Fluid Mechanics","","",""
"uuid:7070702e-4949-4c2a-afdf-69866796ec07","http://resolver.tudelft.nl/uuid:7070702e-4949-4c2a-afdf-69866796ec07","Dispatching a fleet of electric towing vehicles for aircraft taxiing with conflict avoidance and efficient battery charging","van Oosterom, S.J.M. (TU Delft Control & Simulation); Mitici, M.A. (Universiteit Utrecht); Hoekstra, J.M. (TU Delft Control & Simulation)","","2023","Following the Paris Accords, the aviation industry aims to become climate neutral by 2050. In this line, electric vehicles that tow aircraft during taxiing are a promising emerging technology to reduce emissions at airports. This paper proposes an end-to-end optimization framework for electric towing vehicles (ETVs) dispatchment at large airports. We integrate the routing of the ETVs in the taxiway system where minimum separation distances are ensured at all times, with the assignment of these ETVs to aircraft towing tasks and scheduling ETV battery recharging. For ETV recharging, we consider a preemptive charging policy where the charging times depend on the residual state-of-charge of the battery. We illustrate our model for one day of operations at a large European airport. The results show that the 913 arriving and departing flights can be towed with 38 ETVs, with battery charging distributed throughout the day. The fleet size is shown to increase approximately linear with the number of flights in the schedule. We also propose a greedy dispatchment of the ETVs, which is shown to achieve an optimality gap of 6% with respect to the number of required vehicles and with 22% with respect to the maximum delay during towing. We also show that both algorithms can be leveraged to account for flight delays using a rolling horizon approach, and that over 95% of the flights can be reallocated if delays occur. Overall, we propose a roadmap for ETV management at large airports, considering realistic ETV specifications (battery capabilities, kinematic properties) and requirements for aircraft collision avoidance during towing.","Electric aircraft taxiing; Electric towing vehicles; Partial battery recharging; Sustainable aviation; Vehicle routing problem","en","journal article","","","","","","","","","","","Control & Simulation","","",""
"uuid:e1447a87-b51f-4168-9ceb-5241d11c73ff","http://resolver.tudelft.nl/uuid:e1447a87-b51f-4168-9ceb-5241d11c73ff","Experimental and modeling study on the transient flow and time-dependent yield stress of superfine-tailings cemented paste backfill","Guo, Z. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Northeastern University); Qiu, Jingping (Northeastern University); Jiang, Haiqiang (Northeastern University); Zhu, Qiang (A*STAR Computational Resource Centre (A*CRC); Nanyang Technological University; Agency for Science, Technology and Research); Wang Kwek, Jin (Agency for Science, Technology and Research); Ke, Lin (A*STAR Computational Resource Centre (A*CRC)); Qu, Zhengyao (A*STAR Computational Resource Centre (A*CRC))","","2023","The fresh superfine-tailings cemented paste backfill (SCPB) exhibits strong thixotropy, but quantification of the resulting transient flow (non-steady state) and time-dependent yield stress is lacking. In this study, a simple qualitative model was developed to describe the transient flow and time-dependent yield stress of SCPB. The effect of pre-shear time on the rheological behavior of SCPB was investigated. In addition, the adaptability of conventional non-Newtonian rheological models to SCPB was also evaluated. The results showed the Herschel-Bulkley model provides the most stable dynamic yield stress estimation of SCPB compared to the Bingham and modified Bingham models. A longer pre-shear time (within 500 s) led to smaller initial static yield stress and delayed recovery kinetics of static yield stress, but hardly affected the steady state of SCPB and the time required to reach it. The proposed model provides a good quantification of the transient flow at a given shear rate and time-dependent yield stress of SCPB.","Pre-shear time; Rheological model; Static yield stress; Superfine-tailings cemented paste backfill; Thixotropy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-13","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:7af66545-00ef-40a1-a4fe-35af7ac34b91","http://resolver.tudelft.nl/uuid:7af66545-00ef-40a1-a4fe-35af7ac34b91","A bottom-up ontology-based approach to monitor circular economy: Aligning user expectations, tools, data and theory","Sileryte, R. (TU Delft Environmental Technology and Design); Wandl, Alex (TU Delft Environmental Technology and Design); van Timmeren, A. (TU Delft Environmental Technology and Design)","","2023","With circular economy being high on governmental agendas, there is an increasing request from governing bodies for circularity measurements. Yet, currently existing macro-level monitoring frameworks are widely criticized for not being able to inform the decision-making. The criticism includes, among others, a lack of consensus on terminologies and definitions among scholars, politicians, and practitioners, a lack of supporting data and tools and, consequently, a lack of transparency and trustworthiness. To address those needs, a bottom-up approach to build a shared terminology is suggested as a starting point for monitoring development. The government, data providers, and tool developers are involved in the process of formal ontology development and alignment. The experiment builds upon a use case of the Amsterdam Circular Economy Monitor (2020). First, four ontology development approaches are used to create a theory-centered, a user-centered, a tool-centered, and a data-centered ontology. The ontologies are later compared, merged, and aligned to arrive at one single ontology which forms the basis of the circular economy monitor. The notes taken during the process have revealed that next to a material flow model, typical of socioeconomic metabolism analysis, policy makers are concerned with actors (i.e., institutions, companies, or groups of people) who participate in the analyzed processes and services. Furthermore, a number of terms used by the decision-makers lack clear definitions and references to be directly associated with the available data. Finally, a structured terminology alignment process between monitor users, developers, and data providers helps in exposing terminology conflicts and ambiguities.","circular economy; circular economy monitor; industrial ecology; ontology; ontology alignment; transition management","en","journal article","","","","","","","","","","","Environmental Technology and Design","","",""
"uuid:bb734f73-bf2a-4e6b-959c-1cb157fb58f9","http://resolver.tudelft.nl/uuid:bb734f73-bf2a-4e6b-959c-1cb157fb58f9","Overflow metabolism at the thermodynamic limit of life: How carboxydotrophic acetogens mitigate carbon monoxide toxicity","Allaart, M.T. (TU Delft BT/Environmental Biotechnology); Diender, Martijn (Wageningen University & Research); Sousa, Diana Z. (Wageningen University & Research); Kleerebezem, R. (TU Delft BT/Environmental Biotechnology)","","2023","Carboxydotrophic metabolism is gaining interest due to its applications in gas fermentation technology, enabling the conversion of carbon monoxide to fuels and commodities. Acetogenic carboxydotrophs play a central role in current gas fermentation processes. In contrast to other energy-rich microbial substrates, CO is highly toxic, which makes it a challenging substrate to utilize. Instantaneous scavenging of CO upon entering the cell is required to mitigate its toxicity. Experiments conducted with Clostridium autoethanogenum at different biomass-specific growth rates show that elevated ethanol production occurs at increasing growth rates. The increased allocation of electrons towards ethanol at higher growth rates strongly suggests that C. autoethanogenum employs a form of overflow metabolism to cope with high dissolved CO concentrations. We argue that this overflow branch enables acetogens to efficiently use CO at highly variable substrate influxes by increasing the conversion rate almost instantaneously when required to remove toxic substrate and promote growth. In this perspective, we will address the case study of C. autoethanogenum grown solely on CO and syngas mixtures to assess how it employs acetate reduction to ethanol as a form of overflow metabolism.","","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:fa68def3-00c9-4d62-a5c3-9c2acfdce0d5","http://resolver.tudelft.nl/uuid:fa68def3-00c9-4d62-a5c3-9c2acfdce0d5","Interfacial Tensions, Solubilities, and Transport Properties of the H2/H2O/NaCl System: A Molecular Simulation Study","van Rooijen, W.A. (TU Delft Geoscience and Engineering); Habibi, P. (TU Delft Engineering Thermodynamics); Xu, K. (Student TU Delft); Dey, P. (TU Delft Team Poulumi Dey); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics); Hajibeygi, H. (TU Delft Geoscience and Engineering); Moultos, O. (TU Delft Engineering Thermodynamics)","","2023","Data for several key thermodynamic and transport properties needed for technologies using hydrogen (H2), such as underground H2 storage and H2O electrolysis are scarce or completely missing. Force field-based Molecular Dynamics (MD) and Continuous Fractional Component Monte Carlo (CFCMC) simulations are carried out in this work to cover this gap. Extensive new data sets are provided for (a) interfacial tensions of H2 gas in contact with aqueous NaCl solutions for temperatures of (298 to 523) K, pressures of (1 to 600) bar, and molalities of (0 to 6) mol NaCl/kg H2O, (b) self-diffusivities of infinitely diluted H2 in aqueous NaCl solutions for temperatures of (298 to 723) K, pressures of (1 to 1000) bar, and molalities of (0 to 6) mol NaCl/kg H2O, and (c) solubilities of H2 in aqueous NaCl solutions for temperatures of (298 to 363) K, pressures of (1 to 1000) bar, and molalities of (0 to 6) mol NaCl/kg H2O. The force fields used are the TIP4P/2005 for H2O, the Madrid-2019 and the Madrid-Transport for NaCl, and the Vrabec and Marx for H2. Excellent agreement between the simulation results and available experimental data is found with average deviations lower than 10%.","","en","journal article","","","","","","","","","","Geoscience and Engineering","Engineering Thermodynamics","","",""
"uuid:7ff8bec0-d8ee-49fc-82c8-0245036d4e30","http://resolver.tudelft.nl/uuid:7ff8bec0-d8ee-49fc-82c8-0245036d4e30","On the trace embedding and its applications to evolution equations","Agresti, Antonio (Institute of Science and Technology Austria); Lindemulder, N. (Karlsruhe Institut für Technologie); Veraar, M.C. (TU Delft Analysis)","","2023","In this paper, we consider traces at initial times for functions with mixed time-space smoothness. Such results are often needed in the theory of evolution equations. Our result extends and unifies many previous results. Our main improvement is that we can allow general interpolation couples. The abstract results are applied to regularity problems for fractional evolution equations and stochastic evolution equations, where uniform trace estimates on the half-line are shown.","anisotropic function spaces; Besov spaces; Bessel-potential spaces; integral equations; Sobolev spaces; stochastic maximal regularity; traces; Triebel–Lizorkin spaces; weighted function spaces","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:7fd373af-bcae-4ad2-9a46-de0aebe5f2de","http://resolver.tudelft.nl/uuid:7fd373af-bcae-4ad2-9a46-de0aebe5f2de","Entangling remote qubits using the single-photon protocol: an in-depth theoretical and experimental study","Hermans, S.L.N. (TU Delft QID/Hanson Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Pompili, M. (TU Delft QID/Hanson Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Dos Santos Martins, L. (TU Delft QID/Hanson Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Rodriguez-Pardo Montblanch, A. (TU Delft QID/Hanson Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Beukers, H.K.C. (TU Delft QID/Hanson Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Baier, S. (TU Delft QID/Hanson Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Borregaard, J. (TU Delft QN/Borregaard groep; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Hanson, R. (TU Delft QID/Hanson Lab; TU Delft QN/Hanson Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2023","The generation of entanglement between remote matter qubits has developed into a key capability for fundamental investigations as well as for emerging quantum technologies. In the single-photon, protocol entanglement is heralded by generation of qubit-photon entangled states and subsequent detection of a single photon behind a beam splitter. In this work we perform a detailed theoretical and experimental investigation of this protocol and its various sources of infidelity. We develop an extensive theoretical model and subsequently tailor it to our experimental setting, based on nitrogen-vacancy centers in diamond. Experimentally, we verify the model by generating remote states for varying phase and amplitudes of the initial qubit superposition states and varying optical phase difference of the photons arriving at the beam splitter. We show that a static frequency offset between the optical transitions of the qubits leads to an entangled state phase that depends on the photon detection time. We find that the implementation of a Charge-Resonance check on the nitrogen-vacancy center yields transform-limited linewidths. Moreover, we measure the probability of double optical excitation, a significant source of infidelity, as a function of the power of the excitation pulse. Finally, we find that imperfect optical excitation can lead to a detection-arm-dependent entangled state fidelity and rate. The conclusion presented here are not specific to the nitrogen-vacancy centers used to carry out the experiments, and are therefore readily applicable to other qubit platforms.","nitrogen-vacancy centers; quantum entanglement; quantum information; quantum networks","en","journal article","","","","","","","","","","","QID/Hanson Lab","","",""
"uuid:3f8cfafc-be8b-4bfe-b683-e0db00d2bfeb","http://resolver.tudelft.nl/uuid:3f8cfafc-be8b-4bfe-b683-e0db00d2bfeb","粉末床熔融的多材料铺粉过程中粉末扩散的数值研究","Wang, L. (TU Delft Resources & Recycling); Li, Erlei (Monash University); Zhou, Zongyan (Monash University; University of Science and Technology Beijing); Zhang, Baicheng (University of Science and Technology Beijing); Yu, Aibing (Monash University)","","2023","Powder bed fusion additive manufacturing has been applied to the fabrication of functionally graded materials. A new design that allows the material composition to change along the direction perpendicular to the powder spreading has been reported in the literature. Based on this design, this work examines the quality of the graded spread powder layer with two powders, which have a large difference of density. The results reveal that during the spreading of graded powders, the volume of particles on the heavy powder side is deposited less than that on the light powder side, indicating that heavy particles diffuse to the light powder side. This diffusion is affected by the spreading speed, but not much by the layer gap. Large spreading speed causes more significant deviation. The results also show that particle size affects diffusion, indicating that decreasing the particle size of the heavy powder may be a solution to reduce diffusion. [Figure not available: see fulltext.]","DEM simulation; Functionally graded material; Powder bed fusion additive manufacturing; Powder spreading","zh","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-09","","","Resources & Recycling","","",""
"uuid:47a9f960-b538-40a5-9bdc-0bf46af444ad","http://resolver.tudelft.nl/uuid:47a9f960-b538-40a5-9bdc-0bf46af444ad","Health assessment framework of marine engines enabled by digital twins","Tsitsilonis, Konstantinos Marios (University of Strathclyde); Theotokatos, Gerasimos (University of Strathclyde); Patil, Chaitanya (University of Strathclyde); Coraddu, A. (TU Delft Ship Design, Production and Operations)","","2023","The advancements in digital twins when combined with the use of the machine learning tools can facilitate the effective health assessment and diagnostics of safety critical systems. This study aims at developing a framework to address the health assessment of marine engines utilising digital twins based on first-principles. This framework follows four distinct stages, with the former two including the marine engine digital-twin set up by customising the required thermodynamic models, as well as its calibration using tests trials data representing the engine healthy conditions. In the third stage, measurements from actual operating conditions are corrected and subsequently employed to develop the digital twin representing the prevailing conditions. The fourth stage deals with the engine health assessment by assessing health metrics derived from the developed digital twins. This framework is demonstrated in a case study of a large marine four-stroke nine-cylinder propulsion engine. The results demonstrate that three cylinders are identified to be underperforming leading to an average increase of the engine Brake Specific Fuel Consumption (BSFC) by 2.1%, whereas an average decreases of 6.8% in Indicated Mean Effective Pressure (IMEP) and 6.1% in the Exhaust Gas Temperature (EGT) are exhibited for the underperforming cylinders across the entire operating envelope. The developed digital twins facilitate the effective mapping of the engine performance for the entire operating envelope under several health conditions, providing enhanced insights for the current engine health status. The advantages of the proposed framework include the use of easily obtained data, and its application to several engine types including two and four-stroke engines for both propulsion and auxiliary use.","digital twins; engine health assessment; engine performance maps; Marine engine; measurements correction; model calibration; thermodynamic modelling","en","journal article","","","","","","","","","","","Ship Design, Production and Operations","","",""
"uuid:c9c518c5-0c72-42fa-a07d-7132acfc30bc","http://resolver.tudelft.nl/uuid:c9c518c5-0c72-42fa-a07d-7132acfc30bc","Preoperative stratification of cytologically indeterminate thyroid nodules by [18F]FDG-PET: can Orpheus bring back Eurydice?","Vriens, Dennis (Leiden University Medical Center); de Koster, Elizabeth J. (Radboud University Medical Center); de Geus-Oei, L.F. (TU Delft RST/Radiation, Science and Technology; Radboud University Medical Center; University of Twente; Leiden University Medical Center); Oyen, Wim J.G. (Radboud University Medical Center; Rijnstate Hospital; Humanitas University, Milan)","","2023","","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-20","","RST/Radiation, Science and Technology","","","",""
"uuid:808e5196-83e2-43fb-8286-65c1d49dda86","http://resolver.tudelft.nl/uuid:808e5196-83e2-43fb-8286-65c1d49dda86","Adaptive network modeling of the influence of leadership and communication on learning within an organization","Bouma, Debby (Vrije Universiteit Amsterdam); Canbaloğlu, Gülay (Koç University; Student TU Delft); Treur, J. (TU Delft Safety and Security Science; Vrije Universiteit Amsterdam); Wiewiora, Anna (Queensland University of Technology)","","2023","This research addresses the influence of leadership and communication on learning within an organisation by direct mutual interactions in dyads. This is done in combination with multilevel organizational learning as an alternative route, which includes feed forward and feedback learning. The results show that effective communication (triggered by the active team leader, and/or by natural, informal communication), leads to a faster learning process within an organization compared to the longer route via feed forward and feedback formal organisational learning. However, this more direct form of bilateral learning in general may take more of the employee's time, as a quadratic number of dyadic interactions in general is less efficient than a linear number of interactions needed for feed forward and feedback organisational learning.","Adaptive network model; Communication; Leadership; Mental models; Organizational learning","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:22b029f8-0c81-400a-b33b-4b30076fa2f1","http://resolver.tudelft.nl/uuid:22b029f8-0c81-400a-b33b-4b30076fa2f1","Settling of superparamagnetic silica encapsulated DNA microparticles in river water","Tang, Yuchen (TU Delft Water Resources); Zhang, Fengbo (IHE Delft Institute for Water Education); Bogaard, T.A. (TU Delft Water Resources); Chassagne, C. (TU Delft Environmental Fluid Mechanics); Ali, Zeeshan (Norwegian University of Science and Technology (NTNU)); Bandyopadhyay, Sulalit (Norwegian University of Science and Technology (NTNU)); Foppen, J.W.A. (TU Delft Water Resources; IHE Delft Institute for Water Education)","","2023","Particle tracers are sometimes used to track sources and sinks of riverine particulate and contaminant transport. A potentially new particle tracer is ~200 nm sized superparamagnetic silica encapsulated DNA (SiDNAFe). The main objective of this research was to understand and quantify the settling and aggregation behaviour of SiDNAFe in river waters based on laboratory settling experiments. Our results indicated, that in quiescent conditions, more than 60% of SiDNAFe settled within 30 h, starting with a rapid settling phase followed by an exponential-like slow settling phase in the three river waters we used (Meuse, Merkske, and Strijbeek) plus MilliQ water. In suspensions of 1000× higher particle concentrations, the hydrodynamic diameter (Dh-DLS) of SiDNAFe increased over time, with its polydispersity index (PDI) positively correlated with particle size. From these observations, we inferred that the rapid SiDNAFe settling was mainly due to homo-aggregation and not due to hetero-aggregation (e.g., with particulate matter present in river water). Incorporating a first-order mass loss term which mimics the exponential phase of the settling in quiescent conditions seems to be an adequate step forward when modelling the transport of SiDNAFe in river injection experiments. Furthermore, we validated the applicability of magnetic separation and up-concentration of SiDNAFe in real river waters, which is an important advantage for carrying out field-scale SiDNAFe tracing experiments.","aggregation; DNA tracer; microparticle; settling","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:526e4fc9-9559-456c-97e9-2ffbec8bd66c","http://resolver.tudelft.nl/uuid:526e4fc9-9559-456c-97e9-2ffbec8bd66c","Astrometric Apparent Motion of High-redshift Radio Sources","Titov, Oleg (Geoscience Australia); Frey, Sándor (Konkoly Observatory Hungarian Academy of Sciences; MTA Centre of Excellence; Eötvös University); Melnikov, Alexey (Institute of Applied Astronomy of the Russian Academy of Sciences); Shu, Fengchun (Chinese Academy of Sciences); Xia, Bo (Chinese Academy of Sciences); González, Javier (Observatorio de Yebes (IGN)); Tercero, Belén (Observatorio de Yebes (IGN)); Gurvits, L. (TU Delft Astrodynamics & Space Missions; Joint Institute for VLBI ERIC); de Witt, Aletha (South African Radio Astronomy Observatory)","","2023","Radio-loud quasars at high redshift (z ≥ 4) are rare objects in the universe and rarely observed with Very Long Baseline Interferometry (VLBI). But some of them have flux density sufficiently high for monitoring of their apparent position. The instability of the astrometric positions could be linked to the astrophysical process in the jetted active galactic nuclei in the early universe. Regular observations of the high-redshift quasars are used for estimating their apparent proper motion over several years. We have undertaken regular VLBI observations of several high-redshift quasars at 2.3 GHz (S band) and 8.4 GHz (X band) with a network of five radio telescopes: 40 m Yebes (Spain), 25 m Sheshan (China), and three 32 m telescopes of the Quasar VLBI Network (Russia)—Svetloe, Zelenchukskaya, and Badary. Additional facilities joined this network occasionally. The sources have also been observed in three sessions with the European VLBI Network in 2018-2019 and one Long Baseline Array experiment in 2018. In addition, several experiments conducted with the Very Long Baseline Array in 2017-2018 were used to improve the time sampling and the statistics. Based on these 37 astrometric VLBI experiments between 2017 and 2021, we estimated the apparent proper motions of four quasars: 0901+697, 1428+422, 1508+572, and 2101+600.","","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:14f38703-9d0a-4327-a32b-8b14748b0547","http://resolver.tudelft.nl/uuid:14f38703-9d0a-4327-a32b-8b14748b0547","X-ray micro-tomographic imaging and modelling of saline ice properties in concrete frost salt scaling experiments","Maus, Sönke (Norwegian University of Science and Technology (NTNU)); Bahafid, Sara (Norwegian University of Science and Technology (NTNU); Holcim Innovation Center, Saint Quentin Fallavier); Hendriks, M.A.N. (TU Delft Concrete Structures); Jacobsen, Stefan (Norwegian University of Science and Technology (NTNU)); Geiker, Mette Rica (Norwegian University of Science and Technology (NTNU))","","2023","Frost salt scaling of concrete is related to cyclic freezing and melting of a few millimeter thick deicer solution on the surface of the concrete. It is almost absent when pure water is freezing and reaches a maximum at a so-called pessimum concentration that for NaCl is around 3%. Different mechanisms have been suggested to explain this pessimum and frost salt scaling in general, ranging from the transport of moisture and growth of ice within the pore space of concrete (“cryogenic suction”) to crack formation in the saline ice layer followed by spalling off the surface (“glue-spall”). Though in these theories the saline ice layer, that forms in concrete frost salt scaling experiments, plays a major role, so far little is known about its properties. We present a characterisation and an analysis of the microstructure of this saline ice layer by means of 3D X-ray microtomography. We found that the morphology of the saline ice is very similar to young, columnar sea ice, with lamellae of ice and brine oriented in the direction of freezing. On the basis of the microscopic 3D image data, we formulated percolation-based models of macroscopic properties (e.g., strength, thermal expansion coefficient, porosity metrics) relevant for different proposed frost salt scaling mechanisms. Model results and observations suggest that the ice growth velocity, direction and confinement, have a major impact on the pore structure of saline ice, thereby governing both mechanical and transport properties. These properties in turn are expected to affect proposed frost salt scaling mechanisms of concrete. The microstructure length scales in the ice-brine composite (lamellar spacing, pore width) are comparable to those for concrete (air void spacing and size), suggesting complex poro-mechanical interaction at the interface of concrete and saline ice. The results highlight the importance of studying saline ice properties to improve predictions of frost salt scaling processes.","Frost salt scaling; Microstructure; Percolation; Pore space; Saline ice; Sea ice properties; X-ray microtomography","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:d0ec5efa-e3ca-47d7-8ad6-ba1c9978f206","http://resolver.tudelft.nl/uuid:d0ec5efa-e3ca-47d7-8ad6-ba1c9978f206","Achieving voluntary data sharing in cross sector partnerships: Three partnership models","Susha, Iryna (Universiteit Utrecht; Orebro University); Rukanova, B.D. (TU Delft Innovation Affairs; TU Delft Information and Communication Technology); Zuiderwijk-van Eijk, A.M.G. (TU Delft Information and Communication Technology); Gil-Garcia, J. Ramon (University at Albany - State University of New York; Universidad de las Américas Puebla); Gasco Hernandez, Mila (University at Albany - State University of New York)","","2023","The complex societal problems that we face today require unprecedented collaboration and evidence-based decisions. These collaboration processes are further propelled by the datafication of virtually all spheres of public life. To benefit from this, the data needs to be made available to allow for data analytics. Thus, data sharing becomes a crucial aspect of cross-sector collaborations that aim to create and capture value from information. Compared to collaborations where data sharing is not the main goal, data sharing partnerships face a number of novel challenges, such as mitigating data risks, complying with data protection legislation, and ensuring responsible data use. Navigating these waters and achieving data sharing can be challenging for both governments and businesses, as well as other actors. How do organizations from different sectors manage to achieve data sharing for addressing societal challenges? To address this research question, we apply a framework of three models of cross sector social partnerships developed in the field of organization studies to structure the analysis of six cases. Our analysis suggests that to a certain extent the partnership model determines the types of drivers and challenges to sharing data in a partnership. Leveraging the drivers and anticipating these challenges can help organizations be more aware of key terms of the collaboration and the mechanisms that can be used to succeed in their partnership goals.","Cross-sector social partnership; Data sharing; Information sharing; Interorganizational collaboration; Partnership","en","journal article","","","","","","","","","","","Innovation Affairs","","",""
"uuid:85e3c366-5c6b-475b-82df-f2f5b0fba736","http://resolver.tudelft.nl/uuid:85e3c366-5c6b-475b-82df-f2f5b0fba736","Question-based development of high-risk medical devices: A proposal for a structured design and review process","White, N.A. (TU Delft Medical Instruments & Bio-Inspired Technology; Leiden University Medical Center); Oude Vrielink, Timo J.C. (Leiden University Medical Center); van der Bogt, Koen E.A. (Leiden University Medical Center); Cohen, Adam F. (Leiden University Medical Center; Centre for Human Drug Research); Rotmans, Joris I. (Leiden University Medical Center); Horeman, T. (TU Delft Medical Instruments & Bio-Inspired Technology)","","2023","Introduction: The recent introduction of the European Medical Device Regulation poses stricter legislation for manufacturers developing medical devices in the EU. Many devices have been placed into a higher risk category, thus requiring more data before market approval, and a much larger focus has been placed on safety. For implantable and Class III devices, the highest risk class, clinical evidence is a necessity. However, the requirements of clinical study design and developmental outcomes are only described in general terms due to the diversity of devices. Methods: A structured approach to determining the requirements for the clinical development of high-risk medical devices is introduced, utilizing the question-based development framework, which is already used for pharmaceutical drug development. An example of a novel implantable device for haemodialysis demonstrates how to set up a relevant target product profile defining the device requirements and criteria. The framework can be used in the medical device design phase to define specific questions to be answered during the ensuing clinical development, based upon five general questions, specified by the question-based framework. Results: The result is a clear and evaluable overview of requirements and methodologies to verify and track these requirements in the clinical development phase. Development organizations will be guided to the optimal route, also to abandon projects destined for failure early on to minimize development risks. Conclusion: The framework could facilitate communication with funding agencies, regulators and clinicians, while highlighting remaining ‘known unknowns’ that require answering in the post-market phase after sufficient benefit is established relative to the risks.","clinical trials; framework; Medical Device Regulation; medical devices; question-based development","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:94c1934a-c447-4e64-bfa2-02381da50d64","http://resolver.tudelft.nl/uuid:94c1934a-c447-4e64-bfa2-02381da50d64","Influence of the anchorage shear hysteresis on the seismic response of nonstructural components in RC buildings","Rojas, D. (Escuela de Kinesiología, Universidad de Valparaíso); Quintana Gallo, P. (Czech Technical University); Pürgstaller, A. (Bergmeister Ingenieure GmbH, Munich); Bianchi, S. (TU Delft Architectural Technology); Ciurlanti, J. (Arup Limited, Netherlands); Pampanin, S. (Sapienza University of Rome); Bergmeister, K. (BOKU-University of Natural Resources and Life Sciences)","","2023","This article presents a numerical study on the influence of the anchorage shear hysteresis on the seismic response of nonstructural components (NSC) connected to multi-storey reinforced concrete (RC) buildings, and of the anchorage itself. To cover a variety of different types of shear hysteresis shapes, this contribution considered the experimental results obtained for five types of post-installed anchors. The results were used for calibrating the hysteresis model of the anchorage connecting an ideal NSC with rigid fixture and a 12-storey RC building host-structure. Using a suit of 40 earthquake records and assuming a single NSC at each storey level anchored by a single fastener, a series of non-linear dynamic analyses of the structure-fastener-nonstructural system was carried out. The results showed significant differences in terms of maximum acceleration and force of the NSC and anchorage, respectively, depending on the type of anchor. These seismic demands were sometimes larger than those required by the reviewed code provisions for rigid NSC, but also for the most restrictive code-case for flexible NSC. The results presented different amounts of scatter, mostly related to the size of the annular gap and of the loading stiffness of the anchorage. It is shown that the maximum force achieved by the anchorage is directly related to the peak relative velocity of the NSC within the gap region. It was concluded that the shape of the shear hysteresis of the anchorage highly influences the response of the NSC and the anchor itself and should not be neglected in practice.","Anchor shear hysteresis; Nonstructural component; Post-installed anchors; Reinforced concrete; Seismic demand","en","journal article","","","","","","","","","","","Architectural Technology","","",""
"uuid:7318bf60-e5c7-47d8-8c3d-14c4685638e5","http://resolver.tudelft.nl/uuid:7318bf60-e5c7-47d8-8c3d-14c4685638e5","Assessing the Mass Concentration of Microplastics and Nanoplastics in Wastewater Treatment Plants by Pyrolysis Gas Chromatography-Mass Spectrometry","Xu, Yanghui (TU Delft Water Management; TU Delft Sanitary Engineering; Chinese Academy of Sciences); Ou, Q. (TU Delft Water Management; TU Delft Sanitary Engineering; Chinese Academy of Sciences); Wang, Xintu (Chinese Academy of Sciences; Guilin University of Technology); Hou, Feng (China Water Environmental Group Limited); Li, Peng (China Water Environmental Group Limited); van der Hoek, J.P. (TU Delft Water Management; TU Delft Sanitary Engineering; Waternet); Liu, G. (TU Delft Water Management; TU Delft Space Systems Egineering; Chinese Academy of Sciences; University of Chinese Academy of Sciences)","","2023","The level of microplastics (MPs) in wastewater treatment plants (WWTPs) has been well evaluated by the particle number, while the mass concentration of MPs and especially nanoplastics (NPs) remains unclear. In this study, pyrolysis gas chromatography-mass spectrometry was used to determine the mass concentrations of MPs and NPs with different size ranges (0.01-1, 1-50, and 50-1000 μm) across the whole treatment schemes in two WWTPs. The mass concentrations of total MPs and NPs decreased from 26.23 and 11.28 μg/L in the influent to 1.75 and 0.71 μg/L in the effluent, with removal rates of 93.3 and 93.7% in plants A and B, respectively. The proportions of NPs (0.01-1 μm) were 12.0-17.9 and 5.6-19.5% in plants A and B, respectively, and the removal efficiency of NPs was lower than that of MPs (>1 μm). Based on annual wastewater effluent discharge, it is estimated that about 0.321 and 0.052 tons of MPs and NPs were released into the river each year. Overall, this study investigated the mass concentration of MPs and NPs with a wide size range of 0.01-1000 μm in wastewater, which provided valuable information regarding the pollution level and distribution characteristics of MPs, especially NPs, in WWTPs.","mass concentration; microplastics; nanoplastics; Py-GC/MS; WWTPs","en","journal article","","","","","","","","","","Water Management","Sanitary Engineering","","",""
"uuid:79193e2b-7563-49dc-8c6f-23308a8ad8a1","http://resolver.tudelft.nl/uuid:79193e2b-7563-49dc-8c6f-23308a8ad8a1","Multimode diagnosis for switched affine systems with noisy measurement","Dong, J. (TU Delft Team Peyman Mohajerin Esfahani); Sharifi K., Arman (TU Delft Team Peyman Mohajerin Esfahani); Mohajerin Esfahani, P. (TU Delft Team Peyman Mohajerin Esfahani)","","2023","We study a diagnosis scheme to reliably detect the active mode of discrete-time, switched affine systems in the presence of measurement noise and asynchronous switching. The proposed scheme consists of two parts: (i) the construction of a bank of filters, and (ii) the introduction of a residual/threshold-based diagnosis rule. We develop an exact finite optimization-based framework to numerically solve an optimal bank of filters in which the contribution of measurement noise to the residual is minimized. The design problem is safely approximated through linear matrix inequalities and thus becomes tractable. We further propose a thresholding policy along with probabilistic false-alarm guarantees to estimate the active system mode in real-time. In comparison with the existing results, the guarantees improve from a polynomial dependency in the probability of false alarm to a logarithmic form. This improvement is achieved under the additional assumption of sub-Gaussianity, which is expected in many applications. The performance of the proposed approach is validated through a numerical example and an application of the building radiant system.","Fault detection and isolation; Identification methods; Optimization; Probabilistic safety assessment; Switched systems","en","journal article","","","","","","","","","","","Team Peyman Mohajerin Esfahani","","",""
"uuid:f8c58222-040a-4eba-8beb-ce6e027bb8c9","http://resolver.tudelft.nl/uuid:f8c58222-040a-4eba-8beb-ce6e027bb8c9","Time-Dependent Evolution of Volume Fraction and Stability of Retained Austenite in a Hot-Rolled and Intercritically Annealed Al-Alloyed Medium-Mn Steel","Skowronek, Adam (Silesian University of Technology); Grajcar, Adam (Silesian University of Technology); Garcia-Mateo, Carlos (Spanish National Center for Metallurgical Research (CENIM-CSIC)); Jiménez, José A. (Spanish National Center for Metallurgical Research (CENIM-CSIC)); Petrov, R.H. (TU Delft Team Maria Santofimia Navarro; Universiteit Gent)","","2023","The development of superior mechanical properties in medium-Mn requires the optimization of microstructural parameters such as retained austenite (RA) stability, volume fraction, and morphology. The present work explores the possibility of using a continuous annealing approach instead of conventional batch annealing to perform an intercritical annealing (IA) treatment in a hot-rolled strip of an Al-alloyed 5Mn steel. Dilatometric studies were performed at a temperature of 680 ºC with soaking times ranging from 1 to 300 min to follow the microstructural changes as a function of time. The microstructures thus obtained were thoroughly characterized by means of X-ray diffraction, SEM and TEM, TEM-EDS microanalysis and EBSD phase and orientation maps. It was observed that with increasing soaking times, the volume fraction of retained austenite gradually increases, albeit at the cost of its stability. The comparison of martensite start temperatures (Ms) based on the chemical composition of austenite at 680 ºC with that experimentally obtained at higher process temperature revealed the effect of the grain size on the reduction of RA stability for longer process times. Accordingly, mechanical tests results showed that the yield stress, tensile strength and hardness decrease with an increase in the IA soaking time.","","en","journal article","","","","","","","","","","","Team Maria Santofimia Navarro","","",""
"uuid:3856f812-f2ce-429b-99c0-d973def5602e","http://resolver.tudelft.nl/uuid:3856f812-f2ce-429b-99c0-d973def5602e","A New Methodology for Road Crash Data Collection in Bangladesh Using Local Record Keepers","Thierry, Martijn (Safe Crossings, Amsterdam); Vet, Jasper (Safe Crossings, Amsterdam); Uddin, Kazi Burhan (Centre for Injury Prevention and Research Bangladesh, Dhaka); Wegman, F.C.M. (TU Delft Transport and Planning)","","2023","The lack of good road crash data is a serious obstacle to analysing road safety problems in Low-and Middle-Income countries (LMICs) and this complicates, for example, the sound assessment of road safety interventions. Police crash reports are the main source of crash data, but often have significant limitations. This paper describes a complementary new methodology for road crash data collection called the Local Record Keeper (LRK) methodology. This methodology deploys trained people from the local community and a new supervisory and quality control process to record road crashes. A comprehensive description of the LRK methodology is provided. The LRKs were able to collect most of the data in the crash forms without difficulty. The LRK methodology recorded significantly more crash data than the Police and provided details on crash location and road user involvement that were important input for the design of a speed management programme on a rural highway that traverses three villages in Bangladesh. A 19.7% reduction of the mean speed caused by the speed management programme was accompanied by a recorded reduction in the LRK data of 66.7% in the number of fatalities, and 59.4% in the number of serious injuries. These recorded reductions as a result of measured speed reductions were consistent with what has been reported in the literature. It is recommended to consider the LRK methodology as a complementary source of crash data in LMICs.","LMICs; local record keepers; LRK methodology; road crash data collection; speed management; underreporting","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:c52f1178-e762-41d4-b1ad-a4e5582d93cd","http://resolver.tudelft.nl/uuid:c52f1178-e762-41d4-b1ad-a4e5582d93cd","An Improved Cellular Automata Solidification Model Considering Kinetic Undercooling","Liang, X. (TU Delft Team Marcel Hermans); Bos, Cornelis (Tata Steel Europe Limited); Hermans, M.J.M. (TU Delft Team Marcel Hermans); Richardson, I.M. (TU Delft Team Marcel Hermans)","","2023","A cellular automata (CA) model has been developed for solidification simulation considering the kinetic undercooling at the interface. The state-of-the-art model incorporates a decentered growth algorithm to suppress the grid anisotropy and a generalized height function method to calculate the curvature accurately. To develop a CA model which is independent of the mesh size, a new diffusion term is proposed to handle the diffusion between the interface cells and liquid cells. The developed CA model is employed to simulate the single-dendritic solidification of an Al–3Cu (wt pct) alloy. The simulated tip velocities agree with the prediction of the Kurz–Giovanola–Trivedi (KGT) model. Further studies show that the developed CA model converges to an equilibrium model with increasing kinetic mobility values. Moreover, it is found that the virtual liquid cell assumption which is commonly used in existing CA models may lead to a deviation in the mass balance. The mass balance error has been resolved by redistributing solutes from neighboring liquid cells in each time step. The developed CA model could be potentially used in solidification simulations with a high undercooling, which is common in welding and additive manufacturing.","","en","journal article","","","","","","","","","","","Team Marcel Hermans","","",""
"uuid:28b8b94c-0cb4-4e16-acd1-6c3a8e9a5190","http://resolver.tudelft.nl/uuid:28b8b94c-0cb4-4e16-acd1-6c3a8e9a5190","On the wake deflection of vertical axis wind turbines by pitched blades","Huang, M. (TU Delft Flow Physics and Technology; TU Delft Wind Energy); Sciacchitano, A. (TU Delft Flow Physics and Technology; TU Delft Aerodynamics); Ferreira, Carlos (TU Delft Flow Physics and Technology; TU Delft Wind Energy)","","2023","Wake losses are a critical consideration in wind farm design. The ability to steer and deform wakes can result in increased wind farm power density and reduced energy costs and can be used to optimize wind farm designs. This study investigates the wake deflection of a vertical axis wind turbine (VAWT) experimentally, emphasizing the effect of different load distributions on the wake convection and mixing. A trailing vortex system responsible for the wake topology is hypothesized based on a simplified vorticity equation that describes the relationship between load distribution and its vortex generation; the proposed vorticity system and the resulting wake topology are experimentally validated in the wind tunnel via stereoscopic particle image velocimetry measurements of the flow field at several wake cross-sections. Variations in load distribution are accomplished by a set of fixed blade pitches. The experimental results not only validate the predicted vorticity system but also highlight the critical role of the streamwise vorticity component in the deflection and deformation of the wake, thus affecting the momentum and energy recoveries. The evaluation of the various loading cases demonstrates the significant effect of the wake deflection on the wind power available to a downwind turbine, even when the distance between the two turbines is only three diameters.","particle image velocimetry; vertical axis wind turbines; vortex dynamics; wakes","en","journal article","","","","","","","","","","Flow Physics and Technology","Wind Energy","","",""
"uuid:42ef8129-b5d8-4657-a80d-f1595ab69b72","http://resolver.tudelft.nl/uuid:42ef8129-b5d8-4657-a80d-f1595ab69b72","Modelling wave group-scale hydrodynamics on orthogonal unstructured meshes","Reyns, J.A.H. (TU Delft Coastal Engineering; IHE Delft Institute for Water Education; Deltares); McCall, Robert (Deltares); Ranasinghe, Roshanka (IHE Delft Institute for Water Education; Deltares; University of Twente); van Dongeren, Ap (IHE Delft Institute for Water Education; Deltares); Roelvink, D. (TU Delft Coastal Engineering; IHE Delft Institute for Water Education; Deltares)","","2023","An unstructured hydrodynamic model is presented that is able to simulate 2D nearshore hydrodynamics on the wave group scale. A non-stationary wave driver with directional spreading, with physics similar to XBeach (Roelvink et al., 2009) is linked to an improved and extended version of the existing unstructured flow solver Delft3D–FM (Kernkamp et al., 2011; Martyr-Koller et al., 2017). The model equations are discretised on meshes consisting of triangular and rectangular elements. The model allows for coverage of the model domain with locally optimised resolution to accurately resolve the dominant processes, yet with a smaller total number of grid cells. The model also allows a larger explicit time step, compared to structured models with similar functionality. The model reliably reproduces measured datasets of water levels, sea/swell and low frequency wave heights in laboratory and field conditions, and is as such widely deployable in a variety of simple and complex coastal settings to study nearshore hydrodynamics.","Infragravity waves; Nearshore circulation; Numerical modelling; Unstructured meshes","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:a648536f-c3b1-40a1-9137-4c5510a21344","http://resolver.tudelft.nl/uuid:a648536f-c3b1-40a1-9137-4c5510a21344","Elevated physical weathering exceeds chemical weathering of clays during the Paleocene-Eocene Thermal Maximum in the continental Bighorn Basin (Wyoming, USA)","Ji, Kaipeng (China University of Geosciences, Wuhan); Wang, C. (TU Delft Applied Geology; China University of Geosciences, Wuhan); Hong, Hanlie (China University of Geosciences, Wuhan); Yin, Ke (China University of Geosciences, Wuhan); Zhao, Chenlei (China University of Geosciences, Wuhan); Prins, Maarten (Vrije Universiteit Amsterdam); Lourens, Lucas J. (Universiteit Utrecht); Gingerich, Philip D. (University of Michigan); Abels, H.A. (TU Delft Applied Geology)","","2023","The Paleocene-Eocene Thermal Maximum (PETM) global warming event at ∼56 million years before present changed catchment weathering and erosion. Increased chemical weathering of silicate minerals is thought to be an important process removing CO2 from the atmosphere. However, changes in clay mineralogy can often be explained by enhanced erosion of catchment laterites during the event. Here, we investigate chemical and physical weathering and erosive flux changes through the PETM interval in the Bighorn Basin, Wyoming, a Laramide foreland basin, in a proximal continental-interior alluvial setting. These show an increase of detrital smectite with a lag time of 20-kyr after the main onset the PETM. The smectite increase continued for at least 50-kyr after the event. In-situ, post-depositional pedogenic clay mineral formation is similar between pre-PETM and PETM soil profiles, despite large macroscopic differences between soils that formed before and during the event. Drier, hotter summers during the PETM probably caused decreased vegetation cover that, in concert with more frequent and heavier rainstorms, intensified the erosion of smectite-rich Cretaceous bentonites on the margins of the catchment, which exceeded changes in chemical weathering within the catchment. The lagged response in reaching full PETM clay mineral values can be explained by the time required for upstream sediment to reach the catchment basin floodplain. The prolonged nature of smectite enhancement after the PETM event may again relate to signal propagation times that are now even longer due to lower fluvial recycling rates. Our results indicate that chemical weathering changes were probably superceded by enhanced physical weathering and clay-mineral transport from basin margins at this continental-interior study site.","Continental weathering; Paleocene-Eocene Thermal Maximum; Paleoclimatology; Polecat Bench; Smectite","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-13","","","Applied Geology","","",""
"uuid:3f93d9ef-014c-4736-b444-6ad3d556e0a4","http://resolver.tudelft.nl/uuid:3f93d9ef-014c-4736-b444-6ad3d556e0a4","Molecular Outflows in z > 6 Unobscured QSO Hosts Driven by Star Formation","Butler, Kirsty M. (Institut de Radioastronomie Millimétrique (IRAM); Universiteit Leiden); van der Werf, Paul P. (Universiteit Leiden); Topkaras, Theodoros (Universiteit Leiden); Rybak, M. (TU Delft Tera-Hertz Sensing; Universiteit Leiden); Venemans, Bram P. (Universiteit Leiden); Walter, Fabian (Max-Planck-Institut für Astronomie); Decarli, Roberto (INAF Istituto di Astrofisica Spaziale e Fisica Cosmica, Bologna)","","2023","Feedback and outflows in galaxies that are associated with a quasar phase are expected to be pivotal in quenching the most massive galaxies. However, observations targeting the molecular outflow phase, which dominates both the mass and momentum and removes the immediate fuel for star formation, are limited in high-z QSO hosts. Massive quiescent galaxies found at z ∼ 4 are predicted to have quenched star formation already by z ∼ 5 and undergone their most intense growth at z > 6. Here, we present two Atacama Large Millimeter/submillimeter Array (ALMA) detections of molecular outflows, traced by blueshifted absorption of the OH 119 μm doublet, from a sample of three z > 6 infrared luminous QSO hosts: J2310+1855 and P183+05. OH 119 μm is also detected in emission from P183+05, and tentatively in the third source: P036+03. Using similar assumptions as for high-z dusty star-forming galaxy outflows, we find that our QSOs drive molecular outflows with comparable mass outflow rates, which are comparably energetic except for J2310+1855's significantly lower outflow energy flux. We do not find evidence, nor require additional input from the central active galactic nucleus (AGN) to drive the molecular outflow in J2310+1855, but we cannot rule out an AGN contribution in P183+05 if a significant AGN contribution to L FIR is assumed and/or if the outflow covering fraction is high (≥53%), which evidence from the literature suggests is unlikely in these sources. Differences observed in the blueshifted absorption spectral properties may instead be caused by the QSO hosts’ more compact dust continuums, limiting observations to lower altitude and more central regions of the outflow.","","en","journal article","","","","","","","","","","","Tera-Hertz Sensing","","",""
"uuid:a320c738-fa07-4ab1-ab75-b0f19e34aae0","http://resolver.tudelft.nl/uuid:a320c738-fa07-4ab1-ab75-b0f19e34aae0","Validation of the TROPOMI/S5P aerosol layer height using EARLINET lidars","Michailidis, Konstantinos (Aristotle University of Thessaloniki); Veefkind, j. Pepijn (TU Delft Atmospheric Remote Sensing; Royal Netherlands Meteorological Institute (KNMI)); Mona, Lucia (IMAMOTER - C.N.R. Sensors and Nanomaterials Laboratory); Tsikoudi, Ioanna (National Observatory of Athens; National and Capodistrian University of Athens); Mamouri, Rodanthi Elisavet (Cyprus University of Technology); Bortoli, Daniele (University of Évora); Papayannis, Alexandros (National Technical University of Athens); Alados-Arboledas, Lucas (Universidad de Granada); Romano, Salvatore (University of Salento)","","2023","The purpose of this study is to investigate the ability of the Sentinel-5P TROPOspheric Monitoring Instrument (TROPOMI) to derive accurate geometrical features of lofted aerosol layers, selecting the Mediterranean Basin as the study area. Comparisons with ground-based correlative measurements constitute a key component in the validation of passive and active satellite aerosol products. For this purpose, we use ground-based observations from quality-controlled lidar stations reporting to the European Aerosol Research Lidar Network (EARLINET). An optimal methodology for validation purposes has been developed and applied using the EARLINET optical profiles and TROPOMI aerosol products, aiming at the in-depth evaluation of the TROPOMI aerosol layer height (ALH) product for the period 2018 to 2022 over the Mediterranean Basin. Seven EARLINET stations were chosen, taking into consideration their proximity to the sea, which provided 63 coincident aerosol cases for the satellite retrievals. In the following, we present the first validation results for the TROPOMI/S5P ALH using the optimized EARLINET lidar products employing the automated validation chain designed for this purpose. The quantitative validation at pixels over the selected EARLINET stations illustrates that the TROPOMI ALH product is consistent with the EARLINET lidar products, with a high correlation coefficient RCombining double low line0.82 (RCombining double low line0.51) and a mean bias of -0.51±0.77 km and -2.27±1.17 km over ocean and land, respectively. Overall, it appears that aerosol layer altitudes retrieved from TROPOMI are systematically lower than altitudes from the lidar retrievals. High-albedo scenes, as well as low-aerosol-load scenes, are the most challenging for the TROPOMI retrieval algorithm, and these results testify to the need to further investigate the underlying cause. This work provides a clear indication that the TROPOMI ALH product can under certain conditions achieve the required threshold accuracy and precision requirements of 1 km, especially when only ocean pixels are included in the comparison analysis. Furthermore, we describe and analyse three case studies in detail, one dust and two smoke episodes, in order to illustrate the strengths and limitations of the TROPOMI ALH product and demonstrate the presented validation methodology. The present analysis provides important additions to the existing validation studies that have been performed so far for the TROPOMI S5P ALH product, which were based only on satellite-to-satellite comparisons.","","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:cd67187b-74ac-460e-b974-bc0d55ce56d3","http://resolver.tudelft.nl/uuid:cd67187b-74ac-460e-b974-bc0d55ce56d3","Prediction of particulate fouling in full-scale reverse osmosis plants using the modified fouling index – ultrafiltration (MFI-UF) method","Abunada, M.B.M. (TU Delft Sanitary Engineering; IHE Delft Institute for Water Education); Dhakal, Nirajan (IHE Delft Institute for Water Education); Gulrez, Raffay (IHE Delft Institute for Water Education); Li, L. (TU Delft Sanitary Engineering; IHE Delft Institute for Water Education); Abushaban, Almotasembellah (Mohammed VI Polytechnic University); Smit, Herman (PWN Drinking Water Supply Company); Moed, David (Evides Industriewater); Ghaffour, Noreddine (King Abdullah University of Science and Technology); Kennedy, M.D. (TU Delft Sanitary Engineering; IHE Delft Institute for Water Education)","","2023","This study aims at applying and verifying the MFI-UF method to predict particulate fouling in RO plants. Two full-scale RO plants treating surface water, with average capacity of 800–2000 m3/h, were studied. Firstly, the MFI-UF of RO feed and concentrate was measured using 5–100 kDa membranes at same flux applied in the RO plants (20–26 L/m2.h). Subsequently, the particle disposition factor (Ω) was calculated to simulate particle deposition in RO cross-flow filtration. Finally, particulate fouling rates were predicted based on MFI-UF and Ω, and compared with the actual fouling rates in the plants. For plant A, the results showed that the fouling rates predicted using MFI-UF measured with 100 kDa membrane have the best agreement with the actual fouling (with 3–11 % deviation). For plant B, the fouling rates predicted based on both 10 and 100 kDa membranes agree well with the actual fouling (with 2 % and 15 % deviation, respectively). However, the fouling predicted based on 5 kDa membrane is considerably overestimated for both plants, which is attributed to the effect of the low surface porosity of 5 kDa membrane. More widespread applications of MFI-UF in full-scale RO plants are required to demonstrate the most suitable MFI-UF membranes for fouling prediction.","Membrane surface porosity correction; MFI-UF; Particle deposition factor; Particulate fouling prediction; Reverse osmosis (RO)","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:b95cd7ad-3ca6-470a-8c3c-8f6f90006850","http://resolver.tudelft.nl/uuid:b95cd7ad-3ca6-470a-8c3c-8f6f90006850","4DEnVar-based inversion system for ammonia emission estimation in China through assimilating IASI ammonia retrievals","Jin, J. (TU Delft Mathematical Physics; Nanjing University of Information Sciences and Technology); Fang, Li (Nanjing University of Information Sciences and Technology); Li, Baojie (Nanjing University of Information Sciences and Technology); Liao, Hong (Nanjing University of Information Sciences and Technology); Wang, Ye (Nanjing University of Information Sciences and Technology); Han, Wei (China Meteorological Administration); Li, Ke (Nanjing University of Information Sciences and Technology); Pang, Mijie (Nanjing University of Information Sciences and Technology); Wu, Xingyi (Nanjing University of Information Sciences and Technology); Lin, H.X. (TU Delft Mathematical Physics; Universiteit Leiden)","","2023","Atmospheric ammonia has been hazardous to the environment and human health for decades. Current inventories are usually constructed in a bottom-up manner and subject to uncertainties and incapable of reproducing the spatiotemporal characteristics of ammonia emission. Satellite measurements, for example, Infrared Atmospheric Sounder Interferometer (IASI) and Cross-Track Infrared Sounder, which provide global coverage of ammonia distribution, have gained popularity in ammonia emission estimation through data assimilation methods. However, satellite-based emission inversion studies on China are limited. In this study, we propose a four-dimensional ensemble variational-based ammonia emission inversion system to optimize ammonia emissions in China. It was developed by assimilating the IASI ammonia retrievals onboard Meteorological Operational satellite A and B into a chemical transport model Goddard Earth Observing System Chemical model (GEOS-Chem). Monthly inversion experiments were conducted in April, July, and October 2016 to test the performance. The inversion result indicated that the prior inventory from the MEIC model captured ammonia spreads in general; however, it heterogeneously underrated the emission intensity. The increments obtained in the assimilation were as high as 50% in North, East, and Northwest China. The posterior emission inventory presented a regional emission flux consistent with relevant studies. Driven by the optimized source estimate, GEOS-Chem provides superior results than using the prior in the evaluation of the assimilated IASI retrievals and the surface ammonia concentration measured by the ground-based Ammonia Monitoring Network in China.","atmospheric ammonia; emission inversion; IASI","en","journal article","","","","","","","","","","","Mathematical Physics","","",""
"uuid:4dbe0d27-cbe3-439c-bec1-6922e86cd711","http://resolver.tudelft.nl/uuid:4dbe0d27-cbe3-439c-bec1-6922e86cd711","A biomimetic red blood cell inspired encapsulation design for advanced hydrate-based carbon capture","Zhang, Yuxuan (Australian National University); Zhai, Xiaoqiang (Shanghai Jiao Tong University); Zhang, Fengyuan (Australian National University); Zhang, Zhongbin (Nanjing Normal University); Hooman, K. (TU Delft Process and Energy); Zhang, Hai (Shanghai Jiao Tong University); Wang, Xiaolin (Australian National University)","","2023","Enhancing gas-liquid mass transfer is key to promote gas hydrate formation kinetics. Encapsulation of CO2 hydrate is expected to dramatically increase gas-liquid contact to enhance mass transfer. However, gas hydrate encapsulation has never been proposed as the technical issues of gas permeation through capsule shells have never been addressed. In this work, based on the principles of biomimetics, we proposed a novel red blood cell (RBC) inspired carbon capture capsule to promote CO2 hydrate formation kinetics. An experimentally validated model is established to compare the carbon capture performance in an RBC-shaped and a spherical capsule. It is revealed that the gas uptake efficiency of the RBC-shaped capsule is 143% higher than that of the spherical one. The effect of initial pressure and capsule size on CO2 hydrate formation kinetics is also investigated. Furthermore, the structure of RBC is optimised and it is found the average amount of hydrate formation per surface area achieves a peak when the ratio of the height at the centre to the width of the ring is between 0.128 and 0.160, which is close to that of real RBCs in human bodies. This work enables the informed design of hydrate-based carbon capture units with high gas uptake efficiency.","Heat and mass transfer; Hydrate formation kinetics; Hydrate-based carbon capture; Red blood cell","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-20","","Process and Energy","","","",""
"uuid:8f97072b-1ae4-464f-8857-64da438869e7","http://resolver.tudelft.nl/uuid:8f97072b-1ae4-464f-8857-64da438869e7","Exposure to neighborhood violence and child-parent conflict among a longitudinal sample of Dutch adolescents","Nieuwenhuis, Jaap (University Medical Center Groningen; Zhejiang University); Best, Matt (University of Colorado Denver); Vogel, Matt (University at Albany - State University of New York); van Ham, M. (TU Delft Urbanism; University of St Andrews); Branje, Susan (Universiteit Utrecht); Meeus, Wim (Universiteit Utrecht; Tilburg University)","","2023","An extensive body of research has documented the deleterious effects of community violence on adolescent development and behavior. Much of this research focuses on how exposure to violence structures social interaction, and, ultimately, how it motivates youth to engage in troublesome behavior. This study builds upon this body of research to demonstrate how exposure to community violence strains relationships between adolescents and their caregivers, resulting in higher levels of interpersonal conflict. Drawing on five waves of longitudinal panel data (n = 778; observations = 3458; 55 % female), combined with police records of violent crime in Utrecht, the Netherlands, a hybrid tobit regression documents how exposure to local and nearby violence affects child-parent conflict. The results indicate that youth who experience high levels of neighborhood violence report higher levels of conflict with parents than youth with low exposure to neighborhood violence. These results are consistent across different levels of neighborhood aggregation.","Neighborhoods; Parent-child relationships; Violence","en","journal article","","","","","","","","","","Urbanism","","","",""
"uuid:0ca020be-7f7f-4cd8-baef-4dc5786cb883","http://resolver.tudelft.nl/uuid:0ca020be-7f7f-4cd8-baef-4dc5786cb883","Re-investigating the structure-property relationship of the solid electrolytes Li 3−xIn1−xZrxCl6 and the impact of In-Zr(iv) substitution","van der Maas, E.L. (TU Delft RST/Storage of Electrochemical Energy); Famprikis, Theodosios (Radboud Universiteit Nijmegen); Pieters, S. (Radboud Universiteit Nijmegen); Dijkstra, Jonas P. (Radboud Universiteit Nijmegen); Li, Z. (TU Delft RST/Storage of Electrochemical Energy); Parnell, S.R. (TU Delft RID/TS/Instrumenten groep); Smith, Ronald I. (ISIS Facility); van Eck, Ernst R.H. (Radboud Universiteit Nijmegen); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy)","","2023","Chloride-based solid electrolytes are considered interesting candidates for catholytes in all-solid-state batteries due to their high electrochemical stability, which allows the use of high-voltage cathodes without protective coatings. Aliovalent Zr(iv) substitution is a widely applicable strategy to increase the ionic conductivity of Li3M(iii)Cl6 solid electrolytes. In this study, we investigate how Zr(iv) substitution affects the structure and ion conduction in Li3−xIn1−xZrxCl6 (0 ≤ x ≤ 0.5). Rietveld refinement using both X-ray and neutron diffraction is used to make a structural model based on two sets of scattering contrasts. AC-impedance measurements and solid-state NMR relaxometry measurements at multiple Larmor frequencies are used to study the Li-ion dynamics. In this manner the diffusion mechanism and its correlation with the structure are explored and compared to previous studies, advancing the understanding of these complex and difficult to characterize materials. It is found that the diffusion in Li3InCl6 is most likely anisotropic considering the crystal structure and two distinct jump processes found by solid-state NMR. Zr-substitution improves ionic conductivity by tuning the charge carrier concentration, accompanied by small changes in the crystal structure which affect ion transport on short timescales, likely reducing the anisotropy.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:319a48a8-bf34-48fd-bd4d-94ad104c7e24","http://resolver.tudelft.nl/uuid:319a48a8-bf34-48fd-bd4d-94ad104c7e24","Anisotropic Triebel–Lizorkin spaces and wavelet coefficient decay over one-parameter dilation groups, I","Koppensteiner, Sarah (University of Vienna); van Velthoven, J.T. (TU Delft Analysis); Voigtlaender, Felix (Katholische Universität Eichstätt - Ingolstadt)","","2023","This paper provides maximal function characterizations of anisotropic Triebel–Lizorkin spaces associated to general expansive matrices for the full range of parameters p∈ (0 , ∞) , q∈ (0 , ∞] and α∈ R. The equivalent norm is defined in terms of the decay of wavelet coefficients, quantified by a Peetre-type space over a one-parameter dilation group. As an application, the existence of dual molecular frames and Riesz sequences is obtained; the wavelet systems are generated by translations and anisotropic dilations of a single function, where neither the translation nor dilation parameters are required to belong to a discrete subgroup. Explicit criteria for molecules are given in terms of mild decay, moment, and smoothness conditions.","Anisotropic Triebel–Lizorkin spaces; Anisotropic wavelet systems; Coorbit molecules; Frames; Maximal functions; One-parameter groups; Riesz sequences","en","journal article","","","","","","","","","","","Analysis","","",""
"uuid:82678a0a-76c3-4e38-9d4a-0ec506b42883","http://resolver.tudelft.nl/uuid:82678a0a-76c3-4e38-9d4a-0ec506b42883","Mechanical Design and Feasibility of a Finger Exoskeleton to Support Finger Extension of Severely Affected Stroke Patients","Haarman, Claudia J.W. (University of Twente; Hankamp Rehab); Hekman, Edsko E.G. (University of Twente); Rietman, Johan S. (University of Twente; Roessingh Research and Development); van der Kooij, H. (TU Delft Support Biomechanical Engineering; University of Twente)","","2023","In this paper we presented the mechanical design and evaluation of a low-profile and lightweight exoskeleton that supports the finger extension of stroke patients during daily activities without applying axial forces to the finger. The exoskeleton consists of a flexible structure that is secured to the index finger of the user while the thumb is fixed in an opposed position. Pulling on a cable will extend the flexed index finger joint such that objects can be grasped. The device can achieve a grasp size of at least 7 cm. Technical tests confirmed that the exoskeleton was able to counteract the passive flexion moments corresponding to the index finger of a severely affected stroke patient (with an MCP joint stiffness of k = 0.63Nm/rad), requiring a maximum cable activation force of 58.8N. A feasibility study with stroke patients (n=4) revealed that the body-powered operation of the exoskeleton with the contralateral hand caused a mean increase of 46° in the range of motion of the index finger MCP joint. The patients (n=2) who performed the Box & Block Test were able to grasp and transfer maximally 6 blocks in 60 sec. with exoskeleton, compared to 0 blocks without exoskeleton. Our results showed that the developed exoskeleton has the potential to partially restore hand function of stroke patients with impaired finger extension capabilities. An actuation strategy that does not involve the contralateral hand should be implemented during further development to make the exoskeleton suitable for bimanual daily activities.","assistive device; Exoskeleton; finger extension; wearable","en","journal article","","","","","","","","","","","Support Biomechanical Engineering","","",""
"uuid:b2758b14-41a9-4f8f-ac46-fe7827ad998d","http://resolver.tudelft.nl/uuid:b2758b14-41a9-4f8f-ac46-fe7827ad998d","Experimental evidence of the effect of solute concentration on the collective evolution of bubbles in a regular pore-network","Joewondo, Nerine (Imperial College London); Garbin, V. (TU Delft ChemE/Transport Phenomena; Imperial College London); Pini, Ronny (Imperial College London)","","2023","The dissolution of bubbles confined in porous media is relevant to applications such as carbon sequestration and soil remediation. Recent numerical work indicates that a rich variety of collective dissolution behaviors can be obtained depending on the initial solute concentration, the size distribution of bubbles and the structure of the porous network. However, there is only sparse experimental evidence that supports these findings. Here, we present an experimental study that uses optical microscopy to track the dissolution of CO2 bubbles in a two-dimensional porous network etched on a microfluidic chip filled with CO2–saturated water. We consider two distinct level of initial liquid supersaturation for situations involving a single isolated bubble and small bubble clusters, and observe dissolution, growth or a combination of these processes. A pore-network model is used to complement the experimental observations with information on local concentration development. The model captures qualitatively the evolution of the bubble size in each case tested experimentally and enables shedding light on the interplay between the inter- and intra-pore diffusive fluxes in driving the dissolution process.","Bubble dissolution; Diffusive transport; Porous media","en","journal article","","","","","","","","","","","ChemE/Transport Phenomena","","",""
"uuid:94ddd5d0-8148-48f1-a240-00c5f8a98073","http://resolver.tudelft.nl/uuid:94ddd5d0-8148-48f1-a240-00c5f8a98073","Is Carbon Capture and Storage (CCS) Really So Expensive? An Analysis of Cascading Costs and CO2 Emissions Reduction of Industrial CCS Implementation on the Construction of a Bridge","Subraveti, Sai Gokul (SINTEF Energy Resarch); Rodríguez Angel, Elda (Student TU Delft); Ramirez, Andrea (TU Delft Energie and Industrie); Roussanaly, Simon (SINTEF Energy Resarch)","","2023","Carbon capture and storage (CCS) is an essential technology to mitigate global CO2 emissions from power and industry sectors. Despite the increasing recognition of its importance to achieve the net-zero target, current CCS deployment is far behind targeted ambitions. A key reason is that CCS is often perceived as too expensive. The costs of CCS have however traditionally been looked at from the industrial plant perspective, which does not necessarily reflect the end user’s one. This paper addresses the incomplete view by investigating the impact of implementing CCS in industrial facilities on the overall costs and CO2 emissions of end-user products and services. As an example, we examine the extent to which an increase in costs of raw materials (cement and steel) due to CCS impacts the costs of building a bridge. Results show that although CCS significantly increases cement and steel costs, the subsequent increment in the overall bridge construction cost remains marginal (∼1%). This 1% cost increase, however, enables a deep reduction in CO2 emissions (∼51%) associated with the bridge construction. Although more research is needed in this area, this work is the first step to a better understanding of the real cost and benefits of CCS.","carbon capture and storage; CO emissions; CO reduction; cost analysis; cost−benefit analysis","en","journal article","","","","","","","","","","","Energie and Industrie","","",""
"uuid:122f5eae-3337-435e-a593-6b3bb6c13c01","http://resolver.tudelft.nl/uuid:122f5eae-3337-435e-a593-6b3bb6c13c01","Developing a long-term management strategy to prepare the Dutch coast for the future, editorial of the VSI future Dutch coast","Wang, Zhengbing (TU Delft Coastal Engineering; Deltares); Lodder, Q.J. (TU Delft Policy Analysis; TU Delft Coastal Engineering; GE Global Research - Europe); van der Spek, A.J.F. (TU Delft Coastal Engineering; Deltares; Universiteit Utrecht); Slinger, J (TU Delft Policy Analysis); Hoekstra, Piet (Universiteit Utrecht; Wadden Academy)","","2023","","Adaptation strategy; Sea level rise; Sediment budget; Shoreface dynamics; Tidal inlets; ‘Research for policy’ cycle","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:a8aa3d0f-5f61-45c1-82c2-6232fc752bb6","http://resolver.tudelft.nl/uuid:a8aa3d0f-5f61-45c1-82c2-6232fc752bb6","Codon-specific KRAS mutations predict survival benefit of trifluridine/tipiracil in metastatic colorectal cancer","van de Haar, Joris (Netherlands Cancer Institute); Ma, Xuhui (Netherlands Cancer Institute); Ooft, Salo N. (Netherlands Cancer Institute); van der Helm, Pim W. (Netherlands Cancer Institute); Hoes, Louisa R. (Netherlands Cancer Institute); Mainardi, Sara (Netherlands Cancer Institute); Pinato, David J. (University of Piemonte Orientale; Imperial College Healthcare NHS Trust; Imperial College London); Sun, Kristi (Imperial College Healthcare NHS Trust); Wessels, L.F.A. (TU Delft Pattern Recognition and Bioinformatics)","","2023","Genomics has greatly improved how patients with cancer are being treated; however, clinical-grade genomic biomarkers for chemotherapies are currently lacking. Using whole-genome analysis of 37 patients with metastatic colorectal cancer (mCRC) treated with the chemotherapy trifluridine/tipiracil (FTD/TPI), we identified KRAS codon G12 (KRASG12) mutations as a potential biomarker of resistance. Next, we collected real-world data of 960 patients with mCRC receiving FTD/TPI and validated that KRASG12 mutations were significantly associated with poor survival, also in analyses restricted to the RAS/RAF mutant subgroup. We next analyzed the data of the global, double-blind, placebo-controlled, phase 3 RECOURSE trial (n = 800 patients) and found that KRASG12 mutations (n = 279) were predictive biomarkers for reduced overall survival (OS) benefit of FTD/TPI versus placebo (unadjusted interaction P = 0.0031, adjusted interaction P = 0.015). For patients with KRASG12 mutations in the RECOURSE trial, OS was not prolonged with FTD/TPI versus placebo (n = 279; hazard ratio (HR) = 0.97; 95% confidence interval (CI) = 0.73–1.20; P = 0.85). In contrast, patients with KRASG13 mutant tumors showed significantly improved OS with FTD/TPI versus placebo (n = 60; HR = 0.29; 95% CI = 0.15–0.55; P < 0.001). In isogenic cell lines and patient-derived organoids, KRASG12 mutations were associated with increased resistance to FTD-based genotoxicity. In conclusion, these data show that KRASG12 mutations are biomarkers for reduced OS benefit of FTD/TPI treatment, with potential implications for approximately 28% of patients with mCRC under consideration for treatment with FTD/TPI. Furthermore, our data suggest that genomics-based precision medicine may be possible for a subset of chemotherapies.","","en","journal article","","","","","","","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:2fd60cc5-4aca-4339-b51a-e11b227d133d","http://resolver.tudelft.nl/uuid:2fd60cc5-4aca-4339-b51a-e11b227d133d","Effectiveness of BMP-2 and PDGF-BB Adsorption onto a Collagen/Collagen-Magnesium-Hydroxyapatite Scaffold in Weight-Bearing and Non-Weight-Bearing Osteochondral Defect Bone Repair: In Vitro, Ex Vivo and In Vivo Evaluation","Xu, J. (Erasmus MC); Fahmy-Garcia, Shorouk (Erasmus MC); Wesdorp, Marinus A. (Erasmus MC); Forte, Lucia (Fin-Ceramica Faenza); De Luca, Claudio (Fin-Ceramica Faenza); Filardo, Giuseppe (Rizzoli Orthopaedic Institute); Labberté, Margot (University College Dublin); Kok, Joeri (Eindhoven University of Technology); Nickel, Joachim (Universitätsklinikum Würzburg); van Osch, G.J.V.M. (TU Delft Biomaterials & Tissue Biomechanics; Erasmus MC)","","2023","Despite promising clinical results in osteochondral defect repair, a recently developed bi-layered collagen/collagen-magnesium-hydroxyapatite scaffold has demonstrated less optimal subchondral bone repair. This study aimed to improve the bone repair potential of this scaffold by adsorbing bone morphogenetic protein 2 (BMP-2) and/or platelet-derived growth factor-BB (PDGF-BB) onto said scaffold. The in vitro release kinetics of BMP-2/PDGF-BB demonstrated that PDGF-BB was burst released from the collagen-only layer, whereas BMP-2 was largely retained in both layers. Cell ingrowth was enhanced by BMP-2/PDFG-BB in a bovine osteochondral defect ex vivo model. In an in vivo semi-orthotopic athymic mouse model, adding BMP-2 or PDGF-BB increased tissue repair after four weeks. After eight weeks, most defects were filled with bone tissue. To further investigate the promising effect of BMP-2, a caprine bilateral stifle osteochondral defect model was used where defects were created in weight-bearing femoral condyle and non-weight-bearing trochlear groove locations. After six months, the adsorption of BMP-2 resulted in significantly less bone repair compared with scaffold-only in the femoral condyle defects and a trend to more bone repair in the trochlear groove. Overall, the adsorption of BMP-2 onto a Col/Col-Mg-HAp scaffold reduced bone formation in weight-bearing osteochondral defects, but not in non-weight-bearing osteochondral defects.","animal model; biocompatible materials; bone morphogenetic proteins; osteochondral lesion; platelet-derived growth factor; regenerative medicine; tissue engineering; weight-bearing","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:da7ce38c-d22d-49d5-9ca9-4ac657989ea1","http://resolver.tudelft.nl/uuid:da7ce38c-d22d-49d5-9ca9-4ac657989ea1","Semantically-enhanced topic recommendation systems for software projects","Izadi, M. (TU Delft Software Engineering); Nejati, Mahtab (University of Waterloo); Heydarnoori, Abbas (Bowling Green State University)","","2023","Software-related platforms such as GitHub and Stack Overflow, have enabled their users to collaboratively label software entities with a form of metadata called topics. Tagging software repositories with relevant topics can be exploited for facilitating various downstream tasks. For instance, a correct and complete set of topics assigned to a repository can increase its visibility. Consequently, this improves the outcome of tasks such as browsing, searching, navigation, and organization of repositories. Unfortunately, assigned topics are usually highly noisy, and some repositories do not have well-assigned topics. Thus, there have been efforts on recommending topics for software projects, however, the semantic relationships among these topics have not been exploited so far. In this work, we propose two recommender models for tagging software projects that incorporate the semantic relationship among topics. Our approach has two main phases; (1) we first take a collaborative approach to curate a dataset of quality topics specifically for the domain of software engineering and development. We also enrich this data with the semantic relationships among these topics and encapsulate them in a knowledge graph we call SED-KGraph. Then, (2) we build two recommender systems; The first one operates only based on the list of original topics assigned to a repository and the relationships specified in our knowledge graph. The second predictive model, however, assumes there are no topics available for a repository, hence it proceeds to predict the relevant topics based on both textual information of a software project (such as its README file), and SED-KGraph. We built SED-KGraph in a crowd-sourced project with 170 contributors from both academia and industry. Through their contributions, we constructed SED-KGraph with 2,234 carefully evaluated relationships among 863 community-curated topics. Regarding the recommenders’ performance, the experiment results indicate that our solutions outperform baselines that neglect the semantic relationships among topics by at least 25% and 23% in terms of Average Success Rate and Mean Average Precision metrics, respectively. We share SED-KGraph, as a rich form of knowledge for the community to re-use and build upon. We also release the source code of our two recommender models, KGRec and KGRec+ (https://github.com/mahtab-nejati/KGRec).","GitHub; Knowledge graph; Recommender system; Semantic relationships; Software projects; Tags; Topics","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-24","","","Software Engineering","","",""
"uuid:a99d22de-a42c-49d2-8eeb-8e617bdaa0f1","http://resolver.tudelft.nl/uuid:a99d22de-a42c-49d2-8eeb-8e617bdaa0f1","Surface Nanotexturing of Boron-Doped Diamond Films by Ultrashort Laser Pulses","Mastellone, Matteo (Istituto Struttura della Materia-CNR (ISM-CNR)); Bolli, Eleonora (Istituto Struttura della Materia-CNR (ISM-CNR)); Valentini, Veronica (Istituto Struttura della Materia-CNR (ISM-CNR)); Orlando, Stefano (Istituto Struttura della Materia-CNR (ISM-CNR)); Lettino, Antonio (University of Rome Tor Vergata); Polini, Riccardo (CNR-IMAA); Buijnsters, J.G. (TU Delft Micro and Nano Engineering); Bellucci, Alessandro (Istituto Struttura della Materia-CNR (ISM-CNR)); Trucchi, Daniele Maria (Istituto Struttura della Materia-CNR (ISM-CNR))","","2023","Polycrystalline boron-doped diamond (BDD) films were surface nanotextured by femtosecond pulsed laser irradiation (100 fs duration, 800 nm wavelength, 1.44 J cm−2 single pulse fluence) to analyse the evolution of induced alterations on the surface morphology and structural properties. The aim was to identify the occurrence of laser-induced periodic surface structures (LIPSS) as a function of the number of pulses released on the unit area. Micro-Raman spectroscopy pointed out an increase in the graphite surface content of the films following the laser irradiation due to the formation of ordered carbon sites with respect to the pristine sample. SEM and AFM surface morphology studies allowed the determination of two different types of surface patterning: narrow but highly irregular ripples without a definite spatial periodicity or long-range order for irradiations with relatively low accumulated fluences (<14.4 J cm−2) and coarse but highly regular LIPSS with a spatial periodicity of approximately 630 nm ± 30 nm for higher fluences up to 230.4 J cm−2.","boron-doped diamond; laser texturing; LIPSS; ripples; surface functionalization","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:1f4e8819-8735-4538-bfd6-e90c7a822b27","http://resolver.tudelft.nl/uuid:1f4e8819-8735-4538-bfd6-e90c7a822b27","Nucleation Sites in the Static Recrystallization of a Hot-Deformed Ni-30 Pct Fe Austenite Model Alloy","Garcia Chao, P. (TU Delft Team Erik Offerman); Eipe, Jonathan J. (Student TU Delft); Krugla, M. (Tata Steel Europe Limited); Bos, Cornelis (Tata Steel Europe Limited; Student TU Delft); Sietsma, J. (TU Delft Team Kevin Rossi; TU Delft Team Joris Dik); Kranendonk, Winfried (Tata Steel Europe Limited); Offerman, S.E. (TU Delft Team Erik Offerman)","","2023","In the present study, the nucleation of static recrystallization (SRX) in austenite after hot deformation is experimentally analyzed using a Ni-30 pct Fe model alloy. In agreement with the predictions by current models, nucleation rate exhibits a strong peak, early during SRX. Whereas such an early peak is explained by current models by the saturation of nucleation sites, this condition is far from reached, even after the peak declines. In addition, triple-junction and grain-boundary sites are shown to make a quantitatively similar contribution to nucleation. However, for a given boundary between deformed grains, nucleation predominantly starts at one of the triple junctions. Triple-junction nucleation initiates by strain-induced boundary migration of the nucleus (bulging) along one of the boundaries at the junction. Annealing twin boundaries contribute negligibly to nucleation through their grain-boundary sites. By contrast, their junctions with the boundaries of the parent grains do play a relevant role. The earlier nucleation at the triple junctions is attributed to the higher dislocation density observed around them, and the energy of the boundary consumed by the bulge. Both the maximum and average number of nuclei formed per boundary between deformed grains increase with increasing boundary length.","","en","journal article","","","","","","","","","","","Team Erik Offerman","","",""
"uuid:afc770b7-fc14-4a1d-b823-a78dc0b09221","http://resolver.tudelft.nl/uuid:afc770b7-fc14-4a1d-b823-a78dc0b09221","Connecting the macroscopic and mesoscopic properties of sintered silver nanoparticles by crystal plasticity finite element method","Long, Xu (Northwestern Polytechnical University); Chong, Kainan (Northwestern Polytechnical University); Su, Yutai (Northwestern Polytechnical University); Du, L. (TU Delft Electronic Components, Technology and Materials); Zhang, Kouchi (TU Delft Electronic Components, Technology and Materials)","","2023","The stress–strain response of sintered silver nanoparticles (AgNP) materials is precisely characterized in order to adapt for numerical analysis and rational design of electronic packaging structures in this study. A framework of crystal plasticity finite element method (CPFEM) is established based on the mechanism of crystal plastic deformation to describe the mesoscopic structural influence of grain evolution on the macroscopic properties of sintered AgNP materials. Material parameters of crystal plasticity are defined and initial orientations are randomly assigned for sintered AgNP grains. To calibrate the mesoscopic mechanical properties of sintered AgNP by the proposed CPFEM, the results of CPFEM simulations and uniaxial tensile tests subjected to different strain rates and temperatures are compared in terms of the stress–strain curves as the critical macroscopic characteristics. The predicted stress and deformation distributions in the polycrystalline structure demonstrate that the significant inhomogeneity of stress and deformation is caused by the different grain orientations of sintered AgNP. Furthermore, we elucidate the fracture mechanism influenced by the temperature and strain rate and also the effect of initial crystal orientation on the plastic strain of sintered AgNP. This study sheds light on the morphology design of sintered AgNP with optimized mechanical properties and fatigue resistance.","Constitutive model; CPFEM; Grain size; Initial orientation; Sintered silver nanoparticle","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-24","","","Electronic Components, Technology and Materials","","",""
"uuid:4b10e1f7-e71d-4291-b095-9092dcb161d5","http://resolver.tudelft.nl/uuid:4b10e1f7-e71d-4291-b095-9092dcb161d5","Democratic Wireless Channel Assignment: Fair Resource Allocation in Wi-Fi Networks","Marsa Maestre, Ivan (Universidad de Alcalá); Gimenez-Guzman, Jose Manuel (Universitat Politécnica de Valencia); Tejedor Romero, Marino (Universidad de Alcalá); de la Hoz, Enrique (Telefonica Spain); Murukannaiah, P.K. (TU Delft Interactive Intelligence)","","2023","User experience is the ultimate quality of service criterion for modern WLAN networks. However, network configuration approaches are mainly network-centric. We envision a paradigm shift, empowering users in network management. We study how automated negotiation and collective intelligence can support the democratic configuration of a wireless network, leveraging client and provider interests. This new paradigm allows for flexible network configuration, which enables better exploitation of resources considering the clients real usage and needs, and a fair distribution of throughput among users.","","en","journal article","","","","","","","","","","","Interactive Intelligence","","",""
"uuid:776e5233-ad47-421a-8f37-d93f2c3023ec","http://resolver.tudelft.nl/uuid:776e5233-ad47-421a-8f37-d93f2c3023ec","Meta-omics profiling of full-scale groundwater rapid sand filters explains stratification of iron, ammonium and manganese removals","Corbera Rubio, F. (TU Delft BT/Environmental Biotechnology); Laureni, M. (TU Delft Sanitary Engineering); Koudijs, N. (TU Delft Sanitary Engineering); Müller, S. (TU Delft Sanitary Engineering); van Alen, Theo (Radboud Universiteit Nijmegen); Schoonenberg, Frank (Vitens NV); Lücker, Sebastian (Radboud Universiteit Nijmegen); Pabst, Martin (TU Delft BT/Environmental Biotechnology); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); van Halem, D. (TU Delft Sanitary Engineering)","","2023","Rapid sand filters (RSF) are an established and widely applied technology for groundwater treatment. Yet, the underlying interwoven biological and physical-chemical reactions controlling the sequential removal of iron, ammonia and manganese remain poorly understood. To resolve the contribution and interactions between the individual reactions, we studied two full-scale drinking water treatment plant configurations, namely (i) one dual-media (anthracite and quartz sand) filter and (ii) two single-media (quartz sand) filters in series. In situ and ex situ activity tests were combined with mineral coating characterization and metagenome-guided metaproteomics along the depth of each filter. Both plants exhibited comparable performances and process compartmentalization, with most of ammonium and manganese removal occurring only after complete iron depletion. The homogeneity of the media coating and genome-based microbial composition within each compartment highlighted the effect of backwashing, namely the complete vertical mixing of the filter media. In stark contrast to this homogeneity, the removal of the contaminants was strongly stratified within each compartment, and decreased along the filter height. This apparent and longstanding conflict was resolved by quantifying the expressed proteome at different filter heights, revealing a consistent stratification of proteins catalysing ammonia oxidation and protein-based relative abundances of nitrifying genera (up to 2 orders of magnitude difference between top and bottom samples). This implies that microorganisms adapt their protein pool to the available nutrient load at a faster rate than the backwash mixing frequency. Ultimately, these results show the unique and complementary potential of metaproteomics to understand metabolic adaptations and interactions in highly dynamic ecosystems.","Ammonium; Groundwater; Iron oxides; Manganese; Metaproteomics; Sand filter","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:df237827-68f4-4775-9284-a61bef401616","http://resolver.tudelft.nl/uuid:df237827-68f4-4775-9284-a61bef401616","Frequency-domain modelling of reset control systems using an impulsive description","Buitenhuis, R. N. (Student TU Delft); Saikumar, N. (TU Delft Mechatronic Systems Design); Hassan HosseinNia, S. (TU Delft Mechatronic Systems Design)","","2023","The ever-increasing industry desire for improved performance makes linear controller design run into fundamental limitations. Nonlinear control methods such as Reset Control (RC) are needed to overcome these. RC is a promising candidate since, unlike other nonlinear methods, it easily integrates into the industry-preferred PID design framework. Thus far, RC has been analysed in the frequency domain either through describing function analysis or by direct closed-loop numerical computation. The former computes a simplified closed-loop RC response by assuming a sufficient low-pass behaviour. In doing so it ignores all harmonics, which literature has found to cause significant modelling prediction errors. The latter gives a precise solution, but by its direct closed-loop computation does not clearly show how open-loop RC design translates to closed-loop performance. The main contribution of this work is aimed at overcoming these limitations by considering an alternative approach for modelling RC using state-dependent impulse inputs. This permits accurately computing closed-loop RC behaviour starting from the underlying linear system, improving system understanding. A frequency-domain description for closed-loop RC is obtained, which is solved analytically by using several well-defined assumptions. This analytical solution is verified using a simulated high-precision stage, critically examining sources of modelling errors. The accuracy of the proposed method is further substantiated using controllers designed for various specifications.","Closed-loop; Describing function; Frequency domain; Impulsive modelling; Mechatronics; Motion control; Nonlinear control; Precision control; Reset control","en","journal article","","","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:f51d51e1-7a30-4b5b-b905-acfe3e8740f1","http://resolver.tudelft.nl/uuid:f51d51e1-7a30-4b5b-b905-acfe3e8740f1","Impact of the anaerobic feeding mode on substrate distribution in aerobic granular sludge","Haaksman, V.A. (TU Delft BT/Environmental Biotechnology); Schouteren, M. (Student TU Delft); van Loosdrecht, Mark C.M. (TU Delft BT/Environmental Biotechnology); Pronk, M. (TU Delft BT/Environmental Biotechnology; Royal HaskoningDHV)","","2023","There is a growing interest to implement aerobic granular sludge (AGS) in existing conventional activated sludge (CAS) systems with a continuous flow-through configuration. The mode of anaerobic contact of raw sewage with the sludge is an important aspect in the adaptation of CAS systems to accommodate AGS. It remains unclear how the distribution of substrate over the sludge by a conventional anaerobic selector compares to the distribution via bottom-feeding applied in sequencing batch reactors (SBRs). This study investigated the effect of the anaerobic contact mode on the substrate (and storage) distribution by operating two lab-scale SBRs; one with the traditional bottom-feeding through a settled sludge bed similar to full-scale AGS systems, and one where the synthetic wastewater was fed as a pulse at the start of the anaerobic phase while the reactor was mixed through sparging of nitrogen gas (mimicking a plug-flow anaerobic selector in continuous flow-through systems). The distribution of the substrate over the sludge particle population was quantified via PHA analysis, combined with the obtained granule size distribution. Bottom-feeding was found to primarily direct substrate towards the large granular size classes (i.e. large volume and close to the bottom), while completely mixed pulse-feeding gives a more equal distribution of substrate over all granule sizes (i.e. surface area dependant). The anaerobic contact mode directly controls the substrate distribution over the different granule sizes, irrespective of the solids retention time of a granule as an entity. Preferential feeding of the larger granules will enhance and stabilise the granulation compared to pulse-feeding, certainly under less advantageous conditions imposed by real sewage.","aerobic granular sludge; anaerobic selector; bottom-feeding; continuous flow; PHA; pulse-feeding","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:7ee0cba8-9aeb-4753-996a-9ab440a661ae","http://resolver.tudelft.nl/uuid:7ee0cba8-9aeb-4753-996a-9ab440a661ae","A Bayesian-network approach for assessing the probability of success of physical security attacks to offshore Oil&Gas facilities","Iaiani, Matteo (University of Bologna); Tugnoli, Alessandro (University of Bologna); Cozzani, Valerio (University of Bologna); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science); Yang, M. (TU Delft Safety and Security Science)","","2023","Offshore Oil&Gas facilities are attractive targets of intentional malicious attacks (security attacks) that may trigger cascading events (e.g., the release and dispersion of hazardous material and/or energy, fires, explosions) with consequences on people, environment, and assets. The severity of these consequences is potentially similar to those arising from major accident scenarios originated by conventional safety-related causes. Current practice in managing the risk of security attacks mostly relies on qualitative or semi-quantitative procedures developed over the years in the offshore Oil&Gas industry. In the present study, a systematic quantitative procedure is developed, based on a Bayesian Network (BN) approach, for calculating the probability of success of physical security attacks, taking into account both preventive and mitigative security intervention strategies. The procedure addresses the specific framework of the offshore Oil&Gas industry. A case study concerning an offshore fixed Oil&Gas platform allowed us to demonstrate the quality of the results that can be achieved and their potential towards the improvement of the security of the installations considered.","Bayesian network; Offshore Oil&Gas industry; Quantitative assessment; Security; Security attack; Security risk","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:081278eb-bf20-4b55-99d3-3a7d03679046","http://resolver.tudelft.nl/uuid:081278eb-bf20-4b55-99d3-3a7d03679046","“Game over” for autonomous shuttles in mixed traffic? Results from field surveys among pedestrians and cyclists on how they interact with autonomous shuttles in real-life traffic in Norway","Bjørnskau, Torkel (Institute of Transport Economics); Aasvik, Ole (Institute of Transport Economics); De Ceunynck, Tim (Vias institute); Fyhri, Aslak (Institute of Transport Economics); Hagenzieker, Marjan (TU Delft Transport and Planning); Johnsson, Carl (Lund University); Laureshyn, Aliaksei (Lund University)","","2023","","Automated vehicles; AV shuttles; Cyclists; Field survey; Game theory; Interaction; Pedestrians","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:a865e487-1af0-44fd-9be7-7579a25ffa96","http://resolver.tudelft.nl/uuid:a865e487-1af0-44fd-9be7-7579a25ffa96","Electromyographic biofeedback-driven gaming to alter calf muscle activation during gait in children with spastic cerebral palsy","Flux, Eline (Vrije Universiteit Amsterdam; Amsterdam Movement Sciences, Rehabilitation & Development); Bar-On, Lynn (Vrije Universiteit Amsterdam; Universiteit Gent; Amsterdam Movement Sciences, Rehabilitation & Development); Buizer, Annemieke I. (Vrije Universiteit Amsterdam; Amsterdam Movement Sciences, Rehabilitation & Development; Universiteit van Amsterdam); Harlaar, J. (TU Delft Biomechatronics & Human-Machine Control; Vrije Universiteit Amsterdam; Erasmus MC); van der Krogt, Marjolein M. (Vrije Universiteit Amsterdam; Amsterdam Movement Sciences, Rehabilitation & Development)","","2023","Background: Children with cerebral palsy often show deviating calf muscle activation patterns during gait, with excess activation during early stance and insufficient activation during push-off. Research question: Can children with cerebral palsy improve their calf muscle activation patterns during gait using one session of biofeedback-driven gaming? Methods: Eighteen children (6–17 y) with spastic cerebral palsy received implicit game-based biofeedback on electromyographic activity of the calf muscle (soleus or gastrocnemius medialis) while walking on a treadmill during one session. Biofeedback alternately aimed to reduce early stance activity, increase push-off activity, and both combined. Early stance and push-off activity and the double-bump-index (early stance divided by push-off activity) were determined during baseline and walking with feedback. Changes were assessed at group level using repeated measures ANOVA with simple contrast or Friedman test with post-hoc Wilcoxon signed rank test, as well as individually using independent t-tests or Wilcoxon rank sum tests. Perceived competence and interest-enjoyment were assessed through a questionnaire. Results: Children successfully decreased their electromyographic activity during early stance feedback trials (relative decrease of 6.8 ± 12.2 %, P = 0.025), with a trend during the combined feedback trials (6.5 ± 13.9 %, P = 0.055), and increased their electromyographic activity during push-off feedback trials (8.1 ± 15.8 %, P = 0.038). Individual improvements were seen in twelve of eighteen participants. All children experienced high levels of interest-enjoyment (8.4/10) and perceived competence (8.1/10). Significance: This exploratory study suggests that children with cerebral palsy can achieve small within-session improvements of their calf muscle activation pattern when provided with implicit biofeedback-driven gaming in an enjoyable manner. Follow-up gait training studies can incorporate this method to assess retention and long-term functional benefits of electromyographic biofeedback-driven gaming.","Biofeedback; Cerebral palsy; Electromyography; Experimental games; Gait training","en","journal article","","","","","","","","","","","Biomechatronics & Human-Machine Control","","",""
"uuid:d39f3c2f-1975-4497-9ee3-1cc04ae00e8f","http://resolver.tudelft.nl/uuid:d39f3c2f-1975-4497-9ee3-1cc04ae00e8f","Dynamic predictive maintenance for multiple components using data-driven probabilistic RUL prognostics: The case of turbofan engines","Mitici, M.A. (Universiteit Utrecht); de Pater, I.I. (TU Delft Air Transport & Operations); Barros, Anne (CNRS); Zeng, Zhiguo (CNRS)","","2023","The increasing availability of condition-monitoring data for components/systems has incentivized the development of data-driven Remaining Useful Life (RUL) prognostics in the past years. However, most studies focus on point RUL prognostics, with limited insights into the uncertainty associated with these estimates. This limits the applicability of such RUL prognostics to maintenance planning, which is per definition a stochastic problem. In this paper, we therefore develop probabilistic RUL prognostics using Convolutional Neural Networks. These prognostics are further integrated into maintenance planning, both for single and multiple components. We illustrate our approach for aircraft turbofan engines. The results show that the optimal replacement time for the engines is close to the lower bound of the 99% confidence interval of the RUL estimates. We also show that our proposed maintenance approach leads to a cost reduction of 53% compared to a traditional Time-based maintenance strategy. Moreover, compared with the ideal case when the true RUL is known in advance (perfect RUL prognostics), our approach leads to a limited number of failures. Overall, this paper proposes an end-to-end framework for data-driven predictive maintenance for multiple components, and showcases the potential benefits of data-driven predictive maintenance on cost and reliability.","Aircraft; C-MAPSS turbofan engines; Maintenance scheduling; Predictive maintenance planning; Probabilistic remaining useful life prognostics","en","journal article","","","","","","","","","","","Air Transport & Operations","","",""
"uuid:42b063c6-d19e-402c-860b-3a87e088bb78","http://resolver.tudelft.nl/uuid:42b063c6-d19e-402c-860b-3a87e088bb78","Sharing Is Saving? Building Costs Simulation of Collaborative and Mainstream Housing Designs","Dos Santos Vieira Brysch, S.L. (TU Delft Real Estate Management); Gruis, V.H. (TU Delft Real Estate Management); Czischke, D.K. (TU Delft Real Estate Management)","","2023","Building costs play a significant role in determining the affordability of a housing project, and these depend to a large extent on design choices. This paper is based on the premise that collaborative design processes, or co-design, used in collaborative housing (CH) in Europe reduce building costs and consequently increase the affordability of these housing projects. However, research remains scarce on the extent to which CH is an affordable solution from a design perspective compared to affordable mainstream housing (MH), in which no co-design is used. Therefore, this paper aims to fill this knowledge gap by assessing the impact of design choices on building costs in CH and MH. To this end, we developed a simulation model to compare the building costs of CH with MH based on their design choices. Findings indicate that CH represents a more affordable and space-efficient solution when compared to MH, if we look at the building costs per unit. This is because CH provides less expensive units while it includes larger common spaces and extra quality. These results help to refute existing claims about the unaffordability of CH design solutions.","housing affordability; collaborative housing; co-design; building costs; simulation","en","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:086009aa-e22d-495f-912e-bea55dcee975","http://resolver.tudelft.nl/uuid:086009aa-e22d-495f-912e-bea55dcee975","An integrated EDIB model for probabilistic risk analysis of natural gas pipeline leakage accidents","Chen, Xing lin (Fuzhou University); Lin, Wei dong (Fujian Provincial Institute of Architectural Design and Research); Liu, Chun xiang (Fuzhou University); Yang, Fu qiang (Fuzhou University); Guo, Yong (Fuzhou University); Li, Xin (Fuzhou University); Yuan, S. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven)","","2023","Natural gas pipeline construction is developing rapidly worldwide to meet the needs of international and domestic energy transportation. Meanwhile, leakage accidents occur to natural gas pipelines frequently due to mechanical failure, personal operation errors, etc., and induce huge economic property loss, environmental damages, and even casualties. However, few models have been developed to describe the evolution process of natural gas pipeline leakage accidents (NGPLA) and assess their corresponding consequences and influencing factors quantitatively. Therefore, this study aims to propose a comprehensive risk analysis model, named EDIB (ET-DEMATEL-ISM-BN) model, which can be employed to analyze the accident evolution process of NGPLA and conduct probabilistic risk assessments of NGPLA with the consideration of multiple influencing factors. In the proposed integrated model, event tree analysis (ET) is employed to analyze the evolution process of NGPLA before the influencing factors of accident evolution can be identified with the help of accident reports. Then, the combination of DEMATEL (Decision-making Trial and Evaluation Laboratory) and ISM (Interpretative Structural Modeling) is used to determine the relationship among accident evolution events of NGPLA and obtain a hierarchical network, which can be employed to support the construction of a Bayesian network (BN) model. The prior conditional probabilities of the BN model were determined based on the data analysis of 773 accident reports or expert judgment with the help of the Dempster-Shafer evidence theory. Finally, the developed BN model was used to conduct accident evolution scenario analysis and influencing factor sensitivity analysis with respect to secondary accidents (fire, vapor cloud explosion, and asphyxia or poisoning). The results show that ignition is the most critical influencing factor leading to secondary accidents. The occurrence time and occurrence location of NGPLA mainly affect the efficiency of emergency response and further influence the accident consequence. Meanwhile, the weight ranking of economic loss, environmental influence, and casualties on social influence is determined with respect to NGPLAs.","Accident evolution analysis; Bayesian network; Gas leakage; Natural gas pipeline; Probabilistic risk analysis","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:8255628d-3820-429d-aa7b-a0fe62158e24","http://resolver.tudelft.nl/uuid:8255628d-3820-429d-aa7b-a0fe62158e24","Branched actin cortices reconstituted in vesicles sense membrane curvature","Baldauf, L. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft); Frey, F.F.F. (TU Delft BN/Timon Idema Lab; Kavli institute of nanoscience Delft); Arribas Perez, M. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft); Idema, T. (TU Delft BN/Timon Idema Lab; Kavli institute of nanoscience Delft); Koenderink, G.H. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft)","","2023","The actin cortex is a complex cytoskeletal machinery that drives and responds to changes in cell shape. It must generate or adapt to plasma membrane curvature to facilitate diverse functions such as cell division, migration, and phagocytosis. Due to the complex molecular makeup of the actin cortex, it remains unclear whether actin networks are inherently able to sense and generate membrane curvature, or whether they rely on their diverse binding partners to accomplish this. Here, we show that curvature sensing is an inherent capability of branched actin networks nucleated by Arp2/3 and VCA. We develop a robust method to encapsulate actin inside giant unilamellar vesicles (GUVs) and assemble an actin cortex at the inner surface of the GUV membrane. We show that actin forms a uniform and thin cortical layer when present at high concentration and distinct patches associated with negative membrane curvature at low concentration. Serendipitously, we find that the GUV production method also produces dumbbell-shaped GUVs, which we explain using mathematical modeling in terms of membrane hemifusion of nested GUVs. We find that branched actin networks preferentially assemble at the neck of the dumbbells, which possess a micrometer-range convex curvature comparable with the curvature of the actin patches found in spherical GUVs. Minimal branched actin networks can thus sense membrane curvature, which may help mammalian cells to robustly recruit actin to curved membranes to facilitate diverse cellular functions such as cytokinesis and migration.","","en","journal article","","","","","","","","","","","BN/Gijsje Koenderink Lab","","",""
"uuid:d1c14c80-6daa-4d69-8f07-1e3ff22d534b","http://resolver.tudelft.nl/uuid:d1c14c80-6daa-4d69-8f07-1e3ff22d534b","Gender-Ethnicity Intersectionality in Climate Change Adaptation in the Coastal Areas of Bangladesh","Assaduzzaman, Mohammad (University of Twente); Filatova, T. (TU Delft Policy Analysis); Lovett, Jon C. (University of Leeds); Coenen, Frans H.J.M. (University of Twente)","","2023","Climate change effects are not uniform and have disproportionate impacts among different groups of people within communities. It is therefore important to understand the underlying issues of intersectionality for climate change adaptation and human well-being. This paper aims to measure human capabilities and freedom of choice by analyzing perceived climate change impacts and current climate change adaptation ability among ethnic and non-ethnic communities in Bangladesh. This study applies a range of participatory rural appraisal tools and key informant interviews to assess impacts of climate change when considering gender and ethnicity. Women in the coastal regions have less access to resources and services because of social capital and cultural practices and this directly or indirectly influences their adaptation to climate change. Women have limited or no participation in decision-making processes at family or community levels and this impacts their vulnerability and well-being. In consequence, women’s capabilities must be focused on moderating their vulnerability and risk, and developing effective adaptation to the adverse impacts of climate change and natural hazards.","Bangladesh; capability approach; climate change adaptation; coastal regions; ethnicity; gender; intersectionality","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:8f270477-1c68-4afa-928e-dfd2bd2fad22","http://resolver.tudelft.nl/uuid:8f270477-1c68-4afa-928e-dfd2bd2fad22","Puddle formation and persistent gaps across the non-mean-field breakdown of superconductivity in overdoped (Pb,Bi)2Sr2CuO6+δ","Tromp, Willem O. (Universiteit Leiden); Benschop, Tjerk (Universiteit Leiden); Ge, Jian Feng (Universiteit Leiden); Battisti, Irene (Universiteit Leiden); Bastiaans, K.M. (TU Delft QN/Otte Lab; Universiteit Leiden; Kavli institute of nanoscience Delft); Chatzopoulos, Damianos (Universiteit Leiden); Vervloet, Amber H.M. (Universiteit Leiden); Smit, Steef (Universiteit van Amsterdam); Yin, Yi (Nanjing University; Zhejiang University)","","2023","The cuprate high-temperature superconductors exhibit many unexplained electronic phases, but the superconductivity at high doping is often believed to be governed by conventional mean-field Bardeen–Cooper–Schrieffer theory1. However, it was shown that the superfluid density vanishes when the transition temperature goes to zero2,3, in contradiction to expectations from Bardeen–Cooper–Schrieffer theory. Our scanning tunnelling spectroscopy measurements in the overdoped regime of the (Pb,Bi)2Sr2CuO6+δ high-temperature superconductor show that this is due to the emergence of nanoscale superconducting puddles in a metallic matrix4,5. Our measurements further reveal that this puddling is driven by gap filling instead of gap closing. The important implication is that it is not a diminishing pairing interaction that causes the breakdown of superconductivity. Unexpectedly, the measured gap-to-filling correlation also reveals that pair breaking by disorder does not play a dominant role and that the mechanism of superconductivity in overdoped cuprate superconductors is qualitatively different from conventional mean-field theory.","","en","journal article","","","","","","","","","","","QN/Otte Lab","","",""
"uuid:f886a863-6e06-4af2-a0c9-8a5217259436","http://resolver.tudelft.nl/uuid:f886a863-6e06-4af2-a0c9-8a5217259436","Moral rhetoric in discrete choice models: a Natural Language Processing approach","Szép, T. (TU Delft Transport and Logistics); van Cranenburgh, S. (TU Delft Transport and Logistics); Chorus, C.G. (TU Delft Industrial Design Engineering; TU Delft Engineering, Systems and Services)","","2023","This paper proposes a new method to combine choice- and text data to infer moral motivations from people’s actions. To do this, we rely on moral rhetoric, in other words, extracting moral values from verbal expressions with Natural Language Processing techniques. We use moral rhetoric based on a well-established moral, psychological theory called Moral Foundations Theory. We use moral rhetoric as input in Discrete Choice Models to gain insights into moral behaviour based on people’s words and actions. We test our method in a case study of voting and party defection in the European Parliament. Our results indicate that moral rhetoric have significant explanatory power in modelling voting behaviour. We interpret the results in the light of political science literature and propose ways for future investigations.","Discrete choice models; Moral Foundations Theory; Moral rhetoric; Natural Language Processing","en","journal article","","","","","","","","","Industrial Design Engineering","Engineering, Systems and Services","Transport and Logistics","","",""
"uuid:f8b423b1-1f52-4908-9cc4-a59021ad10a3","http://resolver.tudelft.nl/uuid:f8b423b1-1f52-4908-9cc4-a59021ad10a3","Sensorless force and displacement estimation in soft actuators","Joshi, S.D. (TU Delft Learning & Autonomous Control); Paik, Jamie (École Polytechnique de Lausanne)","","2023","Sensing forms an integral part of soft matter based robots due to their compliance, dependence on loading conditions, and virtually infinite degrees of freedom. Previous studies have developed several extrinsic sensors and embedded them into soft actuators for displacement and force estimation. What has not been investigated is whether soft robots themselves possess intrinsic sensing capabilities, especially in the case of pneumatically powered soft robots. Such an approach, that exploits the inherent properties of a system toward sensing is called sensorless estimation. Here, we introduce sensorless estimation for the first time in pneumatically powered soft actuators. Specifically, we show that the intrinsic properties of pressure and volume can be used to estimate the output force and displacement of soft actuators. On testing this approach with a bending actuator, we observed errors under 10% and 15% for force and displacement estimation respectively, with randomized and previously unseen test conditions. We also show that combining this approach with a conventional embedded sensor improves estimation accuracy due to sensing redundancy. By modelling soft actuators additionally as sensors, this work presents a new, readily implementable sensing modality that helps us better understand the highly complex behaviour of soft matter based robots.","","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:114f45b2-6235-4465-9002-ead249d6b4f9","http://resolver.tudelft.nl/uuid:114f45b2-6235-4465-9002-ead249d6b4f9","Emotions and Automation in a High-Tech Workplace: a Commentary","Umbrello, S. (TU Delft Ethics & Philosophy of Technology)","","2023","In a recent article, Madelaine Ley evaluates the future of work, specifically robotised workplaces, via the lens of care ethics. Like many proponents of care ethics, Ley draws on the approach and its emphasis on relationality to understand ethical action necessary for worker wellbeing. Her paper aims to fill a research gap by shifting away from the traditional contexts in which care ethics is employed, i.e., health and care contexts and instead appropriates the approach to tackle the sociotechnicity of robotics and how caring should be integrated into non-traditional contexts. This paper comments on that of Ley’s, making the case that the author does, in fact, achieve this end while still leaving areas of potential future research open to buttressing the approach she presents.","Applied ethics; Automation; Capabilities approach; Work","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:fc0c0e5c-8427-418e-b406-c129382c0a42","http://resolver.tudelft.nl/uuid:fc0c0e5c-8427-418e-b406-c129382c0a42","Transplanting good practices in Smart City development: A step-wise approach","Noori, Negar (Erasmus Universiteit Rotterdam); Hoppe, T. (TU Delft Organisation & Governance); De Jong, Martin (Erasmus Universiteit Rotterdam; Fudan University); Stamhuis, Evert (Erasmus Universiteit Rotterdam)","","2023","In the quest for Smart City (SC) development, numerous examples of ‘good practices’ have circulated in national and international policy arenas. Learning from good practices elsewhere is a common approach for cities to initiate and develop SC policies of their own. Nevertheless, because of political, legal and cultural differences across countries and cities, policies will always be context dependent, and prosper under specific conditions. There is a vast literature on policy transfer and policy mobility, but much of it utilizes different concepts (i.e., policy translation, policy learning, and policy diffusion). Nonetheless, a critical omission they all share is limited concern for context-dependence and lack of prescriptive clues. Addressing both omissions would lead to a framework in which learning from good SC policy practices, formulating lessons, transferring them, and then adjusting them to fit the recipient's needs is taken up systematically in a stepwise manner. To develop a theoretical framework for Smart City adoption, this study brings together variegated existing literature under the heading ‘policy transplantation’ and synthesizes existing insights into a prescriptive procedure policymakers can follow. A systematic literature review is conducted to identify all key elements and sub-elements associated with SC policy transplantation, leading to a theoretical framework. This (prescriptive) theoretical framework is subsequently validated using an expert group and illustrated through a real-life case. The framework can be used as an analytical lens by researchers, but also constitutes a practical tool to guide policymakers aiming to use insights from good practices and implement them in line with their own contextual setting. Conducting contextual assessment before transplanting a SC policy is essential.","Context-complexity; Good practice; Policy mobility; Policy transplantation; Smart City","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-14","","","Organisation & Governance","","",""
"uuid:5e125bc6-fc40-4e30-99e5-205c5fb26a68","http://resolver.tudelft.nl/uuid:5e125bc6-fc40-4e30-99e5-205c5fb26a68","Sharp bound on the truncated metric dimension of trees","Bartha, Zsolt (Eindhoven University of Technology); Komjáthy, J. (TU Delft Applied Probability); Raes, Järvi (Eindhoven University of Technology)","","2023","A k-truncated resolving set of a graph is a subset S⊆V of its vertex set such that the vector (dk(s,v))s∈S is distinct for each vertex v∈V where dk(x,y)=min{d(x,y),k+1} is the graph distance truncated at k+1. We think of elements of a k-truncated resolving set as sensors that can measure up to distance k. The k-truncated metric dimension (Tmdk) of a graph G is the minimum cardinality of a k-truncated resolving set of G. We give a sharp lower bound on Tmdk for any tree T in terms of its number of vertices |T| and the measuring radius k. Our result is that Tmdk(T)≥|T|⋅3/(k2+4k+3+1{k≡1(mod3)})+ck, disproving earlier conjectures by Frongillo et al. that suspected |T|/(⌊k2/4⌋+2k)+ck′ as general lower bound, where ck, ck′ are k-dependent constants. We provide a construction for trees with the largest number of vertices with a given Tmdk value. The proof that our optimal construction cannot be improved relies on edge-rewiring procedures of arbitrary (suboptimal) trees with arbitrary resolving sets, which reveal the structure of how small subsets of sensors measure and resolve certain areas in the tree that we call the attraction of those sensors. The notion of ‘attraction of sensors’ might be useful in other contexts beyond trees to solve related problems. We also provide an improved lower bound on Tmdk of arbitrary trees that takes into account the structural properties of the tree, in particular, the number and length of simple paths of degree-two vertices terminating in leaf vertices. This bound complements the result of the above-mentioned work of Frongillo et al., where only trees without degree-two vertices were considered, except the simple case of a single path.","k-Truncated metric dimension; Metric dimension; Source detection","en","journal article","","","","","","","","","","","Applied Probability","","",""
"uuid:7bf8b85b-d827-445b-aaac-9db6b8110ef1","http://resolver.tudelft.nl/uuid:7bf8b85b-d827-445b-aaac-9db6b8110ef1","Uncertainties and their treatment in the quantitative risk assessment of domino effects: Classification and review","Xu, Y. (TU Delft Industrial Design Engineering); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia; University of Tasmania); Yuan, S. (TU Delft Safety and Security Science); Chen, Chao (Southwest Petroleum University)","","2023","Domino accidents are typical low-frequency and high-consequence events in chemical process industries. Applying quantitative risk assessment (QRA) in domino accident assessment is challenging due to the uncertainties in the escalation process. Meanwhile, the outcomes of QRA are subject to a certain degree of unreliability due to the inappropriate representation of uncertainty. This paper reviews the literature in the field of QRA of domino accidents that may happen in the chemical process industries. Firstly, the sources of uncertainty in risk assessment of domino effects are identified and categorized based on a fundamental structure of uncertainty and a QRA framework. Furthermore, the current methodologies and approaches applied for handling various uncertainties (input uncertainty, model parameter uncertainty, and model structure uncertainty) in the QRA related to domino effects are reviewed. Based on the literature review results, current challenges with respect to uncertainty handling in QRA of domino accidents are discussed, and recommendations for future research are given before the conclusions are presented. This study helps researchers to get insights into the interface between uncertainty fundamentals and the QRA framework and the current status of uncertainty handling in the QRA of domino effects. Furthermore, this study promotes the development of new approaches for handling uncertainty in domino accident analysis.","Chemical process industry; Domino effects; Quantitative risk assessment; Uncertainty fundamentals; Uncertainty handling","en","journal article","","","","","","","","","Industrial Design Engineering","","Safety and Security Science","","",""
"uuid:f5b5c84c-79bc-48a1-be90-d3b3a776d38d","http://resolver.tudelft.nl/uuid:f5b5c84c-79bc-48a1-be90-d3b3a776d38d","Do people act differently while using ridesharing services with children?","Das, Subasish (Texas State University); Tawhidur Rahman, Md (Aecom); Kabir, Nuzhat (Texas A and M University); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science); Dey, Kakan (West Virginia University); Mahmud Hossain, Md (Auburn University)","","2023","Children are one of the most vulnerable population groups in traffic crashes. Child safety seats (CSSs) can reduce the severity of crash outcomes for children. The use of CSSs has significantly increased in the U.S. over the last 40 years, but the use of CSSs in popular ridesharing services (RSSs), such as Uber and Lyft, is not widespread. This paper used a publicly available nationwide online survey designed to understand the knowledge and attitudes of drivers and riders toward child passenger safety in RSSs. This study performed a rigorous exploratory data analysis to identify key insights about the survey participants. A recently developed dimension-reduction method was applied to understand the co-occurrence patterns of the responses to gain intuitive insights. Finally, open ended responses of survey participants have been analyzed for further insights. The findings of this study can be used to promote new safety legislation and the use of CSSs in RSSs.","Child safety seats; Ridesharing services; Safety; Survey analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-17","","","Safety and Security Science","","",""
"uuid:4f9df1bb-e3d2-45dd-9794-99ee5c1cc435","http://resolver.tudelft.nl/uuid:4f9df1bb-e3d2-45dd-9794-99ee5c1cc435","Dynamic-risk-informed safety barrier management: An application to cost-effective barrier optimization based on data from multiple sources","Yuan, S. (TU Delft Safety and Security Science); Reniers, G.L.L.M.E. (TU Delft Safety and Security Science; Universiteit Antwerpen; Katholieke Universiteit Leuven); Yang, M. (TU Delft Safety and Security Science; Universiti Teknologi Malaysia; University of Tasmania)","","2023","An integrated approach for performance assessment and management of safety barriers in a systemic manner is needed concerning the prevention and mitigation of major accidents in chemical process industries. Particularly, the effects of safety barriers on system risk reduction should be assessed in a dynamic manner to support the decision-making on safety barrier establishments and improvements. A simulation approach, named Simulink-based Safety Barrier Modeling (SSBM), is proposed in this paper to conduct dynamic risk assessment of chemical facilities with the consideration of the degradation of safety barriers. The main functional features of the SSBM include i) the basic model structures of SSBM can be determined based on bow-tie diagrams, ii) multiple data (periodic proof test data, continuous condition-monitoring data, and accident precursor data) may be combined to update barrier failure probabilities and initiating event probabilities, iii) SSBM is able to handle uncertainty propagation in probabilistic risk assessment by using Monte Carlo simulations, and iv) cost-effectiveness analysis (CEA) and optimization algorithms are integrated to support the decision-making on safety barrier establishments and improvements. An illustrative case study is demonstrated to show the procedures of applying the SSBM on dynamic risk-informed safety barrier management and validate the feasibility of implementing the SSBM for cost-effective safety barrier optimization.","Bayesian updating; Condition monitoring; Cost-effectiveness analysis; Dynamic risk assessment; Safety barrier degradation; Safety barrier management","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:ae822841-21eb-4f4c-9b9b-ca140d3ab533","http://resolver.tudelft.nl/uuid:ae822841-21eb-4f4c-9b9b-ca140d3ab533","Evaluation of Global Land Use–Land Cover Data Products in Guangxi, China","Hao, Xuan (Guangxi Normal University; International Research Center for Big Data for Sustainable Development Goals; Chinese Academy of Sciences); Qiu, Yubao (International Research Center for Big Data for Sustainable Development Goals; Chinese Academy of Sciences; China-ASEAN Regional Innovation Center for Big Earth Data); Jia, Guoqiang (International Research Center for Big Data for Sustainable Development Goals; Chinese Academy of Sciences; China-ASEAN Regional Innovation Center for Big Earth Data); Menenti, M. (TU Delft Optical and Laser Remote Sensing; Chinese Academy of Sciences); Ma, Jiangming (Guangxi Normal University); Jiang, Zhengxin (Chinese Academy of Sciences)","","2023","Land use–land cover (LULC) is an important feature for ecological environment research, land resource management and evaluation. Although global high-resolution LULC data sets are booming, their regional performances were still evaluated in limited regions. To demonstrate the local applicability of global LULC data products, six emerging LULC data products were evaluated and compared in Guangxi, China. The six products used are European Space Agency GlobCover (ESAGC), ESRI Land Use–Land Cover (ESRI–LULC), Finer Resolution Observation and Monitoring of Global Land Cover (FROM–GLC), the China Land Cover Dataset (CLCD), the Global Land Cover product with Fine Classification System at 30 m (GLC_FCS30) and GlobeLand30 (GLC30). Reference data were obtained from the local government statistical yearbook and high-resolution remote sensing images on Google Earth. The results showed that CLCD, ESRI–LULC and GLC30 were found to agree well with the forest reference data, with the highest correlation coefficient of 0.999. For the cropland areas, GLC30, CLCD and ESAGC agreed well with the reference data, and the highest correlation coefficient was 0.957. Combined with the comparison with the high-resolution images obtained by Google Earth, we finally concluded that ESAGC, CLCD and GLC30 can best represent the LULCs in Guangxi. Furthermore, the spatial consistency analysis showed that three or more products identified the same LULC type as high as 96.98% of the area. We suggest that majority voting might be applied to global LULC products to provide fused products with better performances on a regional or local scale to avoid the error caused by a single data product.","data inter-comparison; forest and cropland; fusion; land use–land cover; spatial consistency analysis","en","journal article","","","","","","","","","","","Optical and Laser Remote Sensing","","",""
"uuid:2a8a2bc5-5ac7-4827-bddb-33d887fc6375","http://resolver.tudelft.nl/uuid:2a8a2bc5-5ac7-4827-bddb-33d887fc6375","Application of game theory in risk management of urban natural gas pipelines","Li, Xinhong (Xi'an University of Architecture and Technology); Ma, Jie (Xi'an University of Architecture and Technology); Han, Ziyue (Xi'an University of Architecture and Technology); Zhang, Y. (TU Delft Applied Sciences; Xi'an University of Architecture and Technology); Yang, M. (TU Delft Safety and Security Science)","","2023","This paper presents a game theory methodology for risk management of urban natural gas pipelines, which is a collaborative participation mechanism of the stakeholders, including government, pipeline companies, and the public. Firstly, the involvement proportion of stakeholders in risk management under rational conditions is estimated by the static game theory. Subsequently, the system dynamics (SD) simulation is used to establish an evolution game model of stakeholders in risk management under the irrational conditions, in which the stability of the evolution game process is analyzed. The stakeholders’ involvement proportions from the static game model are utilized as the inputs for the evolution game model to simulate the dynamic evolution behavior of risk management strategies with different involvement proportions of stakeholders. Eventually, the dynamic evaluation game can extract an optimal strategy for risk management of urban natural gas pipelines. A case study is used to illustrate the methodology. In essence, this methodology can be extended for implementing risk management of urban infrastructure.","Game theory; Risk management; System dynamics simulation; Urban natural gas pipeline","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-17","Applied Sciences","","Safety and Security Science","","",""
"uuid:d94467cc-d594-4ffa-aee6-6bff88ca0695","http://resolver.tudelft.nl/uuid:d94467cc-d594-4ffa-aee6-6bff88ca0695","Response to Emotions and Automation in a High-Tech Workplace: a Commentary","Ley, Madelaine (TU Delft Values Technology and Innovation; TU Delft Ethics & Philosophy of Technology)","","2023","","","en","journal article","","","","","","","","","","Values Technology and Innovation","Ethics & Philosophy of Technology","","",""
"uuid:60f03de2-2dac-4792-b307-7f42a467d6a2","http://resolver.tudelft.nl/uuid:60f03de2-2dac-4792-b307-7f42a467d6a2","Inference and dynamic decision-making for deteriorating systems with probabilistic dependencies through Bayesian networks and deep reinforcement learning","Morato, P. G. (Université de Liège); Andriotis, C. (TU Delft Architectural Technology); Papakonstantinou, K. G. (The Pennsylvania State University); Rigo, P. (Université de Liège)","","2023","In the context of modern engineering, environmental, and societal concerns, there is an increasing demand for methods able to identify rational management strategies for civil engineering systems, minimizing structural failure risks while optimally planning inspection and maintenance (I&M) processes. Most available methods simplify the I&M decision problem to the component level, often assuming statistical, structural, or cost independence among components, due to the computational complexity associated with global optimization methodologies under joint system-level state descriptions. In this paper, we propose an efficient algorithmic framework for inference and decision-making under uncertainty for engineering systems exposed to deteriorating environments, providing optimal management strategies directly at the system level. In our approach, the decision problem is formulated as a factored partially observable Markov decision process, whose dynamics are encoded in Bayesian network conditional structures. The methodology can handle environments under equal or general, unequal deterioration correlations among components, through Gaussian hierarchical structures and dynamic Bayesian networks, decoupling the originally joint system state space to component networks conditional on shared random variables. In terms of policy optimization, we adopt a deep decentralized multi-agent actor-critic (DDMAC) reinforcement learning approach, in which the policies are approximated by actor neural networks guided by a critic network. By including deterioration dependence in the simulated environment, and by formulating the cost model at the system level, DDMAC policies intrinsically consider the underlying system-effects. This is demonstrated through numerical experiments conducted for both a 9-out-of-10 system and a steel frame under fatigue deterioration. Results demonstrate that DDMAC policies offer substantial benefits when compared to state-of-the-art heuristic approaches. The inherent consideration of system-effects by DDMAC strategies is also interpreted based on the learned policies.","Decision analysis; Deep reinforcement learning; Dynamic Bayesian networks; Infrastructure management; Partially observable Markov decision processes; System reliability analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-11","","","Architectural Technology","","",""
"uuid:9d0ee92a-4cd5-47f7-86fd-7eb9a39b2a1b","http://resolver.tudelft.nl/uuid:9d0ee92a-4cd5-47f7-86fd-7eb9a39b2a1b","Microscopic Traffic Modeling Inside Intersections: Interactions Between Drivers","Zhao, J. (TU Delft Transport and Planning; University of Shanghai for Science and Technology); Knoop, V.L. (TU Delft Transport and Planning); Wang, M. (TU Delft Transport and Planning; Technische Universität Dresden)","","2023","Microscopic traffic flow models enable predictions of traffic operations, which allows traffic engineers to assess the efficiency and safety effects of roadway designs. Modeling vehicle trajectories inside intersections is challenging because there is an infinite number of possible paths in a two-dimensional space, and drivers can simultaneously adapt their speeds as well. To date, human driver models for simultaneous longitudinal and lateral vehicle control based on the infrastructure characteristics and interactions with other drivers inside an intersection are still lacking. The contribution of this paper is threefold. First, it proposes an integrated microscopic traffic flow model to describe human-driven vehicle maneuvers under interactions. Drivers plan their heading and acceleration in the predicted future to minimize costs representing undesirable situations. The model works with a joint optimization for an interaction cost term. The weights associated with the interaction cost reflect how selfish or altruistic drivers are. Second, the proposed model endogenously gives the order of vehicles in case of crossing paths. Third, the paper develops a clustered validation method for microscopic traffic flow models with interacting vehicles, which account for interdriver variations. Results show that the model can accurately describe vehicle passing orders of interacting maneuvers, paths, and speeds against empirical data. The model can be applied to assess various intersection designs.","driver interactions; intersections; optimal control; traffic flow model; vehicular trajectory","en","journal article","","","","","","","","","","Transport and Planning","Transport and Planning","","",""
"uuid:ea88f420-fca9-4518-b526-9df67d12309b","http://resolver.tudelft.nl/uuid:ea88f420-fca9-4518-b526-9df67d12309b","Enhancing survival of ex-situ reared sexual recruits of Acropora palmata for reef rehabilitation","Schutter, Miriam (Van Oord DMC; Bureau Waardenburg; Future4Reefs); ter Hofstede, R. (Van Oord DMC); Bloemberg, Joost (Wageningen University & Research); Elzinga, Jesper (Van Oord DMC); van Koningsveld, M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Van Oord DMC); Osinga, Ronald (Wageningen University & Research)","","2023","Acropora palmata is one of the major reef-building coral species in the Caribbean. The species has suffered drastic declines in abundance and sexual recruitment over the past decades. One method for active rehabilitation of A. palmata reefs is by assisting the production of sexual recruits under controlled lab conditions. Within this study, the effect of different aquaculture regimes and culturing periods on the survival rates of these recruits was investigated. In August 2016, coral spawn was collected on a reef nearshore New Providence, Bahamas, cross-fertilized, and reared in mobile laboratory facilities from Van Oord Dredging and Marine Contractors. Larvae were settled on pre-conditioned aragonite plugs. Sexual recruits were cultured under four different aquaculture conditions: ambient vs. high Total Alkalinity (TA) (∼2.8 mEq L−1 vs. 4.8 mEq L−1) and with vs. without feeding Artemia nauplii. Recruit size was monitored by tracking living tissue area and the number of polyps of a subset of recruits. Plates with recruits were outplanted to a nursery on the reef after 4, 9 and 14 weeks of aquaculture. Survival was determined during the aquaculture phase (at 4, 9 and 14 weeks after settlement), and after outplanting (at 27 and 44 weeks after settlement). During the aquaculture phase, survival was significantly lower in seawater with increased TA compared to ambient seawater conditions. The average number of polyps per recruit was significantly higher in the treatments with feeding. After outplanting to the reef, both survival and recruit size were highest in the feeding treatments. The most successful aquaculture treatment in this study was a combination of increased TA and feeding during 9 weeks of aquaculture, which resulted in a doubling of survival and recruit size at 10 months after settlement compared to ambient conditions. Ambient conditions did not enhance survivorship nor recruit size at 10 months after settlement, as compared to the other aquaculture treatments. Nevertheless, the success of ambient aquaculture conditions exceeded natural conditions, as no natural recruitment of A. palmata was observed in this study. We conclude that feeding during and ex-situ culture period enhances ex-situ growth rates and in situ recruit survival of A. palmata juveniles. No positive effects of the aquaculture treatment with only increased TA were found. Building on these results, recommendations are provided for future reef rehabilitation efforts using ex-situ rearing of sexually reproduced A. palmata recruits.","Aquaculture; Coral growth; Coral spawning; Feeding; Total alkalinity","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-23","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:60c48965-8070-4abc-b983-5aa4a075c5c4","http://resolver.tudelft.nl/uuid:60c48965-8070-4abc-b983-5aa4a075c5c4","Experimental and numerical investigation of sandstone deformation under cycling loading relevant for underground energy storage","Naderloo, M. (TU Delft Applied Geophysics and Petrophysics); Ramesh Kumar, K. (TU Delft Reservoir Engineering); Hernandez, Edgar (Deltares; Student TU Delft); Hajibeygi, H. (TU Delft Reservoir Engineering); Barnhoorn, A. (TU Delft Applied Geophysics and Petrophysics)","","2023","Considering the storage capacity and already existing infrastructures, underground porous reservoirs are highly suitable to store green energy, for example, in the form of green gases such as hydrogen and compressed air. Depending on the energy demand and supply, the energy-rich fluids are injected and produced, which induces cyclic change of state-of-the-stress in the reservoir and its surrounding. Detailed analyses of the geo-mechanical deformations under variable storage conditions i.e., storage frequency and fluid fluctuating pressures, are crucially important for safe and efficient operations. The present work presents an integrated analysis, based on experimental and constitutive modeling aspects, to investigate sandstones’ geomechanical response to cyclic loading relevant to underground energy storage (UES). To this end, sandstone rock samples were subjected to cyclic loading above and below the onset of dilatant cracking under different frequencies and loading amplitudes. Axial strains and Acoustic Emissions (AE) were measured in both regimes to quantify the total deformation (strain) of the rock and its AE characteristics. It is found that the inelastic strain and number of AE events is the highest in the first cycle and reduce subsequently cycle after cycle. Moreover, cyclic inelastic deformations are affected by the mean stress, amplitude, and frequency of the stress waveform. On the one hand, the higher the mean stress and the amplitude, the higher the total inelastic strains. On the other hand, the lower the frequency, the higher the total inelastic strain. From the modeling perspectives, five types of deformation mechanisms were identified based on the governing physics: elastic, viscoelastic, compaction-based cyclic inelastic, inelastic brittle creep, and dilatation-based inelastic deformation. To model elastic, viscoelastic, and brittle creep, the Nishihara model was used. A cyclic modified cam clay model (MCC) and hardening–softening model were applied to capture plastic deformation. The results show a very good fit of the constitutive model with the experimental results, which could help in studying the response of reservoirs to injection and production.","Acoustic emissions; Geomechanics; Nonlinear material deformation; Sandstone; Subsurface energy storage","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:e54fd7ee-7b67-45bb-858c-e9e59e67b8dd","http://resolver.tudelft.nl/uuid:e54fd7ee-7b67-45bb-858c-e9e59e67b8dd","Addressing complexities in MPM modeling of calibration chamber cone penetrometer tests in homogenous and highly interlayered soils","Yost, Kaleigh M. (Virginia Tech); Martinelli, M. (TU Delft Dynamics of Structures; Deltares); Yerro, Alba (Virginia Tech); Green, Russell A. (Virginia Tech); de Lange, Dirk A. (Deltares)","","2023","Cone penetrometer tests (CPTs) are used to characterize soil for a variety of geotechnical engineering applications, including earthquake-induced liquefaction triggering assessment. Numerical modeling of CPTs is frequently used to better understand soil behavior, soil-penetrometer interaction, and engineering estimates made from CPT data. However, calibrating and validating numerical CPT simulations with experimental calibration chamber (CC) data can be challenging. Specifically, uncertainties in the interpretation of laboratory strength and compression data compound with uncertainties in the CC testing and the assumptions made when developing the numerical model. This article provides a comprehensive review of uncertainties in the calibration and validation of CPT numerical simulations performed in homogenous sand, homogenous clay, and layered sand-clay soil profiles, comparing numerical results with well-documented experimental calibration chamber tests performed at Deltares. In particular, the Material Point Method (MPM) is used to perform the numerical analyses. A framework is presented to assess how uncertainty in the numerical model output is attributed to each input parameter. It is demonstrated that uncertainties can be explored numerically. Finally, recommendations for future experimental and numerical studies of CPTs are provided.","Calibration chamber; CPT; Interlayered soils; MPM","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-20","","","Dynamics of Structures","","",""
"uuid:b02144a8-1831-48bd-b011-ebe26f3dcdba","http://resolver.tudelft.nl/uuid:b02144a8-1831-48bd-b011-ebe26f3dcdba","Effect of pretreated cow dung fiber on rheological and fatigue properties of asphalt binder","Niu, D. (Chang'an University); Zhang, Zhao (Chang'an University); Gao, Y. (TU Delft Pavement Engineering; Liverpool John Moores University); Li, Yuanxiao (Henan University of Science and Technology); Yang, Zhengxian (Fuzhou University); Niu, Yanhui (Chang'an University)","","2023","Cow dung waste has caused severe environmental pollution and public health issues in China. In this study, the cow dung residues were used as a cheap renewable fiber to modify asphalt binder, providing a new solution for the proper disposal of cow dung waste. Three cow dung fibers with two lengths were prepared using different treatments, including original cow dung fiber (CDF), surface treatments of cow dung fiber (STCDF) and alkali treatments of cow dung fiber (ATCDF). The physicochemical properties of CDF, STCDF and ATCDF were analyzed by scanning electron microscope (SEM) and thermogravimetry (TG). The viscidity, rheological properties and fatigue characteristics of CDF modified asphalt binders (CDFMA) were evaluated using Brookfield viscometer and dynamic shear rheometer. The results showed that the rough surfaces of STCDF and ATCDF improved their thermal stability. STCDF and ATCDF enhanced the resistance to permanent deformation under high temperature conditions of modified asphalt binder. STCDF modified asphalt binders exhibited the best viscosity and rheological performance. The increase of fiber length was positively correlated with the high temperature deformation resistance of CDFMA. CDF, STCDF and ATCDF inhibited fatigue cracking of modified asphalt binders compared to base asphalt binders. ATCDF modified asphalt binders exhibited higher fatigue life and smaller crack under the same cyclic loading. The increase in fiber length had a slight improvement on the fatigue resistance of modified asphalt binders.","Cow dung fiber; Fatigue cracking; Modified asphalt binder; Rheological properties; Surface treatment","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:14430319-e162-421a-991d-caf48ed6b47b","http://resolver.tudelft.nl/uuid:14430319-e162-421a-991d-caf48ed6b47b","A method to identify the weakest link in urban drainage systems","Meijer, D.H. (TU Delft Sanitary Engineering; Deltares); Korving, J.L. (Deltares); Langeveld, J.G. (TU Delft Sanitary Engineering; Partners4UrbanWater); Clemens-Meyer, François (Norwegian University of Science and Technology (NTNU); SkillsInMotion)","","2023","Urban drainage systems are composed of subsystems. The ratio of the storage and discharge capacities of the subsystems determines the performance. The performance of the urban water system may deteriorate as a result of the change in the ratio of storage to discharge capacity due to aging, urbanisation and climate change. We developed the graph-based weakest link method (GBWLM) to analyse urban drainage systems. Flow path analysis from graph theory is applied instead of hydrodynamic model simulations to reduce the computational effort. This makes it practically feasible to analyse urban drainage systems with multi-decade rainfall series. We used the GBWLM to analyse the effect of urban water system aging and/or climate scenarios on flood extent and frequency. The case study shows that the results of the hydrodynamic models and the GBWLM are similar. The rainfall intensities of storm events are expected to increase by approximately 20% in the Netherlands due to climate change. For the case study, such an increase in load has little impact on the flood frequency and extent caused by gully pots and surface water. However, it could lead to a 50% increase in the storm sewer flood frequency and an increase in the extent of flooding.","","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:6ec82017-dbd4-416e-91ba-1394c36bdd9c","http://resolver.tudelft.nl/uuid:6ec82017-dbd4-416e-91ba-1394c36bdd9c","Should I stay or should I board? Willingness to wait with real-time crowding information in urban public transport","Drabicki, Arkadiusz (TU Delft Transport and Planning; Cracow University of Technology); Cats, O. (TU Delft Transport and Planning); Kucharski, R.M. (TU Delft Transport and Planning; Jagiellonian University); Fonzone, Achille (Edinburgh Napier University); Szarata, Andrzej (Cracow University of Technology)","","2023","Overcrowding is a major phenomenon affecting travel experience in urban public transport, whose negative impacts can be potentially mitigated with real-time crowding information (RTCI) on public transport vehicle departures. In this study, we investigate the willingness to wait (WTW) with instantaneous RTCI to avoid the in-vehicle (over)crowding the passenger faces, focusing specifically on urban crowding context (i.e. bus and tram systems). We conduct a stated-preference survey in Krakow (Poland), where we examine the choice probability between boarding now a more crowded vehicle vs. waiting at the stop for a less-crowded PT departure, and estimate a series of discrete choice models. Results show that 50–70% of respondents consider skipping a first departure which is excessively overcrowded and 10–30% would skip a vehicle with moderate standing crowding on-board. Acceptable waiting times typically range between 2 and 13 min, depending on crowding level and propensity to arrive on-time, but may even exceed 20 min in individual cases. These findings indicate that RTCI can induce a substantial WTW, affecting travel behaviour. We discuss its implications for mitigating service disruptions and demand management policies, including prospective support for public transport recovery in the aftermath of covid-19 crisis.","Overcrowding; Public transport; Real-time crowding information; RTCI; Willingness to wait","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:5efad89e-8683-47c5-872f-b4970b98eff5","http://resolver.tudelft.nl/uuid:5efad89e-8683-47c5-872f-b4970b98eff5","Monitoring organic micropollutants in stormwater runoff with the method of fingerprinting","Langeveld, J.G. (TU Delft Sanitary Engineering; Partners4UrbanWater); Post, Johan (Partners4UrbanWater); Makris, K. (TU Delft Sanitary Engineering; Partners4UrbanWater); Palsma, Bert (Stichting Toegepast Onderzoek Waterbeheer); Kuiper, Melanie (Waterschap Drents Overijsselse Delta); Liefting, Erik (Partners4UrbanWater)","","2023","The ecological state of receiving water bodies can be significantly influenced by organic micropollutants that are emitted via stormwater runoff. Reported efforts to quantify the emission of micropollutants mainly focus on sampling at combined sewer overflows and storm sewer outfalls, which can be challenging. An alternative method, called fingerprinting, was developed and tested in this study. The fingerprinting method utilizes wastewater treatment plant (WWTP) influent samples and derives the proportion of stormwater in a sample. This is achieved by comparing the wet weather vs dry weather concentrations of substances-tracers which are present only in wastewater. It is then possible to estimate the concentration of organic micropollutants in stormwater runoff from measurements in the influent of a WWTP based on a mass balance. In this research, the fingerprinting method was applied in influent samples obtained in five WWTPs in the Netherlands. In total, 28 DWF and 22 WWF samples were used. The chosen tracers were ibuprofen, 2-hydroxyibuprofen, naproxen and diclofenac. Subsequently, the concentration in stormwater runoff of 403 organic micropollutants was estimated via the WWF samples. The substances that were present and analyzed included glyphosate and AMPA, 24 out of 254 pesticides, 6 out of 28 organochlorine pesticides, 45 out of 63 pharmaceuticals, 15 out of 15 PAHs, 2 of the 7 PCBs, and 20 of 33 other substances (e.g. bisphenol-A). A comparison with findings from other studies suggested that the fingerprinting method yields trustworthy results. It was also noted that a representative and stable dry weather flow reference concentration is a strict requirement for the successful application of the proposed method.","Micropollutants; Pesticides; Priority substance; Stormwater","en","journal article","","","","","","","","","","","Sanitary Engineering","","",""
"uuid:899daa41-72dd-40bc-b6b5-a36d1d22940a","http://resolver.tudelft.nl/uuid:899daa41-72dd-40bc-b6b5-a36d1d22940a","Tailoring high-energy storage NaNbO3-based materials from antiferroelectric to relaxor states","Zhang, Mao Hua (Technische Universität Darmstadt); Ding, Hui (Technische Universität Darmstadt); Egert, Sonja (Technische Universität Darmstadt); Zhao, Changhao (Technische Universität Darmstadt); Villa, Lorenzo (Technische Universität Darmstadt); Fulanović, Lovro (Technische Universität Darmstadt); Braga Groszewicz, P. (TU Delft RST/Storage of Electrochemical Energy); Buntkowsky, Gerd (Technische Universität Darmstadt); Kleebe, Hans Joachim (Technische Universität Darmstadt)","","2023","Reversible field-induced phase transitions define antiferroelectric perovskite oxides and lay the foundation for high-energy storage density materials, required for future green technologies. However, promising new antiferroelectrics are hampered by transition´s irreversibility and low electrical resistivity. Here, we demonstrate an approach to overcome these problems by adjusting the local structure and defect chemistry, delivering NaNbO3-based antiferroelectrics with well-defined double polarization loops. The attending reversible phase transition and structural changes at different length scales are probed by in situ high-energy X-ray diffraction, total scattering, transmission electron microcopy, and nuclear magnetic resonance spectroscopy. We show that the energy-storage density of the antiferroelectric compositions can be increased by an order of magnitude, while increasing the chemical disorder transforms the material to a relaxor state with a high energy efficiency of 90%. The results provide guidelines for efficient design of (anti-)ferroelectrics and open the way for the development of new material systems for a sustainable future.","","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:b8b23d1f-2db9-4899-9f04-c2deab374015","http://resolver.tudelft.nl/uuid:b8b23d1f-2db9-4899-9f04-c2deab374015","Dynamic Covalent Dextran Hydrogels as Injectable, Self-Adjuvating Peptide Vaccine Depots","Fan, B. (TU Delft ChemE/Advanced Soft Matter; University of Notre Dame); Torres García, Diana (Universiteit Leiden); Salehi, Marziye (Universiteit Leiden); Webber, Matthew J. (University of Notre Dame); van Kasteren, S.I. (Universiteit Leiden); Eelkema, R. (TU Delft ChemE/Advanced Soft Matter)","","2023","Dextran-based hydrogels are promising therapeutic materials for drug delivery, tissue regeneration devices, and cell therapy vectors, due to their high biocompatibility, along with their ability to protect and release active therapeutic agents. This report describes the synthesis, characterization, and application of a new dynamic covalent dextran hydrogel as an injectable depot for peptide vaccines. Dynamic covalent crosslinks based on double Michael addition of thiols to alkynones impart the dextran hydrogel with shear-thinning and self-healing capabilities, enabling hydrogel injection. These injectable, non-toxic hydrogels show adjuvant potential and have predictable sub-millimolar loading and release of the peptide antigen SIINFEKL, which after its release is able to activate T-cells, demonstrating that the hydrogels deliver peptides without modifying their immunogenicity. This work demonstrates the potential of dynamic covalent dextran hydrogels as a sustained-release material for the delivery of peptide vaccines.","","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:4eb11c44-963c-402e-90fc-ba1a9b3d9c92","http://resolver.tudelft.nl/uuid:4eb11c44-963c-402e-90fc-ba1a9b3d9c92","Mechanical overtone frequency combs","de Jong, M.H.J. (TU Delft QN/Groeblacher Lab; TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft); Ganesan, Adarsh (National Institute of Standards and Technology; Ahmedabad University); Cupertino, A. (TU Delft Dynamics of Micro and Nano Systems); Groeblacher, S. (TU Delft QN/Groeblacher Lab; Kavli institute of nanoscience Delft); Norte, R.A. (TU Delft QN/Groeblacher Lab; TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft)","","2023","Mechanical frequency combs are poised to bring the applications and utility of optical frequency combs into the mechanical domain. So far, their main challenge has been strict requirements on drive frequencies and power, which complicate operation. We demonstrate a straightforward mechanism to create a frequency comb consisting of mechanical overtones (integer multiples) of a single eigenfrequency, by monolithically integrating a suspended dielectric membrane with a counter-propagating optical trap. The periodic optical field modulates the dielectrophoretic force on the membrane at the overtones of a membrane’s motion. These overtones share a fixed frequency and phase relation, and constitute a mechanical frequency comb. The periodic optical field also creates an optothermal parametric drive that requires no additional power or external frequency reference. This combination of effects results in an easy-to-use mechanical frequency comb platform that requires no precise alignment, no additional feedback or control electronics, and only uses a single, mW continuous wave laser beam. This highlights the overtone frequency comb as the straightforward future for applications in sensing, metrology and quantum acoustics.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","QN/Groeblacher Lab","","",""
"uuid:47107cdb-c59a-4ab8-8b01-0d2f582c4890","http://resolver.tudelft.nl/uuid:47107cdb-c59a-4ab8-8b01-0d2f582c4890","On-Demand Release of Secondary Amine Bases for the Activation of Catalysts and Crosslinkers","Spitzbarth, B. (TU Delft ChemE/Advanced Soft Matter); Eelkema, R. (TU Delft ChemE/Advanced Soft Matter)","","2023","Dynamic covalent (DCv) ureas have been used abundantly to design self-healing materials. We demonstrate that apart from self-healing materials, the species present in the equilibrium of DCv ureas can be employed as responsive organocatalysts. Easily controllable stimuli like heat or addition of water shift the equilibrium towards isocyanate and free base which can function as an in situ released reagent. We demonstrate this application of DCv ureas with two examples. Firstly, we use the liberated base to catalytically activate a latent organocatalyst for acylhydrazone formation. Secondly, this base can be employed in an equimolar manner to trigger the release of nitrile-N-oxides from chlorooximes, which react with acrylate-terminated polymers to form an isoxazoline polymer gel.","dynamic covalent chemistry; dynamic covalent urea; latent catalyst; organocatalysis; organogel","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:4f5de51b-de08-45af-88f2-384af3368eb4","http://resolver.tudelft.nl/uuid:4f5de51b-de08-45af-88f2-384af3368eb4","Ultrafast hole relaxation dynamics in quantum dots revealed by two-dimensional electronic spectroscopy","Brosseau, Patrick J. (McGill University); Geuchies, J.J. (TU Delft ChemE/Opto-electronic Materials); Jasrasaria, Dipti (University of California); Houtepen, A.J. (TU Delft ChemE/Opto-electronic Materials); Rabani, Eran (Lawrence Berkeley National Laboratory; Tel Aviv University; University of California); Kambhampati, Patanjali (McGill University)","","2023","Elucidating the population dynamics of correlated electron-hole pairs (bound excitons) in semiconducting quantum dots (QDs) is key for developing our fundamental understanding of nanoscale photophysics as well as for the optimal design of devices, such as lasers. For decades, it was assumed that holes did not contribute to band edge bleach signals in QDs. Here, we employ two-dimensional electronic spectroscopy to monitor electron and hole dynamics in both CdSe and CdSe/CdS/ZnS QDs to probe electron and hole dynamics. Based on a combination of time and frequency resolution, we observe a previously unresolved bleaching signal in CdSe QDs on timescales faster than 30 fs due to hole cooling. Atomistic semiempirical pseudopotential calculations are used to rationalize the order of magnitude difference in the observed hole dynamics in CdSe and CdSe/CdS/ZnS QDs. This picture advances our understanding of QD excitonics past the prevailing continuum effective mass theories generally used to describe QD electronic structure and dynamics.","","en","journal article","","","","","","","","","","","ChemE/Opto-electronic Materials","","",""
"uuid:04eed5e6-f744-455c-812e-4b86e1139116","http://resolver.tudelft.nl/uuid:04eed5e6-f744-455c-812e-4b86e1139116","Children and Information Access: Fostering a Sense of Belonging","Pera, M.S. (TU Delft Web Information Systems); Wright, Katherine Landau (Boise State University); Kennington, Casey (Boise State University); Fails, Jerry Alan (Boise State University)","","2023","In this vision paper, we spotlight children as often underserved users in the digital ecosystem. With online search as a use case, we discuss the need for a multi-perspective approach to designing interactive interfaces and technologies that can enable information access systems to better respond to children's requirements while respecting the cultural and social norms impacting their upbringing.","Children; Culture; Inclusion; Information Access; Information Discovery; Web Search","en","journal article","","","","","","","","","","","Web Information Systems","","",""
"uuid:fab42532-d3b0-4be2-ba63-531dbb2697be","http://resolver.tudelft.nl/uuid:fab42532-d3b0-4be2-ba63-531dbb2697be","Monitoring and modeling dispersal of a submerged nearshore berm at the mouth of the Columbia River, USA","Stevens, Andrew W. (Pacific Coastal and Marine Science Center); Moritz, Hans R. (U.S. Army Corps of Engineers); Elias, Edwin P.L. (Deltares); Gelfenbaum, Guy R. (Pacific Coastal and Marine Science Center); Ruggiero, Peter R. (Oregon State University); Pearson, S.G. (TU Delft Coastal Engineering; Deltares); McMillan, James M. (U.S. Army Corps of Engineers); Kaminsky, George M. (Washington State Department of Ecology)","","2023","A submerged, low-relief nearshore berm was constructed in the Pacific Ocean near the mouth of the Columbia River, USA, using 216,000 m3 of sediment dredged from the adjacent navigation channel. The material dredged from the navigation channel was placed on the northern flank of the ebb-tidal delta in water depths between 12 and 15 m and created a distinct feature that could be tracked over time. Field measurements and numerical modeling were used to evaluate the transport pathways, time scales, and physical processes responsible for dispersal of the berm and evaluate the suitability of the location for operational placement of dredged material to enhance the sediment supply to eroding beaches onshore of the placement site. Repeated multibeam bathymetric surveys characterized the initial berm morphology and dispersion of the berm between September 22, 2020, and March 10, 2021. During this time, the volume of sediment within the berm decreased by about 40%to 127,000 m3, the maximum height decreased by almost 60%, and the center of the deposit shifted onshore over 200 m. Observations of berm morphology were compared with predictions from a three-dimensional hydrodynamic and sediment transport model application to refine poorly constrained model input parameters including sediment transport coefficients, bed schematization, and grain size. The calibrated sediment transport model was used to predict the amount, timing, and direction of transport outside of the observed survey area. Model simulations predicted that tidal currents were weak in the vicinity of the berm and wave processes including enhanced bottom stresses and asymmetric bottom orbital velocities resulted in dominant onshore movement of sediment from the berm toward the coastline. Roughly 50% of the berm volume was predicted to disperse away from the initial placement site during the 169 day hindcast. Between 9 and 17% of the initial volume of the berm was predicted to accumulate along the shoreface of a shoreline reach experiencing chronic erosion directly onshore of the placement site. Scenarios exploring alternate placement locations suggested that the berm was relatively effective in enhancing the sediment supply along the eroding coastline north of the inlet. The transferable monitoring and modeling framework developed in this study can be used to inform implementation of strategic nearshore placements and regional sediment management in complex, high-energy coastal environments elsewhere.","Delft3D; Nearshore berm; Process-based modeling; Sediment transport","en","journal article","","","","","","","","","","","Coastal Engineering","","",""
"uuid:3fc13d3d-5194-4a27-9fbf-9312f770c4ff","http://resolver.tudelft.nl/uuid:3fc13d3d-5194-4a27-9fbf-9312f770c4ff","Numerical modelling of rolling contact fatigue damage initiation from non-metallic inclusions in bearing steel","Ravi, Gopalakrishnan (Universiteit Gent; SIM vzw); De Waele, Wim (Universiteit Gent); Nikolic, Ksenija (Universiteit Gent; SIM vzw); Petrov, R.H. (TU Delft Team Kevin Rossi; Universiteit Gent); Hertelé, Stijn (Universiteit Gent)","","2023","Bearing failure is a cause of concern in a variety of machinery such as turbines, transmissions, drills, engines, etc. It is often associated with rolling contact fatigue (RCF) triggered from damage initiation at non-metallic inclusions (NMI's). Experimental evidence shows that damage initiation lifetime is highly sensitive to the NMI characteristics and its bonding with the steel matrix. This study numerically investigates the role of NMI features and its bonding with the steel matrix on damage initiation lifetime. NMI characteristics modelled in this study are derived from an experimental investigation of a failed bearing. Simulation results highlight a near to instantaneous debonding at the matrix-inclusion interface followed by accelerated crack initiation. The critical depth for damage initiation shifts towards the surface with the increase in friction coefficient between roller and raceway. The simulations also reveal that larger inclusions show earlier damage initiation, indicating a size effect. The damage hotspots from the simulation results were compared with experimental findings and a hypothesis for crack initiation from a NMI is put forward.","Crack initiation; Debonding; Finite element simulation; Non-metallic inclusion; Rolling contact fatigue","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-25","","","Team Kevin Rossi","","",""
"uuid:c0053cd0-20e9-44ce-ab1e-0561e9da2f05","http://resolver.tudelft.nl/uuid:c0053cd0-20e9-44ce-ab1e-0561e9da2f05","Random tree besov priors – towards fractal imaging","Kekkonen, H.N. (TU Delft Statistics); Lassas, Matti (University of Helsinki); Saksman, Eero (University of Helsinki); Siltanen, Samuli (University of Helsinki)","","2023","We propose alternatives to Bayesian prior distributions that are frequently used in the study of inverse problems. Our aim is to construct priors that have similar good edge-preserving properties as total variation or Mumford-Shah priors but correspond to well-defined infinite-dimensional random variables, and can be approximated by finite-dimensional random vari-ables. We introduce a new wavelet-based model, where the non-zero coefficients are chosen in a systematic way so that prior draws have certain fractal behaviour. We show that realisations of this new prior take values in Besov spaces and have singularities only on a small set τ with a certain Hausdorff dimension. We also introduce an efficient algorithm for calculating the MAP estimator, arising from the the new prior, in the denoising problem.","Bayesian inversion; Besov priors; discretisation invariance; fractals; Inverse problem; statistical inversion; wavelets","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-10-01","","","Statistics","","",""
"uuid:5c608d4f-b61d-443e-bd05-f840da422143","http://resolver.tudelft.nl/uuid:5c608d4f-b61d-443e-bd05-f840da422143","A reflective guide on the meaning of empathy in autism research","Bollen, C.J.M. (TU Delft Ethics & Philosophy of Technology)","","2023","Empathy is an often researched but highly ambiguous concept. This makes research on empathy prone to miscommunication and misinterpretation. Careful reflection on what is meant by empathy in a certain context is essential. As the scope of the variety of possible meanings of empathy one could encounter is vast, such reflection would benefit from a guide that maps out this terrain of conceptual confusion. To this end, the present study maps out the diversity of the meaning of empathy within the scope of autism research. The autism context is of particular relevance as autism is often linked to empathy in research, and crucially, how one understands empathy shapes theories of autism as well as the societal perception of autism. An interdisciplinary literature search was conducted to collect different conceptualizations of empathy used in autism research. In 111 articles, 31 unique definitions of empathy were used. This diversity can be accounted for by a list of 12 dimensions along which the meaning of empathy can diverge, found in this study. These dimensions pinpoint which aspects of empathy require attention and reflection when engaging with empathy in research. It can be used as a practical framework to reflect on empathy in the design and documentation of research, defending methodological decisions, and interpreting the work of others. Furthermore, this study discusses various, and some worrisome, implications for findings and theories in autism research.","Affective empathy; Autism; Cognitive empathy; Double empathy problem; Empathy; Neurodiversity; Theory of mind","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:6459db15-357f-451a-8258-3164e7dd1512","http://resolver.tudelft.nl/uuid:6459db15-357f-451a-8258-3164e7dd1512","Public transport as travel alternative for users of Special Transport Services in the Netherlands","Durand, A.L.M. (TU Delft Transport and Planning; KiM Netherlands Institute for Transport Policy Analysis); Zijlstra, Toon (KiM Netherlands Institute for Transport Policy Analysis; Universiteit Antwerpen)","","2023","Introduction: Special Transport Services (STS), a.k.a. paratransit, help keep people with an impairment mobile. Yet these services face financial and organisational challenges. Public transport (PT) is usually seen as a way to alleviate some of these burdens. In fact, the discussion around the potential for PT to substitute STS has been on the agenda of policymakers in the Netherlands for years. Methods: In this paper, we relied on survey data and STS trip registrations to analyse the extent to which STS can be substituted with regular PT in the Netherlands. Using the Capability Approach as a conceptual framework, we link conversion factors to individuals’ opportunity to use PT. We then provide a range of the substitution potential of STS with public transport. Results: Virtually all STS users have difficulties that make travelling independently challenging. The first and last mile and getting in and out of vehicles are main obstacles for PT use. Many passengers rely on STS because of a lack of support from their network. Besides, long walking distances and travel times for PT trips compared with door-to-door STS trips can deter people from switching mode. Lastly, STS seem to be particularly important during bad weather conditions, emphasizing their role as safety nets rather than go-to options. In the current state of affairs, 0%–16% of STS trips in the Netherlands could be done by public transport instead. The upper limit of 16% is likely an overestimation as it does not account for many factors like health and weather. Conclusions: Our results show that STS play an important role in people's mobility, especially at times when and for destinations where no other option exists. This study confirms that, despite efforts to make public transport more accessible, it is not a panacea for people with an impairment.","Impairments; Older adults; Paratransit; Public transport; Special Transport Services","en","journal article","","","","","","","","","","","Transport and Planning","","",""
"uuid:a69e671e-5abe-4c07-b9d5-7c964aaafef6","http://resolver.tudelft.nl/uuid:a69e671e-5abe-4c07-b9d5-7c964aaafef6","Performance of homogeneous catalysts viewed in dynamics","Yang, W. (TU Delft ChemE/Inorganic Systems Engineering); Filonenko, G.A. (TU Delft Team Georgy Filonenko); Pidko, E.A. (TU Delft ChemE/Inorganic Systems Engineering)","","2023","Effective assessment of catalytic performance is the foundation for the rational design and development of new catalysts with superior performance. The ubiquitous screening/optimization studies use reaction yields as the sole performance metric in an approach that often neglects the complexity of the catalytic system and intrinsic reactivities of the catalysts. Using an example of hydrogenation catalysis, we examine the transient behavior of catalysts that are often encountered in activation, deactivation and catalytic turnover processes. Each of these processes and the reaction environment in which they take place are gradually shown to determine the real-time catalyst speciation and the resulting kinetics of the overall catalytic reaction. As a result, the catalyst performance becomes a complex and time-dependent metric defined by multiple descriptors apart from the reaction yield. This behaviour is not limited to hydrogenation catalysis and affects various catalytic transformations. In this feature article, we discuss these catalytically relevant descriptors in an attempt to arrive at a comprehensive depiction of catalytic performance.","","en","journal article","","","","","","","","","","","ChemE/Inorganic Systems Engineering","","",""
"uuid:0beed756-9307-4c12-bc25-f7f5148ae3cc","http://resolver.tudelft.nl/uuid:0beed756-9307-4c12-bc25-f7f5148ae3cc","Existence of solutions to the generalized periodic fractional boundary value problem","Fečkan, Michal (Comenius University; Mathematical Institute of Slovak Academy of Sciences); Marynets, K. (TU Delft Mathematical Physics); Wang, J. (TU Delft Mechanical, Maritime and Materials Engineering; Guizhou University)","","2023","We study a boundary value problem for a Caputo-type fractional differential equation subjected to periodic boundary conditions. For an auxiliary problem with the simplified right-hand side, we explicitly construct its unique solution. In addition, based on the theory of the topological index, we prove existence of at least one solution to the original problem.","existence of solutions; fractional boundary value problem; periodic boundary conditions; topological index","en","journal article","","","","","","","","","Mechanical, Maritime and Materials Engineering","","Mathematical Physics","","",""
"uuid:88b67edf-24f8-4b6e-852b-fb0ccd512ce8","http://resolver.tudelft.nl/uuid:88b67edf-24f8-4b6e-852b-fb0ccd512ce8","Sub-second photon dose prediction via transformer neural networks","Pastor Serrano, O. (TU Delft RST/Medical Physics & Technology; Stanford University); Dong, Peng (Stanford University); Huang, Charles (Stanford University); Xing, Lei (Stanford University); Perko, Z. (TU Delft RST/Reactor Physics and Nuclear Materials)","","2023","Background: Fast dose calculation is critical for online and real-time adaptive therapy workflows. While modern physics-based dose algorithms must compromise accuracy to achieve low computation times, deep learning models can potentially perform dose prediction tasks with both high fidelity and speed. Purpose: We present a deep learning algorithm that, exploiting synergies between transformer and convolutional layers, accurately predicts broad photon beam dose distributions in few milliseconds. Methods: The proposed improved Dose Transformer Algorithm (iDoTA) maps arbitrary patient geometries and beam information (in the form of a 3D projected shape resulting from a simple ray tracing calculation) to their corresponding 3D dose distribution. Treating the 3D CT input and dose output volumes as a sequence of 2D slices along the direction of the photon beam, iDoTA solves the dose prediction task as sequence modeling. The proposed model combines a Transformer backbone routing long-range information between all elements in the sequence, with a series of 3D convolutions extracting local features of the data. We train iDoTA on a dataset of 1700 beam dose distributions, using 11 clinical volumetric modulated arc therapy (VMAT) plans (from prostate, lung, and head and neck cancer patients with 194–354 beams per plan) to assess its accuracy and speed. Results: iDoTA predicts individual photon beams in ≈50 ms with a high gamma pass rate of (Formula presented.) (2 mm, 2%). Furthermore, estimating full VMAT dose distributions in 6–12 s, iDoTA achieves state-of-the-art performance with a (Formula presented.) (2 mm, 2%) pass rate and an average relative dose error of 0.75 ± 0.36%. Conclusions: Offering the millisecond speed prediction per beam angle needed in online and real-time adaptive treatments, iDoTA represents a new state of the art in data-driven photon dose calculation. The proposed model can massively speed-up current photon workflows, reducing calculation times from few minutes to just a few seconds.","deep learning; dose calculation; transformer","en","journal article","","","","","","","","","","","RST/Medical Physics & Technology","","",""
"uuid:4dfe907c-6e7a-481d-9350-97c211ae1286","http://resolver.tudelft.nl/uuid:4dfe907c-6e7a-481d-9350-97c211ae1286","Variable and Orbital-Dependent Spin-Orbit Field Orientations in an InSb Double Quantum Dot Characterized via Dispersive Gate Sensing","Han, L. (TU Delft Qubit Research Division; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Chan, M. (TU Delft QRD/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); de Jong, D. (TU Delft BUS/Quantum Delft; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Prosko, C.G. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Badawy, Ghada (Eindhoven University of Technology); Gazibegovic, Sasa (Eindhoven University of Technology); Bakkers, Erik P.A.M. (Eindhoven University of Technology); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Malinowski, F.K. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Pfaff, Wolfgang (University of Illinois at Urbana-Champaign)","","2023","Utilizing dispersive gate sensing (DGS), we investigate the spin-orbit field (BSO) orientation in a many-electron double quantum dot (DQD) defined in an InSb nanowire. While characterizing the interdot tunnel couplings, we find the measured dispersive signal depends on the electron-charge occupancy, as well as on the amplitude and orientation of the external magnetic field. The dispersive signal is mostly insensitive to the external field orientation when a DQD is occupied by a total odd number of electrons. For a DQD occupied by a total even number of electrons, the dispersive signal is reduced when the finite external magnetic field aligns with the effective BSO orientation. This fact enables the identification of BSO orientations for different DQD electron occupancies. The BSO orientation varies drastically between charge transitions, and is generally neither perpendicular to the nanowire nor in the chip plane. Moreover, BSO is similar for pairs of transitions involving the same valence orbital, and varies between such pairs. Our work demonstrates the practicality of DGS in characterizing spin-orbit interactions in quantum dot systems, without requiring any current flow through the device.","","en","journal article","","","","","","","","","","Qubit Research Division","QRD/Wimmer Group","","",""
"uuid:7352ed87-6471-422c-8a7e-56552f57a500","http://resolver.tudelft.nl/uuid:7352ed87-6471-422c-8a7e-56552f57a500","Reversible shape morphing of a neutrally stable shell by untethered local activation of embedded Ni-Ti wires","van der Lans, Daan (Student TU Delft); Amoozandeh, A. (TU Delft Mechatronic Systems Design); Radaelli, G. (TU Delft Mechatronic Systems Design)","","2023","This paper presents a novel shape morphing concept, which exploits neutral stability to achieve reversible shape morphing. The concept is based on actively changing the material stiffness on a local level in order to perturb the neutral stability and thus induce the shell to deform. This concept is realized by embedding Ni-Ti wires in a neutrally stable shell. These wires undergo a significant increase in stiffness upon being heated beyond their Austenite transition temperature. The wires are locally heated by forced convection. The results show that the shape of the shell can be controlled freely along the neutrally stable elastic deformation path by changing the location of the heat stimulus. In contrast to existing shape morphing structures, the presented structure is capable of fully reversible (two-way) shape morphing, while also preserving its shape after removing the stimulus. This allows for positioning without continuous actuation. The shell achieves a significant range of motion and, since the elastic deformation reaction forces do not need to be overcome, it is capable of generating actuation force. Since the actuation concept does not require a complex patterning of active materials to achieve the desired deformation, it can potentially also be applied to other neutrally stable structures.","compliant shells; embedded actuation; neutral stability; Shape morphing; variable stiffness","en","journal article","","","","","","","","","","","Mechatronic Systems Design","","",""
"uuid:16c38df9-5825-4c85-a8e2-72f20bcce72f","http://resolver.tudelft.nl/uuid:16c38df9-5825-4c85-a8e2-72f20bcce72f","Combustion Air Humidification for NOx Emissions Reduction in Gas Boiler: An Experimental Study","Zhang, Qunli (Beijing University of Civil Engineering & Architecture; Collaborative Innovation Center of Energy Conservation & Emission Reduction and Sustainable Urban-Rural Development, Beijing); Zhao, Wenqiang (Beijing University of Civil Engineering & Architecture; Collaborative Innovation Center of Energy Conservation & Emission Reduction and Sustainable Urban-Rural Development, Beijing); Sun, Donghan (Beijing University of Civil Engineering & Architecture; Collaborative Innovation Center of Energy Conservation & Emission Reduction and Sustainable Urban-Rural Development, Beijing); Meng, Xiangzhao (Xi’an Jiaotong University); Hooman, K. (TU Delft Process and Energy); Yang, Xiaohu (Xi’an Jiaotong University)","","2023","NOx emission reduction from gas boilers has become a key issue in improving air quality. Combustion air humidification technology is gradually being used to reduce NOx emissions. However, the NOx emission reduction effect of gas boilers at a higher combustion air humidity has been studied less. A flue gas with low NOx emissions and a waste heat recovery system using combustion air humidification technology are proposed in this study. In the ultra-low NOx mode, the effect of high combustion air humidity on NOx emission reduction and efficiency of the gas boiler were studied experimentally. In the waste heat recovery mode, the effects of the heat network backwater temperature on the NOx emission reduction and system efficiency were studied experimentally. Results showed that an increase in air humidity can significantly reduce the NOx concentration formed by combustion. The ultra-low NOx mode reduces NOx emissions from 130 mg/m3 to 23.3 mg/m3 and affects the boiler efficiency slightly. In the waste heat recovery mode, NOx emissions can be reduced to 39.9 mg/m3 when the backwater temperature of the heat network is 55 °C. This condition improves the efficiency to 93.8%. The analysis results provide suggestions for the selection of the operation modes.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-01","","Process and Energy","","","",""
"uuid:f9c33150-d32d-417c-b7a8-1f5071ef71d0","http://resolver.tudelft.nl/uuid:f9c33150-d32d-417c-b7a8-1f5071ef71d0","Graph neural networks for temperature-dependent activity coefficient prediction of solutes in ionic liquids","Rittig, J. (Rheinisch-Westfälische Technische Hochschule); Ben Hicham, Karim (Rheinisch-Westfälische Technische Hochschule); Schweidtmann, A.M. (TU Delft ChemE/Product and Process Engineering); Dahmen, Manuel (Forschungszentrum Jülich GmbH); Mitsos, Alexander (Rheinisch-Westfälische Technische Hochschule; Forschungszentrum Jülich GmbH; JARA Center for Simulation and Data Science (CSD))","","2023","Ionic liquids (ILs) are important solvents for sustainable processes and predicting activity coefficients (ACs) of solutes in ILs is needed. Recently, matrix completion methods (MCMs), transformers, and graph neural networks (GNNs) have shown high accuracy in predicting ACs of binary mixtures, superior to well-established models, e.g., COSMO-RS and UNIFAC. GNNs are particularly promising here as they learn a molecular graph-to-property relationship without pretraining, typically required for transformers, and are, unlike MCMs, applicable to molecules not included in training. For ILs, however, GNN applications are currently missing. Herein, we present a GNN to predict temperature-dependent infinite dilution ACs of solutes in ILs. We train the GNN on a database including more than 40,000 AC values and compare it to a state-of-the-art MCM. The GNN and MCM achieve similar high prediction performance, with the GNN additionally enabling high-quality predictions for ACs of solutions that contain ILs and solutes not considered during training.","Activity coefficient prediction; Graph learning; Green solvents; Ionic liquids; Machine learning","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-26","","","ChemE/Product and Process Engineering","","",""
"uuid:d3f78157-648d-4fe8-944f-c407460ce713","http://resolver.tudelft.nl/uuid:d3f78157-648d-4fe8-944f-c407460ce713","A Deterministic Adjoint-Based Semi-Analytical Algorithm for Fast Response Change Computations in Proton Therapy","Burlacu, T. (TU Delft RST/Medical Physics & Technology; Holland Particle Therapy Centre); Lathouwers, D. (TU Delft RST/Reactor Physics and Nuclear Materials; Holland Particle Therapy Centre); Perko, Z. (TU Delft RST/Reactor Physics and Nuclear Materials; Holland Particle Therapy Centre)","","2023","In this paper we propose a solution to the need for a fast particle transport algorithm in Online Adaptive Proton Therapy capable of cheaply, but accurately computing the changes in patient dose metrics as a result of changes in the system parameters. We obtain the proton phase-space density through the product of the numerical solution to the one-dimensional Fokker-Planck equation and the analytical solution to the Fermi-Eyges equation. Moreover, a corresponding adjoint system was derived and solved for the adjoint flux. The proton phase-space density together with the adjoint flux and the metric (chosen as the energy deposited by the beam in a variable region of interest) allowed assessing the accuracy of our algorithm to different perturbation ranges in the system parameters and regions of interest. The algorithm achieved negligible errors ((Formula presented.)) for small Hounsfield unit (HU) perturbation ranges (–40 HU to 40 HU) and small to moderate errors (3% to 17%)–in line with the well-known limitation of adjoint approaches–for large perturbation ranges (–400 HU to 400 HU) in the case of most clinical interest where the region of interest surrounds the Bragg peak. Given these results coupled with the capability of further improving the timing performance it can be concluded that our algorithm presents a viable solution for the specific purpose of Online Adaptive Proton Therapy.","Charged particle transport; functional analysis; numerical methods","en","journal article","","","","","","","","","","","RST/Medical Physics & Technology","","",""
"uuid:4c9a795b-efa4-4a91-bbf6-cce0e4032378","http://resolver.tudelft.nl/uuid:4c9a795b-efa4-4a91-bbf6-cce0e4032378","Development of a simulator for training of fetoscopic myelomeningocele surgery","Spoor, Jochem K.H. (Erasmus MC); van Gastel, Lis (Erasmus MC); Tahib, Fatima (Erasmus MC); van Grieken, Amanda (Erasmus MC); van Weteringen, Willem (Erasmus MC); Sterke, F. (TU Delft Medical Instruments & Bio-Inspired Technology; Erasmus MC); Baschat, Ahmet A. (Johns Hopkins University); Miller, Jena L. (Johns Hopkins University); de Jong, Tjeerd H.R. (Erasmus MC); Wijnen, René M.H. (Erasmus MC); Eggink, Alex E. (Erasmus MC); DeKoninck, Philip L.J. (Erasmus MC)","","2023","Objective: To develop a realistic simulation model for laparotomy-assisted fetoscopic spina bifida aperta (SBa) surgery, to be used for training purposes and preoperative planning. Methods: The predefined general requirement was a realistic model of an exteriorized uterus, allowing all neurosurgical steps of the intervention. The uterus was modelled using ultrasound and MRI images of a 25 weeks’ gravid uterus, consisting of flexible polyurethane foam coated with pigmented silicone. The fetal model, contained an opening on the dorsal side for a customizable spinal insert with all the aspects of a SBa, including a cele, placode, and myofascial and skin layer. The model was assessed in a series of validation experiments. Results: Production costs are low, uterus and fetus are reusable. Placental localization and the level and size of the spinal defect are adjustable, enabling case-specific adaptations. All aspects of the simulator were scored close to realistic or higher for both appearance and functional capacities. Conclusions: This innovative model provides an excellent training opportunity for centers that are starting a fetoscopic SBa repair program. It is the first simulation model with adjustable spinal defect and placental localisation. Further objective validation is required, but the potential for using this model in preoperative planning is promising.","","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:55467474-8aa1-48fa-bd0a-3cacc3b07fb9","http://resolver.tudelft.nl/uuid:55467474-8aa1-48fa-bd0a-3cacc3b07fb9","Avoiding concentration quenching and self-absorption in Cs4EuX6 (X = Br, I) by Sm2+ doping","van Aarle, C. (TU Delft RST/Luminescence Materials); Krämer, Karl W. (University of Bern); Dorenbos, P. (TU Delft RST/Luminescence Materials)","","2023","The benefits of doping Cs4EuBr6 and Cs4EuI6 with Sm2+ are studied for near-infrared scintillator applications. It is shown that undoped Cs4EuI6 suffers from a high probability of self-absorption, which is almost completely absent in Cs4EuI6:2% Sm. Sm2+ doping is also used to gain insight in the migration rate of Eu2+ excitations in Cs4EuBr6 and Cs4EuI6, which shows that concentration quenching is weak, but still significant in the undoped compounds. Both self-absorption and concentration quenching are linked to the spectral overlap of the Eu2+ excitation and emission spectra which were studied between 10 K and 300 K. The scintillation characteristics of Cs4EuI6:2% Sm is compared to that of the undoped samples. An improvement of energy resolution from 11% to 7.5% is found upon doping Cs4EuI6 with 2% Sm and the scintillation decay time shortens from 4.8 s to 3.5 s in samples of around 3 mm in size.","","en","journal article","","","","","","","","","","","RST/Luminescence Materials","","",""
"uuid:ea3f1c52-83ab-4edb-8987-4e9e1d4abbb8","http://resolver.tudelft.nl/uuid:ea3f1c52-83ab-4edb-8987-4e9e1d4abbb8","Realization of a minimal Kitaev chain in coupled quantum dots","Dvir, T. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Wang, Guanzhong (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); van Loo, N. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Liu, C. (TU Delft QRD/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Mazur, G.P. (TU Delft BUS/Quantum Delft; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Bordin, A. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); ten Haaf, S.L.D. (TU Delft Communication QuTech; TU Delft QRD/Goswami Lab; Kavli institute of nanoscience Delft); van Driel, D. (TU Delft QRD/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Zatelli, F. (TU Delft Applied Sciences; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Li, X. (TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Malinowski, F.K. (TU Delft BUS/TNO STAFF; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Gazibegovic, Sasa (Eindhoven University of Technology); Badawy, G.H.A. (Eindhoven University of Technology); Bakkers, Erik P.A.M. (Eindhoven University of Technology); Wimmer, M.T. (TU Delft QN/Wimmer Group; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Kouwenhoven, Leo P. (TU Delft QN/Kouwenhoven Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft)","","2023","Majorana bound states constitute one of the simplest examples of emergent non-Abelian excitations in condensed matter physics. A toy model proposed by Kitaev shows that such states can arise at the ends of a spinless p-wave superconducting chain1. Practical proposals for its realization2,3 require coupling neighbouring quantum dots (QDs) in a chain through both electron tunnelling and crossed Andreev reflection4. Although both processes have been observed in semiconducting nanowires and carbon nanotubes5–8, crossed-Andreev interaction was neither easily tunable nor strong enough to induce coherent hybridization of dot states. Here we demonstrate the simultaneous presence of all necessary ingredients for an artificial Kitaev chain: two spin-polarized QDs in an InSb nanowire strongly coupled by both elastic co-tunnelling (ECT) and crossed Andreev reflection (CAR). We fine-tune this system to a sweet spot where a pair of poor man’s Majorana states is predicted to appear. At this sweet spot, the transport characteristics satisfy the theoretical predictions for such a system, including pairwise correlation, zero charge and stability against local perturbations. Although the simple system presented here can be scaled to simulate a full Kitaev chain with an emergent topological order, it can also be used imminently to explore relevant physics related to non-Abelian anyons.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-15","Applied Sciences","","QRD/Kouwenhoven Lab","","",""
"uuid:87ae67b2-16e0-4e90-ad3d-42c3bc220b15","http://resolver.tudelft.nl/uuid:87ae67b2-16e0-4e90-ad3d-42c3bc220b15","Designing LiTaO3:Ln3+,Eu3+ (Ln = Tb or Pr) perovskite dosimeter with excellent charge carrier storage capacity and stability for anti-counterfeiting and flexible X-ray imaging","Lyu, Tianshuai (Huaqiao University, Xiamen); Dorenbos, P. (TU Delft RST/Luminescence Materials); Wei, Zhanhua (Huaqiao University, Xiamen)","","2023","Developing X-ray charged dosimeters with excellent charge carrier storage capacity and stability is challenging. Such energy storage dosimeters have fascinating use in developing novel applications, for instance, in radiation detection, advanced multimode anti-counterfeiting, and flexible X-ray imaging of curved objects. Herein, novel LiTaO3:Ln3+,Eu3+ (Ln = Tb or Pr) perovskite dosimeters are reported by combining the vacuum referred binding energy (VRBE) diagram of LiTaO3 and the optimization of dopant's concentration and compound synthesis condition. Based on the VRBE diagram prediction, charge carrier capturing and de-trapping processes in Eu3+ and/or Ln3+ (Ln = Tb or Pr) doped LiTaO3 will be studied to unravel the role of Eu3+ as a good electron trapping centre and to discover a record storage phosphor. The ratios of the thermoluminescence intensity of the optimized LiTaO3:0.005Tb3+,0.001Eu3+ to that of the state-of-the-art BaFBr(I):Eu2+, Al2O3:C, or NaLuF4:Tb3+ are 5.2, 8.8, or 2.8, respectively. The charge carriers can be stored more than 1000 h in LiTaO3:0.005Tb3+,0.001Eu3+. Proof-of-concept anti-counterfeiting application will be demonstrated by combining the colour-tailorable photoluminescence, afterglow, thermally, or optically stimulated luminescence in LiTaO3:0.005Tb3+,xEu3+ and LiTaO3:0.005Pr3+,0.001Eu3+. Multimode anti-counterfeiting application will be proposed by combining a high absolute X-ray scintillation light yield of 19000 ± 1800 ph/MeV of LiTaO3:0.005Tb3+,0.001Eu3+. Proof-of-concept flexible X-ray imaging application will be demonstrated by using the optimized LiTaO3:0.005Tb3+, 0.001Eu3+ dispersed in a silicone gel film.","Designing storage phosphors; Eu as a good electron trap; Flexible X-ray imaging; LiTaO:Ln,Eu (Ln=Tb or Pr) perovskite; Multimode anti-counterfeiting; VRBE diagram","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-02","","","RST/Luminescence Materials","","",""
"uuid:08f78575-fb81-49ce-b469-c49208269601","http://resolver.tudelft.nl/uuid:08f78575-fb81-49ce-b469-c49208269601","AEx: Automated High-Level Synthesis of Compiler Programmable Co-Processors","Hirvonen, Alex (Tampere University); Leppänen, Topi (Tampere University); Hepola, Kari (Tampere University); Multanen, Joonas (Tampere University); Hoozemans, J.J. (TU Delft Computer Engineering); Jääskeläinen, Pekka (Tampere University)","","2023","Modern High Level Synthesis (HLS) tools succeed well in their engineering productivity goal, but still require toolset and target technology specific modifications to the source code to guide the process towards an efficient implementation. Furthermore, their end result is a fixed function accelerator with limited field and runtime flexibility. In this paper we describe the status of AEx, a novel work-in-progress HLS tool developed in the FitOptiVis ECSEL JU project. AEx is based on automated exploration of architectures using a flexible and lightweight parallel co-processor template. We compare its current performance in CHStone C-language benchmarks to the state of the art FPGA HLS tool Vitis, provide ASIC implementation numbers, and identify the main remaining toolset features that are expected to dramatically further improve the performance. The potential is explored with a hand-optimized case study that shows only 1.64x performance slowdown with the programmable co-processor in comparison to the fixed function Vitis HLS result.","ASIP; Design space exploration; High-level synthesis; Programmable accelerator overlay; Transport triggered architecture","en","journal article","","","","","","","","","","","Computer Engineering","","",""
"uuid:ed217ee3-3ee6-499e-8de1-30290eafb6c5","http://resolver.tudelft.nl/uuid:ed217ee3-3ee6-499e-8de1-30290eafb6c5","Reorientation processes of tilted skyrmion and spiral states in a bulk cubic helimagnet Cu2OSeO3","Leonov, Andrey O. (Hiroshima University; IFW Dresden); Pappas, C. (TU Delft RST/Neutron and Positron Methods in Materials)","","2023","We present a systematic study of tilted spiral states obtained theoretically within the classical Dzyaloshinskii model for magnetic states in cubic non-centrosymmetric ferromagnets. Such tilted spirals are shown to stabilize under the competing effect of cubic and exchange anisotropies inherent to cubic helimagnets. By focusing on the internal structure of these spirals and their field-driven behaviour for different aspect ratios of the anisotropy coefficients, we are able to capture the main features of the experimental findings in a bulk cubic helimagnet Cu2OSeO3 and to make a step further towards a complete quantitative model of this chiral magnet. In particular, we show that for strong anisotropy values (which experimentally correspond to low temperatures near zero) there exist an angular separation between the conical and tilted spirals, i.e., the conical spiral flips into a tilted state and immediately composes some finite angle with respect to the field direction. As the anisotropy ratio decreases, such a transition between two spiral states becomes almost continuous and corresponds to higher temperatures at the experiments. In addition, we investigate the field-driven reorientation of metastable skyrmion lattices induced by the competing anisotropies, which may be responsible for some peculiarities at the experimental phase diagrams of Cu2OSeO3.","12.39.dc; 75.70.-i; chiral magnet; Cu2OSeO3; low-temperature SkL; numbers: 75.30.kz; skyrmion; tilted spiral","en","journal article","","","","","","","","","","","RST/Neutron and Positron Methods in Materials","","",""
"uuid:e71d8aef-99d6-45ba-a9a4-7e5a38dfeae7","http://resolver.tudelft.nl/uuid:e71d8aef-99d6-45ba-a9a4-7e5a38dfeae7","On the minimum degree of minimal Ramsey graphs for cliques versus cycles","Bishnoi, A. (TU Delft Discrete Mathematics and Optimization); Boyadzhiyska, Simona (Freie Universität Berlin); Clemens, Dennis (Hamburg University of Technology); Gupta, Pranshu (Hamburg University of Technology); Lesgourgues, Thomas (University of New South Wales); Liebenau, Anita (University of New South Wales)","","2023","A graph G is said to be q-Ramsey for a q-tuple of graphs (H1,..., Hq), denoted by G →q (H1,..., Hq), if every q-edge-coloring of G contains a monochromatic copy of Hi in color i for some i ε [q]. Let sq(H1,..., Hq) denote the smallest minimum degree of G over all graphs G that are minimal q-Ramsey for (H1,..., Hq) (with respect to subgraph inclusion). The study of this parameter was initiated in 1976 by Burr, Erdos, and Lovasz, who determined its value precisely for a pair of cliques. Over the past two decades the parameter sq has been studied by several groups of authors, their main focus being on the symmetric case, where Hi ≅ H for all i ε [q]. The asymmetric case, in contrast, has received much less attention. In this paper, we make progress in this direction, studying asymmetric tuples consisting of cliques, cycles, and trees. We determine s2(H1, H2) when (H1, H2) is a pair of one clique and one tree, a pair of one clique and one cycle, and a pair of two different cycles. We also generalize our results to multiple colors and obtain bounds on sq(Cℓ,..., Cℓ, Kt,..., Kt) in terms of the size of the cliques t, the number of cycles, and the number of cliques. Our bounds are tight up to logarithmic factors when two of the three parameters are fixed.","cliques; cycles; minimum degree; Ramsey theory","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-24","","","Discrete Mathematics and Optimization","","",""
"uuid:34d2f39e-6fb6-4635-8d13-639225e3c64a","http://resolver.tudelft.nl/uuid:34d2f39e-6fb6-4635-8d13-639225e3c64a","A compliant Continuously Variable Transmission (CVT)","Amoozandeh, A. (TU Delft Mechatronic Systems Design); Herder, J.L. (TU Delft Precision and Microsystems Engineering); Radaelli, G. (TU Delft Mechatronic Systems Design)","","2023","Continuously Variable Transmissions (CVT) can serve as subsystems for a variety of machineries and robotic systems. A compliant CVT mechanism based on the warping of twisting beams is presented here. The design works based on the demonstrated fact that the twist on one side of a beam can be transferred via sectional warping and propagate across a rotational constraint in the middle of the beam to create a reverse twist on the opposite side. In the proposed compliant CVT the transmission ratio is dependent on the position of the middle rotational constraint which can vary in a continuous range. We have demonstrated this concept and its relation to the twisting beam's warping constant, as well as its functionality for different transmission ratios of 1:4 to 4:1. An analytical model as well as a Finite Element Analysis (FEA) and experiments are employed to characterize and verify the concept and its relation to the warping constant.","Compliant mechanism; Continuously Variable Transmission (CVT); Twisting beams; Warping","en","journal article","","","","","","","","","","Precision and Microsystems Engineering","Mechatronic Systems Design","","",""
"uuid:c665e607-50af-4761-81d7-aed3d49e6bc6","http://resolver.tudelft.nl/uuid:c665e607-50af-4761-81d7-aed3d49e6bc6","Modeling contact deformation of bare and coated rough metal bodies","Civiero, R. (Università degli Studi di Padova); Perez-Rafols, F. (Università degli Studi di Padova; Universitat Politecnica de Catalunya); Nicola, L. (TU Delft Team Marcel Sluiter; Università degli Studi di Padova)","","2023","The effect of the presence of a passivation layer on a metal rough surface during contact loading is investigated by means of dislocation dynamics simulations. The metal body is modeled as an FCC single crystal with a self-affine rough surface that is either bare, or covered by a thin coating, impenetrable to dislocations. This analysis permits to isolate the effect of surface roughening driven by dislocation motion: when the surface is bare the dislocations can glide out, leaving crystallographic steps at the surface that modify the local roughness; when the surface is passivated, dislocations are stopped by the interface.","Contact mechanics; Dislocation dynamics; Self-affine surfaces; Strain hardening","en","journal article","","","","","","","","","","","Team Marcel Sluiter","","",""
"uuid:8f9f0165-660f-4c42-92d6-cef733d28e2f","http://resolver.tudelft.nl/uuid:8f9f0165-660f-4c42-92d6-cef733d28e2f","Electrolyte and temperature effects in a rising bubble","Mandalahalli, M.M. (TU Delft ChemE/Transport Phenomena); Lif, Johan (Nouryon Pulp and Performance Chemicals, Bouhus); Mudde, R.F. (TU Delft Executive board); Portela, L. (TU Delft ChemE/Transport Phenomena)","","2023","In this work, the rise characteristics of a single H2 bubble, in the ellipsoidal regime, in (i) water, (ii) single electrolyte (2 M, 4.5 M NaCl) solution and (iii) various concentrations of electrolyte mixture (up to 6.4 M of 1:5 weight fraction NaCl-NaClO3), have been studied, at temperatures up to 80°C. Our results show that both individual and collective effects of the temperature and the electrolyte concentration on the rise velocity and the bubble shape are purely dependent on the changes in liquid properties (density, viscosity, and surface tension); the bubble motion can be described by known non-dimensional correlations for clean bubble rise in pure fluids.","Bubble rise; Electrolytes; Non-dimensional analysis; Temperature effect","en","journal article","","","","","","","","","","Executive board","ChemE/Transport Phenomena","","",""
"uuid:d07bea03-f351-4e12-a8e0-c04f14bcddf9","http://resolver.tudelft.nl/uuid:d07bea03-f351-4e12-a8e0-c04f14bcddf9","The potential impact of human interventions at different scales in offshore wind farms to promote flat oyster (Ostrea edulis) reef development in the southern North Sea","ter Hofstede, R. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Van Oord Dredging and Marine Contractors B.V.); Williams, Gregory (Van Oord Dredging and Marine Contractors B.V.); van Koningsveld, M. (TU Delft Rivers, Ports, Waterways and Dredging Engineering; Van Oord Dredging and Marine Contractors B.V.)","","2023","Incorporation of ecology and ecosystem services into marine infrastructural developments has gained interest over the last decades. Growing attention is given to combine the massive roll-out of offshore wind farms in the North Sea with reinstating the once rich but nowadays nearly extinct European flat oyster (Ostrea edulis). However, the practical upscaling of these pilots is hindered by the absence of clear management objectives and the lack of quantitative knowledge on the effect of technical interventions that could stimulate oyster reef development. Consequently, it is unclear what scale of intervention would actually be required to achieve overall management objectives. This paper presents a stepwise procedure designed in particular to guide the selection of appropriate measures and their required scale for pro-actively facilitating flat oyster reef development in offshore wind farms, in order to reach a desired state for oyster reef inclusive wind farms. The stepwise procedure addresses the historical and current situation of the physical system and social environment, provides options for intervention that stimulate oyster reef development at a range of scales, from micro-scale (materials used) to mega-scale (connectivity between wind farms), and quantitatively assesses the potential effect of applying these interventions. Assumptions have been made in quantifying the effort required for developing oyster reefs in offshore wind farms, and refinement is obviously needed. However, this is a first attempt to make such estimates. The outcomes provide direction in identifying research needs to fill knowledge gaps, as well as in decision-making during the design process for inducing oyster reef development in offshore wind farms. Herewith, application of the stepwise procedure supports authorities in restoration management for the successful reinstatement of flat oyster reefs in the southern North Sea.","Management; Offshore wind; Oyster; Restoration","en","journal article","","","","","","","","","","","Rivers, Ports, Waterways and Dredging Engineering","","",""
"uuid:69c16d7a-23da-49fc-a8e4-80b3a9e58ced","http://resolver.tudelft.nl/uuid:69c16d7a-23da-49fc-a8e4-80b3a9e58ced","Interplay Between Friction and Cohesion: A Spectrum of Retrogressive Slope Failure","Wang, Bin (Chinese Academy of Sciences; University of Chinese Academy of Sciences); Wang, Di (Chinese Academy of Sciences); Hicks, M.A. (TU Delft Geo-engineering); Feng, Xia Ting (Northeastern University)","","2023","Retrogressive failures occur in slopes consisting of sensitive materials such as snow or quick clay. They can be triggered by a small disturbance at the slope toe, but can cause propagated failure spreading miles away. Understanding the physical mechanism and predicting the retrogressive failure process are particularly important. Previous studies have discussed the failure criteria, the soil properties or the method of numerical modeling of retrogressive slope failure. However, little attention has been paid to the microscopic failure mechanism, especially relating to various possible failure patterns. In this study, multiscale modeling is incorporated to study the physical mechanism of different retrogressive failure patterns, including earth flow, flowslide and spread failure, within a unified framework. Utilizing multiscale analysis, we found that earth flow failure is related to the shear failure of granular materials. In contrast, the development of macroscopic shear bands is accompanied by tensile failure. As shear and tension failures are typical failure mechanisms of frictional and cohesive materials, it is deduced that friction and cohesion effects play key roles in different retrogressive failure patterns. Therefore, the distributions of attractive and repulsive contact forces are explored and a novel parameter η is proposed to quantify the interplay between friction and cohesion. Further analysis proves that η can capture the effect of friction and cohesion and distinguish different retrogressive failure patterns. Finally, a spectrum of retrogressive failures for a granular slope is established, in which the failure mechanism is explained by the changeable dominant effect, that is, frictional or cohesive in soil.","cohesion; friction; multiscale modeling; retrogressive slope failure","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-30","","","Geo-engineering","","",""
"uuid:ee8df385-816b-4029-b577-0a8987e1c3d1","http://resolver.tudelft.nl/uuid:ee8df385-816b-4029-b577-0a8987e1c3d1","Engineering austenite/martensite mesostructured materials by controlled localised laser treatments in a Fe–Ni–C alloy","Breukelman, H. J. (Student TU Delft); Hermans, M.J.M. (TU Delft Team Marcel Hermans); Santofimia, Maria Jesus (TU Delft Team Maria Santofimia Navarro); Hidalgo Garcia, J. (TU Delft Team Maria Santofimia Navarro; Universidad de Castilla-La Mancha)","","2023","Localised laser treatments enable the creation of sophisticated austenite/martensite mesostructures in Fe–Ni–C steel with the potential of achieving enhanced mechanical performance. The control of phase topology is essential to modify the properties of these structures on demand and requires a profound understanding of the effect of the processing parameters on the development of the different phases upon the application of laser treatment. In this work, the microstructure evolution under exceptional gradients in temperature and heating rates is thoroughly investigated. The extent of the laser-affected zone and the heat input were tailored by varying laser parameters and specimen thickness, based on a model that considers transient material properties and the coupling between temperature and microstructure. The predicted temperature fields resulted in a complex interplay between martensite to austenite phase transformation and martensite tempering. Considering the high heating rates of up to 25000 K/s and the observed microstructures, it is suggested that austenite was formed by a pseudo-displacive mechanism and subsequently fully recrystallised in the zones most directly affected by the laser heat source. A smooth strength transition from austenite to martensite, affected by the laser parameters, could be exploited for more effective deformation mechanisms and improved material mechanical properties.","Austenite; Flash heating; Local heat treatment; Martensite; Patterned microstructure materials","en","journal article","","","","","","","","","","","Team Marcel Hermans","","",""
"uuid:35449db8-cabc-453b-ae74-685ca1f45811","http://resolver.tudelft.nl/uuid:35449db8-cabc-453b-ae74-685ca1f45811","Machine learning for RANS turbulence modeling of variable property flows","Diez Sanhueza, R.G. (TU Delft Energy Technology); Smit, S.H.H.J. (TU Delft Energy Technology); Peeters, J.W.R. (TU Delft Energy Technology); Pecnik, Rene (TU Delft Energy Technology)","","2023","This paper presents a machine learning methodology to improve the predictions of traditional RANS turbulence models in channel flows subject to strong variations in their thermophysical properties. The developed formulation contains several improvements over the existing Field Inversion Machine Learning (FIML) frameworks described in the literature. We first showcase the use of efficient optimization routines to automatize the process of field inversion in the context of CFD, combined with the use of symbolic algebra solvers to generate sparse-efficient algebraic formulas to comply with the discrete adjoint method. The proposed neural network architecture is characterized by the use of an initial layer of logarithmic neurons followed by hyperbolic tangent neurons, which proves numerically stable. The machine learning predictions are then corrected using a novel weighted relaxation factor methodology, that recovers valuable information from otherwise spurious predictions. Additionally, we introduce L2 regularization to mitigate over-fitting and to reduce the importance of non-essential features. In order to analyze the results of our deep learning system, we utilize the K-fold cross-validation technique, which is beneficial for small datasets. The results show that the machine learning model acts as an excellent non-linear interpolator for DNS cases well-represented in the training set. In the most successful case, the L-infinity modeling error on the velocity profile was reduced from 23.4% to 4.0%. It is concluded that the developed machine learning methodology corresponds to a valid alternative to improve RANS turbulence models in flows with strong variations in their thermophysical properties without introducing prior modeling assumptions into the system.","Machine learning; Turbulence modeling; Variable properties","en","journal article","","","","","","","","","","","Energy Technology","","",""
"uuid:d95b1071-c3a6-486c-b094-aae6c8489def","http://resolver.tudelft.nl/uuid:d95b1071-c3a6-486c-b094-aae6c8489def","Learning generalized Nash equilibria in monotone games: A hybrid adaptive extremum seeking control approach","Krilašević, S. (TU Delft Team Sergio Grammatico); Grammatico, S. (TU Delft Team Sergio Grammatico; TU Delft Team Bart De Schutter)","","2023","In this paper, we solve the problem of learning a generalized Nash equilibrium (GNE) in merely monotone games. First, we propose a novel continuous semi-decentralized solution algorithm without projections that uses first-order information to compute a GNE with a central coordinator. As the second main contribution, we design a gain adaptation scheme for the previous algorithm in order to alleviate the problem of improper scaling of the cost functions versus the constraints. Third, we propose a data-driven variant of the former algorithm, where each agent estimates their individual pseudogradient via zeroth-order information, namely, measurements of their individual cost function values. Finally, we apply our method to a perturbation amplitude optimization problem in oil extraction engineering.","Extremum seeking control; Generalized Nash equilibrium learning; Multi-agent systems","en","journal article","","","","","","","","","","","Team Sergio Grammatico","","",""
"uuid:b6368bcd-9a8d-4a57-ade3-e8190f127ef9","http://resolver.tudelft.nl/uuid:b6368bcd-9a8d-4a57-ade3-e8190f127ef9","Early-age creep of 3D printable mortar: Experiments and analytical modelling","Chang, Z. (TU Delft Materials and Environment); Liang, M. (TU Delft Materials and Environment); Xu, Y. (TU Delft Materials and Environment); Wan, Z. (TU Delft Materials and Environment); Schlangen, E. (TU Delft Materials and Environment); Šavija, B. (TU Delft Materials and Environment)","","2023","In this study, an experimental setup to characterize the early-age creep of 3D printable mortar was proposed. The testing protocol comprises quasi-static compressive loading-unloading cycles, with 180-s holding periods in between. An analytical model based on a double power law was used to predict creep compliance with hardening time and loading duration as inputs. Subsequently, this analytical model was validated by comparison to uniaxial compression tests in which loading is increased incrementally, i.e., in steps, showing a good quantitative agreement. Minor differences between the two results were noted, most notably at the beginning of the test. This is because the determination of creep compliance for 3D printable mortar at fresh stage depends on the load level. In the end, the volumetric strain of tested samples from uniaxial compressive test is used to explain why the compressive loading affects the creep deformation.","3D printable mortar; Creep compliance surface; Early-age creep; Loading-unloading cycles; Volumetric strain","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:d0232555-2766-46f2-9e81-70d6b1ebf57a","http://resolver.tudelft.nl/uuid:d0232555-2766-46f2-9e81-70d6b1ebf57a","Microstructure-based cleavage parameters in bainitic, martensitic, and ferritic steels","Jiang, Quanxin (TU Delft Team Vera Popovich); Morete Barbosa Bertolo, V. (TU Delft Team Vera Popovich); Pallaspuro, Sakari (University of Oulu); Popovich, V. (TU Delft Team Vera Popovich); Sietsma, J. (TU Delft Team Kevin Rossi); Walters, C.L. (TU Delft Ship and Offshore Structures; TNO)","","2023","Multi-barrier cleavage models consider cleavage fracture which is characterized by a series of microscale events. One of the challenges for multi-barrier cleavage models is the strong variations of cleavage parameters across different types of steels. The source and magnitude of the variations have not been studied systematically. In the current paper, cleavage parameters corresponding to fracture initiation at a hard particle and crack propagation overcoming grain boundaries are determined for three bainitic steels, a martensitic steel, and a ferritic steel, using a recently proposed model. It is found that the particle fracture parameter depends on particle morphology and composition, while the grain boundary cleavage parameter depends on the hierarchical grain structure. The determined values of cleavage parameters present a high degree of consistency among the five different steels, which allows the further application on microstructure design to control macroscopic toughness.","Cleavage; Fracture toughness; Local approach to fracture; Multi-barrier modelling; Statistical modelling","en","journal article","","","","","","","","","","","Team Vera Popovich","","",""
"uuid:ce19b2bd-6448-436d-903b-050a48e7efe1","http://resolver.tudelft.nl/uuid:ce19b2bd-6448-436d-903b-050a48e7efe1","Exploring plastic transport dynamics in the Odaw river, Ghana","Pinto, Rose Boahemaa (Wageningen University & Research); Barendse, Tom (Wageningen University & Research); van Emmerik, Tim (Wageningen University & Research); van der Ploeg, Martine (Wageningen University & Research); Annor, F.O. (TU Delft Water Resources; Trans-African Hydro-Meteorological Observatory (TAHMO)); Duah, Kwame (Trans-African Hydro-Meteorological Observatory (TAHMO)); Udo, Job (HKV LIJN IN WATER BV); Uijlenhoet, R. (TU Delft Water Resources; Wageningen University & Research)","","2023","Plastic pollution in rivers threatens ecosystems, increases flood risk due to its accumulations at hydraulic structures and its final emissions into the ocean threaten aquatic life, especially and probably most in coastal urbanized areas. Previous work suggests that plastic pollution in these urban rivers is influenced by hydrometeorological and anthropogenic factors. However, the transport dynamics of the plastics in such rivers are non-linear and complex and remain largely unresolved. Here, we show that tidal dynamics can be the main driver of plastic transport closest to the river mouth. Outside the tidal zone, rainfall and river discharge were identified to be more important drivers. We monitored plastic transport in the Odaw river, Ghana during the dry season. The Odaw drains the densely populated city of Accra and discharges into the Gulf of Guinea. Data were collected between March and May 2021 (dry season), using visual counting at four bridges along the river, of which two were located within the tidal zone. We explored the correlations between river plastic transport, and rainfall, tidal dynamics, and river discharge. Finally, we estimated the total plastic mass transport by using item-to-mass conversion data from previously published literature. We observed a peak in plastic transport at the upstream bridge within the tidal zone after an increase in rainfall (7.3 times larger). We found a gradient of the hydrometeorological factors driving plastic transport. Closer to the river mouth, tidal dynamics were more strongly correlated with plastic transport than upstream. The daily mass transport was estimated to be between 1.4–3.8 × 102 kg/d, which is lower than previous model estimates. These results add to the evidence of inconsistent correlations between plastic transport and hydrometeorological variables. Long-term monitoring data is required to further investigate this. The results also support the hypothesis that tidal dynamics are a crucial factor in controlling the emissions of plastics from rivers into the ocean. The findings provide a baseline for the Odaw river during the dry season and allow for comparison with the wet season. The approach adopted here also serves as a blueprint for similar urban river systems, regionally and globally.","discharge; macroplastic; rainfall; tide; urban river","en","journal article","","","","","","","","","","","Water Resources","","",""
"uuid:bb0a8a86-3b6c-49f9-8d38-cf1097513daa","http://resolver.tudelft.nl/uuid:bb0a8a86-3b6c-49f9-8d38-cf1097513daa","Investigation of the Dynamic Strain Aging Effect in Austenitic Weld Metals by 3D-DIC","Lescur, Amke (Belgian Nuclear Research Centre; Universiteit Gent); Stergar, Erich (Belgian Nuclear Research Centre); Lim, Jun (Belgian Nuclear Research Centre); Hertelé, Stijn (Universiteit Gent); Petrov, R.H. (TU Delft Team Maria Santofimia Navarro; Universiteit Gent)","","2023","Austenitic stainless steels similar to type AISI 316L are widely used structural materials in current and future nuclear reactors. Careful development and characterization of these materials and their welds is needed to verify the structural integrity of large-scale multicomponent structures. Understanding the local deformation behavior in heterogeneous materials and the mechanisms involved is key to further improve the performance and reliability of the materials at the global scale and can help in developing more accurate models and design rules. The full-field 3D digital image correlation (3D-DIC) technique was used to characterize two 316L multi-pass welds, based on cylindrical uniaxial tensile tests at room temperature, 350 °C, and 450 °C. The results were compared to solution annealed 316L material. The inhomogeneous character and dynamic behavior of the 316L base and weld materials were successfully characterized using 3D-DIC data, yielding high-quality and accurate local strain calculations for geometrically challenging conditions. The difference in character of the dynamic strain aging (DSA) effect present in base and weld materials was identified, where local inhomogeneous straining in weld material resulted in discontinuous type A Portevin–Le Châtelier (PLC) bands. This technique characterized the difference between local and global material behavior, whereas standard mechanical tests could not.","316L; 3D-DIC; dynamic strain aging; multi-pass welds; PLC effect","en","journal article","","","","","","","","","","","Team Maria Santofimia Navarro","","",""
"uuid:8e282dee-5dc2-4706-98c8-95b0effbc02e","http://resolver.tudelft.nl/uuid:8e282dee-5dc2-4706-98c8-95b0effbc02e","Cryo-EM structure of gas vesicles for buoyancy-controlled motility","Huber, S. (TU Delft BN/Arjen Jakobi Lab; TU Delft BN/Bionanoscience; Kavli institute of nanoscience Delft); Terwiel, D. (TU Delft ImPhys/Maresca group); Evers, W.H. (TU Delft BN/Afdelingsbureau; TU Delft BN/Bionanoscience; Kavli institute of nanoscience Delft); Maresca, D. (TU Delft ImPhys/Medical Imaging; TU Delft ImPhys/Maresca group); Jakobi, A. (TU Delft BN/Arjen Jakobi Lab; Kavli institute of nanoscience Delft)","","2023","Gas vesicles are gas-filled nanocompartments that allow a diverse group of bacteria and archaea to control their buoyancy. The molecular basis of their properties and assembly remains unclear. Here, we report the 3.2 Å cryo-EM structure of the gas vesicle shell made from the structural protein GvpA that self-assembles into hollow helical cylinders closed off by cone-shaped tips. Two helical half shells connect through a characteristic arrangement of GvpA monomers, suggesting a mechanism of gas vesicle biogenesis. The fold of GvpA features a corrugated wall structure typical for force-bearing thin-walled cylinders. Small pores enable gas molecules to diffuse across the shell, while the exceptionally hydrophobic interior surface effectively repels water. Comparative structural analysis confirms the evolutionary conservation of gas vesicle assemblies and demonstrates molecular features of shell reinforcement by GvpC. Our findings will further research into gas vesicle biology and facilitate molecular engineering of gas vesicles for ultrasound imaging.","acoustic reporter gene; cryo-EM; gas vesicle; GvpA; GvpC; microbial motility","en","journal article","","","","","","","","","","BN/Bionanoscience","BN/Arjen Jakobi Lab","","",""
"uuid:5b701759-9a30-4f65-9a67-f920e01dde38","http://resolver.tudelft.nl/uuid:5b701759-9a30-4f65-9a67-f920e01dde38","Design and Implementation of a Reconfigurable Phase Shift Full-Bridge Converter for Wide Voltage Range EV Charging Application","Lyu, D. (TU Delft DC systems, Energy conversion & Storage); Soeiro, Thiago Batista (European Space Agency (ESA)); Bauer, P. (TU Delft DC systems, Energy conversion & Storage)","","2023","This article analyzes, designs, and tests a reconfigurable phase shift full-bridge (r-PSFB) isolated dc/dc converter well suited for a wide voltage operating range. By controlling the auxiliary switches, a series or parallel connection can be realized on the secondary side of the converter. As a result, the r-PSFB converter can operate in an extremely wide voltage range without compromising the system efficiency. In this article, the characteristics of the r-PSFB converter and its design considerations are discussed in detail. An 11-kW r-PSFB converter prototype with 640-840-V input voltage and 250-1000-V output voltage ranges is developed and tested to validate the analysis and efficiency of the designed converter. A comparative study against a conventional PSFB converter is conducted for benchmark purposes to prove the advantages of the studied r-PSFB converter.","Battery charging; electric vehicle (EV) charging; isolated dc/dc converter; reconfiguration; versatile converter; wide voltage range","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-21","","","DC systems, Energy conversion & Storage","","",""
"uuid:78189e42-0239-486a-95c8-a5f5abec6641","http://resolver.tudelft.nl/uuid:78189e42-0239-486a-95c8-a5f5abec6641","Production of Co-58m in a siphon-style liquid target on a medical cyclotron","Mues genannt Koers, L. (TRIUMF; University of British Columbia); McNeil, S. W. (TRIUMF); Radchenko, V. (TRIUMF; University of British Columbia); Paulssen, E. (TU Delft RST/Applied Radiation & Isotopes; FH Aachen University of Applied Sciences); Hoehr, C. (TRIUMF; University of Victoria; University of British Columbia)","","2023","We present the production of 58mCo on a small, 13 MeV medical cyclotron utilizing a siphon style liquid target system. Different concentrated iron(III)-nitrate solutions of natural isotopic distribution were irradiated at varying initial pressures and subsequently separated by solid phase extraction chromatography. The radio cobalt (58m/gCo and 56Co) was successfully produced with saturation activities of (0.35 ± 0.03) MBq μA−1 for 58mCo with a separation recovery of (75 ± 2) % of cobalt after one separation step utilizing LN-resin.","Cobalt-58m; Cyclotron production; Liquid target; Meitner-Auger therapy; Radiometal; Small medical cyclotron","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-26","","","RST/Applied Radiation & Isotopes","","",""
"uuid:8c838630-eda1-44d2-808d-2367650286c9","http://resolver.tudelft.nl/uuid:8c838630-eda1-44d2-808d-2367650286c9","Interpretation of the early stiffening process in alkali-activated slag pastes","Sun, Yubo (Universiteit Gent); Miranda de Lima Junior, L.C. (TU Delft Materials and Environment); Rossi, Laura (Karlsruhe Institut für Technologie); Jiao, Dengwu (Universiteit Gent); Li, Z. (TU Delft Materials and Environment); Ye, G. (TU Delft Materials and Environment; Universiteit Gent); De Schutter, Geert (Universiteit Gent)","","2023","To better understand early stiffening of AAS pastes, distinctive microstructural features by varying the silicate modulus (Ms) have been visualized with in-situ microscopy. In addition, the activation reaction was monitored with multiple approaches, while solid and liquid phases in hydrating AAS were characterized separately. In silicate-activated AAS, it was found fine granules of reaction products are intensively dispersed in the activator solution, leading to a less flocculated system. Compared to hydroxide-activated AAS, the development of interparticle connections was limited at early ages, whereas reaction products were detected with much smaller grain size, less crystalline phase, and higher Al incorporation. Results indicate that the stiffening of hydroxide-activated AAS is attributed to the formation of a well-percolated network through solid reaction products. Instead, massive fine granules of reaction products dispersed in the pore solution continuously develop, which may intensify the interparticle interactions and macroscopically results in the stiffening of a silicate-activated AAS.","Alkali-activated slag; Early stiffening; Microstructure; Reaction products; Rheology","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-09","","","Materials and Environment","","",""
"uuid:63cc44a4-70f0-4be0-89ae-7d963279e6d4","http://resolver.tudelft.nl/uuid:63cc44a4-70f0-4be0-89ae-7d963279e6d4","Fast 3D ground penetrating radar simulations for glaciers","Hunziker, J. (University of Lausanne); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics); Irving, J. (University of Lausanne)","","2023","Modeling ground penetrating radar (GPR) reflection data on glaciers with methods that require the discretization of the full subsurface domain is extremely computationally expensive because of the combination of a large domain size and the comparatively short wavelength of the signal. To address this issue, we build on and extend a previously proposed approach based on the assumption of a homogeneous background medium (ice) in which various scattering objects (e.g., crevasses, channels, boulders) are embedded far from each other such that multiple scattering can be ignored. The glacier bed, below which no scatterers are assumed to exist, represents the lower limit of the modeling domain. With this method, the two-way propagation of the radar waves through the ice is simulated in a semi-analytical way, whereby scattering surfaces are represented with a set of planar elements of different electric and reflective properties, allowing a wide range of objects to be simulated. As we also take the antenna radiation pattern at the air-ice interface into account, this simple algorithm is able to produce realistic 3D GPR data in a fast and memory efficient way. In this study, we validate the presented algorithm with an analytical solution for a layered model, and we simulate radar data for a model of the Otemma glacier in Switzerland featuring a realistic topography of the glacier bed and a subglacial channel.","Glaciology; Ground penetrating radar (GPR); Numerical simulation","en","journal article","","","","","","","","","","","Applied Geophysics and Petrophysics","","",""
"uuid:e220d492-4845-4864-937e-dd758ea3112b","http://resolver.tudelft.nl/uuid:e220d492-4845-4864-937e-dd758ea3112b","A Delphi-based methodology for participatory adaptation pathways building with local stakeholders: Methodological considerations and an illustrative application in peri-urban India","Gomes, S.L. (TU Delft Policy Analysis; Universiteit Leiden); Hermans, L.M. (TU Delft Policy Analysis; IHE Delft Institute for Water Education); Butsch, Carsten (University of Cologne; Universität Bonn); Banerjee, Partha Sarathi (The Researcher); Luft, Sarah (University of Cologne); Chakraborty, Shreya (South Asia Consortium for Interdisciplinary Water Resources Studies; International Water Management Institute)","","2023","Adaptation pathways is a planning approach used to design flexible, long-term strategies for dealing with future uncertainty. However, emphasis on how to discuss pathways elements with stakeholders during the pathways building process is under-represented in the existing pathways literature. This paper presents a participatory methodology for building normative adaptation pathways with local stakeholders. Iterative discussions are facilitated using a Delphi study that is designed to explicitly consider institutional, and multi-actor dimensions in the formulation of future adaptive strategies. This leads to adaptation pathways that are more inclusive of local needs. This paper describes the steps for iteratively designing adaptation pathways in a multi-actor setting through a Delphi study. A pilot application of this Delphi-based adaptation pathway approach is illustrated with local actors in peri-urban Kolkata (India) for future water management. It demonstrates how this methodology offers a structured way to introduce pathways thinking to local stakeholders and helps build consensus about future preferences and adaptation options. Moreover, it stimulates discussions about normative differences across and within stakeholder groups through the underlying values that define future pathways as well as the institutional adjustments needed to successfully activate adaptations strategies over time. Future work may be directed towards to strengthening discussions around uncertainty, connecting pathways to a broader set of future scenarios, and comparing this facilitation method against other existing ones.","Adaptation pathways; Delphi method; Future planning; India; Participation; Peri-urban; Water","en","journal article","","","","","","","","","","","Policy Analysis","","",""
"uuid:2ee361c1-ab78-40b5-a6b0-d3aa7540686f","http://resolver.tudelft.nl/uuid:2ee361c1-ab78-40b5-a6b0-d3aa7540686f","How to use participatory design to develop an eHealth intervention to reduce preprocedural stress and anxiety among children visiting the hospital: The Hospital Hero app multi-study and pilot report","Poot, Charlotte C. (Leiden University Medical Center); Meijer, Eline (Leiden University Medical Center); Bruil, Annet (Design for Impact); Venema, Melanie (Leiden University Medical Center); Vegt, N.J.H. (TU Delft Design Aesthetics; Leiden University Medical Center); Donkel, Nicole (Leiden University Medical Center); van Noort, Veronique (Leiden University Medical Center); Chavannes, Niels H. (Leiden University Medical Center); Roest, Arno A.W. (Leiden University Medical Center)","","2023","Background: Medical procedures can cause considerable stress and anxiety among children. Current interventions mainly diminish stress and anxiety during procedures, while stress and anxiety often build up at home. Moreover, interventions often focus on either distraction or preparation. eHealth can combine multiple strategies and provide a low-cost solution that can be used outside the hospital. Objective: To develop an eHealth solution to diminish preprocedural stress and anxiety, and to evaluate the app on use, usability and user experience in practice. We also aimed to gain in-depth insights in children's and caregivers' opinions and experiences to inform future improvements. Methods: This is a multi-study report on the development (Study 1) and evaluation (Study 2) of a first version of the developed app. In study 1 we adopted a participatory design approach in which children's experiences were central to the design process. We performed an experience journey session with stakeholders (n = 13) to map the child's outpatient journey, identify pains and gains, and formulate the desired experience journey. Iterative development and testing with children (n = 8) and caregivers (n = 6) resulted in a working prototype. The prototype was tested with children, resulting in a first version of the Hospital Hero app. The app was evaluated on use, user-experience and usability during an eight-week pilot study in practice (Study 2). We triangulated data from online interviews with children and caregivers (n = 21) and online questionnaires (n = 46). Results: Multiple stress and anxiety experience touchpoints were identified. The Hospital Hero app supports children in their hospital journey by facilitating preparation at home and providing distraction at the hospital. The pilot study showed that the app was evaluated positively on usability and user-experience and is considered feasible. Qualitative data showed five themes: (1) user-friendliness, (2) coherence and power of storytelling, (3) motivation and reward, (4) fit with real hospital journey, (5) procedural comfort. Discussion: Using participatory design, we developed a child-centered solution that supports children in the entire hospital journey and may diminish preprocedural stress and anxiety. Future efforts should create a more tailored journey, define an optimal engagement window and formulate implementation strategies.","anxiety; co-creation; coping; eHealth; participatory design (PD); procedural comfort","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:9081cd83-4687-49f1-bab2-5ec8c1665060","http://resolver.tudelft.nl/uuid:9081cd83-4687-49f1-bab2-5ec8c1665060","Physical pooling functions in graph neural networks for molecular property prediction","Schweidtmann, A.M. (TU Delft ChemE/Product and Process Engineering; Rheinisch-Westfälische Technische Hochschule); Rittig, J. (Rheinisch-Westfälische Technische Hochschule); Weber, J.M. (TU Delft Pattern Recognition and Bioinformatics); Grohe, Martin (Rheinisch-Westfälische Technische Hochschule); Dahmen, Manuel (Forschungszentrum Jülich GmbH); Leonhard, Kai (Rheinisch-Westfälische Technische Hochschule); Mitsos, Alexander (Rheinisch-Westfälische Technische Hochschule; Forschungszentrum Jülich GmbH; JARA Center for Simulation and Data Science (CSD))","","2023","Graph neural networks (GNNs) are emerging in chemical engineering for the end-to-end learning of physicochemical properties based on molecular graphs. A key element of GNNs is the pooling function which combines atom feature vectors into molecular fingerprints. Most previous works use a standard pooling function to predict a variety of properties. However, unsuitable pooling functions can lead to unphysical GNNs that poorly generalize. We compare and select meaningful GNN pooling methods based on physical knowledge about the learned properties. The impact of physical pooling functions is demonstrated with molecular properties calculated from quantum mechanical computations. We also compare our results to the recent set2set pooling approach. We recommend using sum pooling for the prediction of properties that depend on molecular size and compare pooling functions for properties that are molecular size-independent. Overall, we show that the use of physical pooling functions significantly enhances generalization.","Graph convolutional neural networks; Physics-informed machine learning; Pooling function; Property prediction","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-28","","","ChemE/Product and Process Engineering","","",""
"uuid:8588b022-2f02-4b02-a6c8-c468474bcdcb","http://resolver.tudelft.nl/uuid:8588b022-2f02-4b02-a6c8-c468474bcdcb","Emergent collective organization of bone cells in complex curvature fields","Callens, S.J.P. (TU Delft Biomaterials & Tissue Biomechanics; Imperial College London); Fan, D. (TU Delft Team Carlas Smith); van Hengel, I.A.J. (TU Delft Biomaterials & Tissue Biomechanics); Minneboo, M.B. (TU Delft Biomaterials & Tissue Biomechanics); Diaz Payno, P.J. (TU Delft Biomaterials & Tissue Biomechanics; Erasmus MC); Stevens, Molly M. (Imperial College London); Fratila-Apachitei, E.L. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics)","","2023","Individual cells and multicellular systems respond to cell-scale curvatures in their environments, guiding migration, orientation, and tissue formation. However, it remains largely unclear how cells collectively explore and pattern complex landscapes with curvature gradients across the Euclidean and non-Euclidean spectra. Here, we show that mathematically designed substrates with controlled curvature variations induce multicellular spatiotemporal organization of preosteoblasts. We quantify curvature-induced patterning and find that cells generally prefer regions with at least one negative principal curvature. However, we also show that the developing tissue can eventually cover unfavorably curved territories, can bridge large portions of the substrates, and is often characterized by collectively aligned stress fibers. We demonstrate that this is partly regulated by cellular contractility and extracellular matrix development, underscoring the mechanical nature of curvature guidance. Our findings offer a geometric perspective on cell-environment interactions that could be harnessed in tissue engineering and regenerative medicine applications.","OA-Fund TU Delft","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:ac671e11-f6ac-4e2f-ad26-a945c4cc5fe0","http://resolver.tudelft.nl/uuid:ac671e11-f6ac-4e2f-ad26-a945c4cc5fe0","Hysteresis and dichotomous mechanics in cyclic crushing failure of confined freshwater columnar ice","Owen, C.C. (TU Delft Offshore Engineering); Hammer, T.C. (TU Delft Offshore Engineering); Hendrikse, H. (TU Delft Offshore Engineering)","","2023","Cyclic crushing experiments with a haversine velocity waveform were performed on passively confined, freshwater columnar ice specimens for a variety of velocities and frequencies. The aim of the experiments was to study the ice deformation and failure behavior in crushing when loaded at a predefined displacement pattern closely resembling the frequency lock-in regime of ice-induced vibrations. The focus of the experiments was on the development of load and ice deformation behavior at the grain and ice specimen scales during each cycle. To this end, the deformation and failure of the ice were observed with crossed-polarized light to highlight the microstructure in-situ during cyclic crushing. It was shown that there are dichotomous mechanical behaviors of the damaged and confined ice during a single crushing cycle: brittle at high velocity and non-brittle at low velocity. At low velocity, ice fracture was interrupted and stress relaxation occurred until the predefined velocity began increasing in the cycle. The stress relaxation in the load was accompanied by stress-optic effects in the ice. It was found that a load peak-velocity hysteresis developed in each crushing cycle: peak loads following the non-brittle behavior were temporarily higher than the peak loads of the brittle behavior. The temporary load peak enhancement tended to increase with increasing duration of stress relaxation, i.e. the peak enhancement tended to increase with decreasing velocity and frequency. Negligible peak enhancement and stress relaxation duration were observed for the highest frequency and mean velocity tested of 2 Hz and 10 mm s−1, respectively. For tests with a minimum velocity of 1 mm s−1, no stress relaxation was observed in the load measurement. Preliminary results from deviating from the haversine velocity waveform by increasing the minimum velocity showed that the stress relaxation duration decreases, but the non-brittle peak load does not decrease. It is speculated that ice anelastic ice behavior could account for the rapid stress relaxation at low velocity. It is unclear what causes the hysteresis, although it is speculated that dynamic strain aging might play a role. The change in ice behavior during the experiments demonstrates a mechanism which develops rapidly and might therefore incite the development of the frequency lock-in regime of ice-induced vibrations of vertically-sided structures.","Anelasticity; Controlled oscillation; Dynamic ice-structure interaction; Ice failure length","en","journal article","","","","","","","","","","","Offshore Engineering","","",""
"uuid:c59a9a4c-8106-4674-bf6a-5cb30bd98b65","http://resolver.tudelft.nl/uuid:c59a9a4c-8106-4674-bf6a-5cb30bd98b65","Radar-based human activity recognition with adaptive thresholding towards resource constrained platforms","Li, Zhenghui (University of Glasgow); Le Kernec, Julien (University of Glasgow); Abbasi, Qammer (University of Glasgow); Fioranelli, F. (TU Delft Microwave Sensing, Signals & Systems); Yang, Shufan (Edinburgh Napier University); Romain, Olivier (CY University)","","2023","Radar systems are increasingly being employed in healthcare applications for human activity recognition due to their advantages in terms of privacy, contactless sensing, and insensitivity to lighting conditions. The proposed classification algorithms are however often complex, focusing on a single domain of radar, and requiring significant computational resources that prevent their deployment in embedded platforms which often have limited memory and computational resources. To address this issue, we present an adaptive magnitude thresholding approach for highlighting the region of interest in the multi-domain micro-Doppler signatures. The region of interest is beneficial to extract salient features, meanwhile it ensures the simplicity of calculations with less computational cost. The results for the proposed approach show an accuracy of up to 93.1% for six activities, outperforming state-of-the-art deep learning methods on the same dataset with an over tenfold reduction in both training time and memory footprint, and a twofold reduction in inference time compared to a series of deep learning implementations. These results can help bridge the gap toward embedded platform deployment.","","en","journal article","","","","","","","","","","","Microwave Sensing, Signals & Systems","","",""
"uuid:bc61d8e7-de4d-4ef3-ae9c-37a556fd71d1","http://resolver.tudelft.nl/uuid:bc61d8e7-de4d-4ef3-ae9c-37a556fd71d1","Chemical characterisation of bitumen type and ageing state based on FTIR spectroscopy and discriminant analysis integrated with variable selection methods","Ma, L. (TU Delft Pavement Engineering); Varveri, Aikaterini (TU Delft Pavement Engineering); Jing, R. (TU Delft Pavement Engineering); Erkens, S. (TU Delft Pavement Engineering)","","2023","The chemical characterization of bitumen type and ageing state are fundamental in determining structural and mechanical properties of bitumen. This work aims to classify various bitumen types at different ageing states and to identify the primary chemical differences relevant to the classification. Fourier transform infrared (FTIR) spectral data of eight bitumen types at five ageing states were analyzed using a chemometric procedure that incorporates principal component analysis (PCA), linear discriminant analysis (LDA) models, variable selection methods. The models presented results of high accuracy in differentiating bitumen type and ageing state. The results show that the spectral regions that describe the aliphatic and aromatic bonds are critical to the identification of bitumen types. The chemical changes due to bitumen ageing are mainly revealed at the region of 1800–900 cm-1. This chemometric method is instructive for the characterization of chemical bitumen properties.","ageing; Bitumen; FTIR; multivariate analysis; source; variable selection","en","journal article","","","","","","","","","","","Pavement Engineering","","",""
"uuid:2866afd6-a7ab-460c-9380-aed87aa20da7","http://resolver.tudelft.nl/uuid:2866afd6-a7ab-460c-9380-aed87aa20da7","Sea Surface Temperature Gradients Estimation Using Top-of-Atmosphere Observations from the ESA Earth Explorer 10 Harmony Mission: Preliminary Studies","Ciani, Daniele (IMAMOTER - C.N.R. Sensors and Nanomaterials Laboratory); Sabatini, Mattia (IMAMOTER - C.N.R. Sensors and Nanomaterials Laboratory); Buongiorno Nardelli, Bruno (IMAMOTER - C.N.R. Sensors and Nanomaterials Laboratory); Lopez Dekker, F.J. (TU Delft Mathematical Geodesy and Positioning); Rommen, Björn (European Space Agency (ESA)); Wethey, David S. (University of South Carolina); Yang, Chunxue (IMAMOTER - C.N.R. Sensors and Nanomaterials Laboratory); Liberti, Gian Luigi (IMAMOTER - C.N.R. Sensors and Nanomaterials Laboratory)","","2023","The Harmony satellite mission was recently approved as the next European Space Agency (ESA) Earth Explorer 10. The mission science objectives cover several applications related to solid earth, the cryosphere, upper-ocean dynamics and air–sea interactions. The mission consists of a constellation of two satellites, flying with the Copernicus Sentinel 1 (C or D) spacecraft, each hosting a C-band receive-only radar and a thermal infrared (TIR) payload. From an ocean dynamics/air–sea interaction perspective, the mission will provide the unique opportunity to observe simultaneously the signature of submesoscale upper-ocean processes via synthetic aperture radar and TIR imagery. The TIR imager is based on microbolometer technology and its acquisitions will rely on four channels: three narrow-band channels yielding observations at a ≃1 km spatial sampling distance (SSD) and a panchromatic (PAN, 8–12 (Formula presented.) m) channel characterized by a ≃300 m SSD. Our study investigates the potential of Harmony in retrieving spatial features related to sea surface temperature (SST) gradients from the high-resolution PAN channel, relying on top-of-atmosphere (TOA) observations. Compared to a standard SST gradient retrieval, our approach does not require atmospheric correction, thus avoiding uncertainties due to inter-channel co-registration and radiometric consistency, with the possibility of exploiting the higher resolution of the PAN channel. The investigations were carried out simulating the future Harmony TOA radiances (TARs), as well as relying on existing state-of-the-art level 1 satellite products. Our approach enables the correct description of SST features at the sea surface avoiding the generation of spurious features due to atmospheric correction and/or instrumental issues. In addition, analyses based on existing satellite products suggest that the clear-sky TOA observations, in a typical mid-latitude scene, allow the reconstruction of up to 85% of the gradient magnitudes found at the sea-surface level. The methodology is less efficient in tropical areas, suffering from smoothing effects due to the high concentrations of water vapor.","ESA Earth Explorer 10 Harmony; sea surface temperature (SST); SST gradients","en","journal article","","","","","","","","","","","Mathematical Geodesy and Positioning","","",""
"uuid:6099cc55-2f01-410a-b52e-24e173e28c0e","http://resolver.tudelft.nl/uuid:6099cc55-2f01-410a-b52e-24e173e28c0e","Tailoring the flow properties of inhaled micronized drug powders by atomic and molecular layer deposition","Zhang, F. (TU Delft ChemE/Product and Process Engineering; Qingyuan Innovation Laboratory, Quanzhou); Wu, K. (TU Delft ChemE/Product and Process Engineering); La Zara, D. (TU Delft ChemE/Product and Process Engineering); Sun, F. (TU Delft ChemE/Product and Process Engineering); Quayle, Michael J. (Operations); Petersson, Gunilla (Operations); Folestad, Staffan (Operations); Chew, Jia Wei (Nanyang Technological University); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering)","","2023","For dry powder inhaled formulations, good flow behaviour is vital in re-dispersing the powder. However, inhaled drug powders with a particle size below 10 µm are classified as highly cohesive materials with poor flow characteristics. Here we demonstrate how to alter the flow properties of micronized budesonide powders by depositing different materials (organic, inorganic, and hybrid organic–inorganic) in the forms of nanoscale films onto the drug particles using atomic/molecular layer deposition (ALD/MLD) coatings. The angle of repose (static) and pneumatic delivery measurements were performed to access the flow characteristics. The flowability can be effectively improved with the growth of inorganic nanofilm (SiO2, TiO2, or Al2O3) via ALD and hybrid nanofilm (titanicone) via combined ALD-MLD coating. This improvement is reflected by the decrease in the angle of repose and minimum pick-up velocity (Upu), as well as promoting the pneumatic delivery of a much larger amount of drug powders after ALD or hybrid coating. In contrast, the organic PET coated budesonide via MLD exhibits comparable poor flow characteristics as the uncoated budesonide. Rather than being transported in individual particles, the uncoated or PET-coated budesonide powders are pneumatically delivered in form of complex clusters with a size of over 500 μm, whereas the ALD budesonide is dispersed in form of small agglomerates (<100 μm). Despite the difference in agglomerate size, entraining behaviors of all samples agree well with the prediction of Kalman's pick-up Zone I correlation. The inorganic nanofilm deposited via ALD alters the surface chemistry to reduce the inter-particle forces measured by atomic force microscopy, giving rise to an improved drug delivery performance. Nanoscale surface modification of dry powder particles has good potential for inhaled drug delivery enhancement.","atomic layer deposition; drug powders; flowability; molecular layer deposition","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:55a68138-eedb-43e8-a19b-52f9f46577dd","http://resolver.tudelft.nl/uuid:55a68138-eedb-43e8-a19b-52f9f46577dd","Evaluating the Targeting of a Staphylococcus-aureus-Infected Implant with a Radiolabeled Antibody In Vivo","van Dijk, Bruce (University Medical Center Utrecht); Hooning van Duyvenbode, J. Fred F. (University Medical Center Utrecht); de Vor, Lisanne (University Medical Center Utrecht); Nurmohamed, F. Ruben H.A. (University Medical Center Utrecht); Lam, Marnix G.E.H. (University Medical Center Utrecht); Poot, Alex J. (University Medical Center Utrecht); Ramakers, R.M. (TU Delft RST/Technici Pool; MILabs B.V.; University Medical Center Utrecht); Koustoulidou, Sofia (MILabs B.V.; University Medical Center Utrecht); Beekman, F.J. (TU Delft RST/Biomedical Imaging; MILabs B.V.; University Medical Center Utrecht); Weinans, Harrie (TU Delft Biomaterials & Tissue Biomechanics; University Medical Center Utrecht)","","2023","Implant infections caused by Staphylococcus aureus are difficult to treat due to biofilm formation, which complicates surgical and antibiotic treatment. We introduce an alternative approach using monoclonal antibodies (mAbs) targeting S. aureus and provide evidence of the specificity and biodistribution of S.-aureus-targeting antibodies in a mouse implant infection model. The monoclonal antibody 4497-IgG1 targeting wall teichoic acid in S. aureus was labeled with indium-111 using CHX-A”-DTPA as a chelator. Single Photon Emission Computed Tomography/computed tomographyscans were performed at 24, 72 and 120 h after administration of the 111In-4497 mAb in Balb/cAnNCrl mice with a subcutaneous implant that was pre-colonized with S. aureus biofilm. The biodistribution of this labelled antibody over various organs was visualized and quantified using SPECT/CT imaging, and was compared to the uptake at the target tissue with the implanted infection. Uptake of the 111In-4497 mAbs at the infected implant gradually increased from 8.34 %ID/cm3 at 24 h to 9.22 %ID/cm3 at 120 h. Uptake at the heart/blood pool decreased over time from 11.60 to 7.58 %ID/cm3, whereas the uptake in the other organs decreased from 7.26 to less than 4.66 %ID/cm3 at 120 h. The effective half-life of 111In-4497 mAbs was determined to be 59 h. In conclusion, 111In-4497 mAbs were found to specifically detect S. aureus and its biofilm with excellent and prolonged accumulation at the site of the colonized implant. Therefore, it has the potential to serve as a drug delivery system for the diagnostic and bactericidal treatment of biofilm.","antibody; biodistribution; infection; mice; periprosthetic joint infection; radioimmunotherapy; radiolabeling; S. aureus; SPECT; theranostics","en","journal article","","","","","","","","","","","RST/Technici Pool","","",""
"uuid:bda44c6b-c1c8-48d8-9a2c-962dc755cec0","http://resolver.tudelft.nl/uuid:bda44c6b-c1c8-48d8-9a2c-962dc755cec0","On the interaction of Tollmien-Schlichting waves with a wall-embedded Helmholtz resonator","Michelis, Theodorus (TU Delft Aerodynamics); De Koning, C. (Student TU Delft); Kotsonis, M. (TU Delft Aerodynamics)","","2023","The influence of a wall-embedded Helmholtz resonator on the development and stability of Tollmien-Schlichting (TS) waves is investigated numerically and experimentally for a range of frequencies extending from below to above resonance. Interactions are found to be limited in the near-wall region and toward the trailing edge of the resonator orifice while at the same time being linear nature. The dynamic response of the flow-excited resonator is shown to have a fixed phase relation with respect to the TS-waves, indicating that only amplification of the latter can be achieved. The same resonant behavior is maintained regardless of whether the resonator is flow-excited or acoustically excited. Thus, it is suggested that pressure perturbations propagate perpendicularly and acoustically within the resonator throat and cavity. The amplification observed in the vicinity of the resonator displays features typical of TS-wave scattering; however, it is confirmed that this is not solely the result of mean flow distortion due to the geometry and recirculation region. Instead, the results indicate that the phenomenology is a consequence of the combination of scattering, localized non-modal growth, and wall-forcing in the wall-normal direction due to resonance.","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:a6253a8c-0f40-4d0c-ace1-a9c78a46d1ad","http://resolver.tudelft.nl/uuid:a6253a8c-0f40-4d0c-ace1-a9c78a46d1ad","Neighbourhood effects on educational attainment. What matters more: Exposure to poverty or exposure to affluence?","Troost, A.A. (TU Delft Urban Studies); van Ham, M. (TU Delft Urbanism); Manley, D.J. (TU Delft Urban Studies; University of Bristol)","","2023","Neighbourhood effects studies typically investigate the negative effects on individual outcomes of living in areas with concentrated poverty. The literature rarely pays attention to the potential beneficial effects of living in areas with concentrated affluence. This poverty paradigm might hinder our understanding of spatial context effects. Our paper uses individual geocoded data from the Netherlands to compare the effects of exposure to neighbourhood affluence and poverty on educational attainment within the same statistical models. Using bespoke neighbourhoods, we create individual neighbourhood histories which allow us to distinguish exposure effects from early childhood and adolescence. We follow an entire cohort born in 1995 and we measure their educational level in 2018. The results show that, in the Netherlands, neighbourhood affluence has a stronger effect on educational attainment than neighbourhood poverty for all the time periods studied. Additionally, interactions with parental education indicate that children with higher educated parents are not affected by neighbourhood poverty. These results highlight the need for more studies on the effects of concentrated affluence and can inspire anti-segregation policies.","","en","journal article","","","","","","","","","","Urbanism","Urban Studies","","",""
"uuid:bb44b530-2e22-4948-86ce-44f11830b0cb","http://resolver.tudelft.nl/uuid:bb44b530-2e22-4948-86ce-44f11830b0cb","Glymphatic-assisted perivascular brain delivery of intrathecal small gold nanoparticles","Lilius, Tuomas O. (University of Copenhagen; University of Helsinki); Mortensen, Kristian Nygaard (University of Copenhagen); Deville, Claire (Technical University of Denmark); Lohela, Terhi J. (Faculty of Health and Medical Sciences; Viikki Biocenter 1); Stæger, Frederik Filip (University of Copenhagen); Sigurdsson, Björn (University of Copenhagen); Rosenholm, Marko (University of Copenhagen; University of Helsinki); Beekman, F.J. (TU Delft RST/Biomedical Imaging; University Medical Center Utrecht; MILabs B.V.); Jensen, Andreas I. (Technical University of Denmark)","","2023","Nanoparticles are ultrafine particulate matter having considerable potential for treatment of central nervous system (CNS) disorders. Despite their tiny size, the blood-brain barrier (BBB) restricts their access to the CNS. Their direct cerebrospinal fluid (CSF) administration bypasses the BBB endothelium, but still fails to give adequate brain uptake. We present a novel approach for efficient CNS delivery of 111In-radiolabelled gold nanoparticles (AuNPs; 10–15 nm) via intra-cisterna magna administration, with tracking by SPECT imaging. To accelerate CSF brain influx, we administered AuNPs intracisternally in conjunction with systemic hypertonic saline, which dramatically increased the parenchymal AuNP uptake, especially in deep brain regions. AuNPs entered the CNS along periarterial spaces as visualized by MRI of gadolinium-labelled AuNPs and were cleared from brain within 24 h and excreted through the kidneys. Thus, the glymphatic-assisted perivascular network augment by systemic hypertonic saline is a pathway for highly efficient brain-wide distribution of small AuNPs.","Central nervous system drug delivery; Glymphatic system; Hypertonic solution; Nanoparticles; Single-photon emission tomography","en","journal article","","","","","","","","","","","RST/Biomedical Imaging","","",""
"uuid:c801b072-508f-44ae-9259-da8df08c5543","http://resolver.tudelft.nl/uuid:c801b072-508f-44ae-9259-da8df08c5543","Electron transmission and mean free path in molybdenum disulfide at electron-volt energies","Neu, Peter S. (Universiteit Leiden); Siskins, M. (TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft); Krasovskii, Eugene E. (University of the Basque Country; Basque Foundation for Science; Donostia International Physics Center); Tromp, Rudolf M. (Universiteit Leiden; IBM Thomas J. Watson Research Centre); Van Der Molen, Sense Jan (Universiteit Leiden)","","2023","In van der Waals (vdW) materials, the electron mean free path (MFP) is largely influenced by the discrete states in the unoccupied band structure. So far, the influence of these states has only been measured in graphene, while all measurements on other vdW materials lack energy resolution. Here, we present reflection and transmission spectra of freestanding, few-layered molybdenum disulfide (MoS2) samples in the 0-55 eV electron range. Our measurements reveal states of enhanced electron transmissivity above the vacuum level, that correspond to the (unoccupied) density of states. We also show a full quantum-mechanical calculation that confirms a good understanding of the elastic scattering in MoS2. A model is developed to extract the inelastic MFP spectrum, which is a measure of the inelastic scattering cross section. As MoS2 is a complicated system of different atomic planes, we expect that our methods generalize well to other van der Waals materials and heterostacks thereof.","","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:106a9cc2-7997-4de2-a8f4-32ef706f93b7","http://resolver.tudelft.nl/uuid:106a9cc2-7997-4de2-a8f4-32ef706f93b7","Implementation of SNS thermometers into molecular devices for cryogenic thermoelectric experiments","Volosheniuk, S. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Bouwmeester, D. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Hsu, C. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab; Kavli institute of nanoscience Delft); Gehring, Pascal (Université Catholique de Louvain)","","2023","Thermocurrent flowing through a single-molecule device contains valuable information about the quantum properties of the molecular structure and, in particular, on its electronic and phononic excitation spectra and entropy. Furthermore, accessing the thermoelectric heat-to-charge conversion efficiency experimentally can help to select suitable molecules for future energy conversion devices, which - predicted by theoretical studies - could reach unprecedented efficiencies. However, one of the major challenges in quantifying thermocurrents in nanoscale devices is to determine the exact temperature bias applied to the junction. In this work, we have incorporated a superconductor-normal metal-superconductor Josephson junction thermometer into a single-molecule device. The critical current of the Josephson junction depends accurately on minute changes in the electronic temperature in a wide temperature range from 100 mK to 1.6 K. Thus, we present a device architecture which can enable thermoelectric experiments on single molecules down to millikelvin temperatures with high precision.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-06","","","QN/van der Zant Lab","","",""
"uuid:d444063e-43d9-4d44-b6a1-894249aca9a4","http://resolver.tudelft.nl/uuid:d444063e-43d9-4d44-b6a1-894249aca9a4","What contributes to drug driving? An exploratory investigation into the influence of problematic substance use, roadside testing and alternative transport options","Hasan, Razi (Queensland University of Technology); Watson, Barry (Queensland University of Technology); Haworth, Narelle (Queensland University of Technology); Oviedo-Trespalacios, O. (TU Delft Safety and Security Science)","","2023","Despite a strong reliance on enforcement approaches to prevent drug driving in Australia, this behaviour is still prevalent. The objective of this study was to investigate the influence of problematic drug use (i.e., showing indications of addiction), exposure to roadside drug testing, the use of detection avoidance strategies, and perceptions relating to alternative transport options on drug driving among illicit drug users. A total of 1,541 licensed drivers from the states of Queensland, New South Wales, and Victoria completed an online survey. The survey collected demographic and problematic substance use information, as well as items assessing drug driving behaviour. Cannabis was reported to be the most commonly used drug (36.0%); the most common drug of problematic use (27.9%), and the drug most often taken prior to driving (43.5%). Observing police operating Roadside Drug Tests (RDT) was more common among the participants than being tested by RDT (35.7% vs 23%). The results indicated a significant association between being a drug driver and observing or being tested by RDT. The drug drivers were significantly more likely to report using a range of strategies to avoid police detection than the non-drug drivers. Similarly, the drug drivers reported that it was more difficult for them to use various alternative transport options than the non-drug drivers. Decision tree analyses found that significant predictors of self-reported drug driving were problematic drug use, holding a provisional or probationary licence, earning a low- or middle-income, and using detection avoidance strategies like remaining watchful for police vehicles and taking back streets. The findings of this study suggest that ongoing improvements to drug driving enforcement will need to be complemented by health-based approaches designed to reduce drug abuse and dependence, and improvements to public transport, in order to achieve a sustainable reduction in drug driving.","Alternative transport; Avoidance strategies; Drug driving; Problematic substance use; Random breath testing; Roadside drug testing","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:240c32c8-157b-4ae0-af24-f9085ac0132d","http://resolver.tudelft.nl/uuid:240c32c8-157b-4ae0-af24-f9085ac0132d","Required test durations for converged short-term wave and impact extreme value statistics–Part 2: Deck box dataset","Scharnke, Jule (Maritime Research Institute Netherlands (MARIN)); van Essen, S.M. (TU Delft Ship Hydromechanics and Structures; Maritime Research Institute Netherlands (MARIN)); Seyffert, Harleigh C. (TU Delft Ship Hydromechanics and Structures)","","2023","In the assessment of wave-in-deck loads for new and existing maritime structures typically model tests are carried out. To determine the most critical conditions and measure sufficient impact loads, a range of sea states and various seeds (realisations) for each sea state are tested. Based on these measurements, probability distributions can be derived and design loads determined. In air gap model testing usually only few, if any, impact loads occur per 3-hour seed. This can make it challenging to derive reliable probability distributions of the measured loads, especially when only a few seeds are generated. In addition wave impact forces, such as greenwater loading, slamming, or air gap impacts are typically strongly non-linear, resulting in a large variability of the measured loads. This results in the following questions: How many impacts are needed to derive a reliable distribution? How is the repeatability of individual events affecting the overall distribution? To answer these questions wave-in-deck model tests were carried out in 100 x 3-hour realisations of a 10,000 year North Sea sea state. The resulting probability distributions of the undisturbed wave measurements as well as the measured wave-in-deck loads are presented in this paper with focus on deriving the number of seeds and exposure durations required for a reliable estimate of design loads. The presented study is Part 2 of a combined study on guidance for the convergence and variability of wave crests and impact loading extreme values. The data set of Part 1 ([1]) is based on greenwater loads on a sailing ferry and the data set of Part 2 on wave-in-deck loads on a stationary deck box.","Convergence; Deck box; Experiments; Extreme value statistics; Offshore structure response; Seed variations; Short-term variability; Test duration; Wave impact loads; Wave-in-deck; Waves","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-09","","","Ship Hydromechanics and Structures","","",""
"uuid:a4dfb081-4be3-4915-a733-af163ca7d97b","http://resolver.tudelft.nl/uuid:a4dfb081-4be3-4915-a733-af163ca7d97b","Data-driven generation of 4D velocity profiles in the aneurysmal ascending aorta","Saitta, Simone (Politecnico di Milano); Maga, L.M. (Politecnico di Milano; Imperial College London); Armour, Chloe (Imperial College London); Votta, Emiliano (Politecnico di Milano); O'Regan, Declan P. (Imperial College London); Salmasi, M. Yousuf (Imperial College London); Athanasiou, Thanos (Imperial College London); Weinsaft, Jonathan W. (Weill Cornell Medical College); Xu, Xiao Yun (Imperial College London); Pirola, S. (TU Delft Medical Instruments & Bio-Inspired Technology; Imperial College London); Redaelli, Alberto (Politecnico di Milano)","","2023","Background and Objective: Numerical simulations of blood flow are a valuable tool to investigate the pathophysiology of ascending thoratic aortic aneurysms (ATAA). To accurately reproduce in vivo hemodynamics, computational fluid dynamics (CFD) models must employ realistic inflow boundary conditions (BCs). However, the limited availability of in vivo velocity measurements, still makes researchers resort to idealized BCs. The aim of this study was to generate and thoroughly characterize a large dataset of synthetic 4D aortic velocity profiles sampled on a 2D cross-section along the ascending aorta with features similar to clinical cohorts of patients with ATAA. Methods: Time-resolved 3D phase contrast magnetic resonance (4D flow MRI) scans of 30 subjects with ATAA were processed through in-house code to extract anatomically consistent cross-sectional planes along the ascending aorta, ensuring spatial alignment among all planes and interpolating all velocity fields to a reference configuration. Velocity profiles of the clinical cohort were extensively characterized by computing flow morphology descriptors of both spatial and temporal features. By exploiting principal component analysis (PCA), a statistical shape model (SSM) of 4D aortic velocity profiles was built and a dataset of 437 synthetic cases with realistic properties was generated. Results: Comparison between clinical and synthetic datasets showed that the synthetic data presented similar characteristics as the clinical population in terms of key morphological parameters. The average velocity profile qualitatively resembled a parabolic-shaped profile, but was quantitatively characterized by more complex flow patterns which an idealized profile would not replicate. Statistically significant correlations were found between PCA principal modes of variation and flow descriptors. Conclusions: We built a data-driven generative model of 4D aortic inlet velocity profiles, suitable to be used in computational studies of blood flow. The proposed software system also allows to map any of the generated velocity profiles to the inlet plane of any virtual subject given its coordinate set.","4D Flow magnetic resonance imaging; Aortic velocity profile; Ascending aortic aneurysm; Inflow boundary conditions; Statistical shape modeling","en","journal article","","","","","","","","","","","Medical Instruments & Bio-Inspired Technology","","",""
"uuid:1b79c224-6848-42a3-8e93-8ab43a690f67","http://resolver.tudelft.nl/uuid:1b79c224-6848-42a3-8e93-8ab43a690f67","BI-IEnKF coupling model for effective source term estimation of natural gas leakage in urban utility tunnels","Wu, Jiansong (China University of Mining and Technology (Beijing)); Cai, Jitao (China University of Mining and Technology (Beijing)); Liu, Z. (TU Delft Civil Engineering & Geosciences; China University of Mining and Technology (Beijing)); Yuan, S. (TU Delft Safety and Security Science); Bai, Yiping (China University of Mining and Technology (Beijing)); Zhou, Rui (Tsinghua University)","","2023","As an effective way to facilitate the increasing demand for reliable infrastructure, energy supply and sustainable urban development, underground utility tunnels have been developed rapidly in recent years. Due to the widespread distribution of utility tunnels, the safe operation of natural gas pipelines accommodated in utility tunnels has caused great concern considering fire, explosion, and other coupling consequences induced by the gas pipeline leakage. However, the limited information on leakage source terms in accidental leakage scenarios could preclude timely consequence assessment and effective emergency response. In this study, a BI-IEnKF coupling source term estimation (STE) model is developed, with the combination of gas dispersion model, Bayesian inference (BI) and iterative ensemble Kalman filter (IEnKF) method, to achieve the effective source term estimation (including leakage location and leakage rate) and gas concentration distribution prediction. The newly developed model is first evaluated by the twin experiment with good reliability and accuracy. Furthermore, three contributing factors affecting the performance of the developed BI-IEnKF coupling STE model were investigated to assist parameter selection for practical use. Additionally, the novel application of mobile sensors serving as an alternative for fixed sensors is explored, and an application framework is sequentially given to guide the deployment of the developed coupling model in utility tunnels. The results show that the developed model has great performance in accuracy, efficiency and robustness, as well as the potential to be applied in actual utility tunnel scenarios. This study can provide technical supports for safety control and emergency response in the case of natural gas pipeline leakage accidents in utility tunnels. Also, it could be helpful to reasonable references for gas lekage monitoring system design.","Bayesian inference; Iterative ensemble Kalman filter; Natural gas leakage; Source term estimation; Utility tunnel","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-16","Civil Engineering & Geosciences","","Safety and Security Science","","",""
"uuid:30fc3d30-c006-4692-81c6-f4ff33283aba","http://resolver.tudelft.nl/uuid:30fc3d30-c006-4692-81c6-f4ff33283aba","3D patellar shape is associated with radiological and clinical signs of patellofemoral osteoarthritis","Eijkenboom, J. F.A. (Erasmus MC); Tümer, N. (TU Delft Biomaterials & Tissue Biomechanics); Schiphof, D. (Erasmus MC); Oei, E. H. (Erasmus MC); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics); Bierma-Zeinstra, S. M.A. (Erasmus MC); van Middelkoop, M. (Erasmus MC)","","2023","Objective: To examine the association between 3D patellar shape and 1) isolated magnetic resonance imaging (MRI)-based patellofemoral osteoarthritis (PFOA), 2) the morphological features of PFOA, and 3) the clinical symptoms of PFOA. Design: MRI data from 66 women with isolated MRI-based PFOA and 66 age- and BMI-matched healthy women were selected from a cohort study. The patellae were manually segmented from MRI scans and used to create a 3D statistical shape model (SSM) of the patella. Structural abnormalities were semi-standardized scored on MRI using MRI osteoarthritis knee score (MOAKS). Regression analyses were applied to determine the associations between the shape parameters retrieved from the SSM, group status, clinical symptoms, and structural abnormalities. Results: Four shape variants showed a statistically significant (<0.05) association with the group status. The mode responsible for most of the shape variations showed participants with PFOA possess a relatively thicker dorsal bump on the articular part of the patella, compared to patellae of control participants. Three of these variants showed an association with the presence of osteophytes and cartilage loss on the patella. Multiple associations were found between patellar shape and the clinical symptoms of PFOA. Conclusions: Patellar shape is associated with the prevalence of MRI-based PFOA in women. Some shape variants were also associated with clinical symptoms. Interestingly, one particular shape variant associated with the presence of MRI-based PFOA was earlier shown to be associated with structural abnormalities associated with OA in a population aged under 40. This may suggest that patellar shape may be an early detectable risk factor for PFOA.","Osteoarthritis; Patella; Patellofemoral; Shape","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:6812f5d8-6a4b-4d21-94d2-ab3a861f0460","http://resolver.tudelft.nl/uuid:6812f5d8-6a4b-4d21-94d2-ab3a861f0460","Microstructure evolution of medium‑manganese Al-alloyed steel manufactured by double-step intercritical annealing: Effects of heating and cooling rates","Kozłowska, Aleksandra (Silesian University of Technology); Morawiec, Mateusz (Silesian University of Technology); Petrov, R.H. (TU Delft Team Maria Santofimia Navarro; Universiteit Gent); Grajcar, Adam (Silesian University of Technology)","","2023","A novel double-step intercritical annealing (DSIA) process was conducted on Fe-0.16C-4.7Mn-1.6Al-0.2Si transformation induced plasticity (TRIP) steel. In a present study, an effect of different heating and cooling rates applied to the second intercritical annealing (IA) step on the microstructure was studied in detail by using scanning electron microscopy (SEM), electron backscatter diffraction (EBSD) and transmission electron microscopy (TEM) techniques. The quantitative analysis of the retained austenite fractions for particular heat treatment variants was carried out via X-ray diffraction (XRD). The formation of complex microstructures containing a small fraction of ferrite, retained austenite and two types of martensite was observed regardless on the applied heating and cooling rates. It was found that the soft ferrite can be replaced by martensite through the incomplete stabilization of austenite with C and Mn during short second intercritical annealing step. The heating rate influences significantly the kinetics of austenite formation during the second heat treatment step. Low heating rates ensure more time for formation and homogenization of austenite during heating improving its thermal stability. Thus, the best balance of martensite and austenite fractions in combination with homogenous morphology of the microstructure was noted for the specimen heated at a rate of 3 °C/s, which was the lowest one in the reported experiment.","Double-step intercritical annealing; Low-C martensite; Medium-Mn steel; Multiphase microstructure; TRIP-assisted steel","en","journal article","","","","","","","","","","","Team Maria Santofimia Navarro","","",""
"uuid:9ba913a9-2618-45d4-a2c4-3ea6ec561eb4","http://resolver.tudelft.nl/uuid:9ba913a9-2618-45d4-a2c4-3ea6ec561eb4","Using observational mean-flow data to drive large-eddy simulations of a diurnal cycle at the SWiFT site","Allaerts, D.J.N. (TU Delft Wind Energy); Quon, Eliot (National Renewable Energy Laboratory); Churchfield, Matt (National Renewable Energy Laboratory)","","2023","Reproducing realistic date- and site-specific unsteady wind conditions in large-eddy simulations is becoming increasingly useful in wind energy. How to run a large-eddy simulation to match observed conditions, however, remains an open research question. One approach that has received considerable attention is mesoscale-to-microscale coupling, in which information about the mesoscale weather, most commonly acquired from a mesoscale numerical weather model, is passed on to a microscale model. In this paper, we demonstrate how the recently developed profile-assimilation technique, a form of mesoscale-to-microscale coupling, can be used to drive large-eddy simulations solely based on observed mean-flow profiles at a single location, bypassing the need for auxiliary mesoscale simulations. The new approach is evaluated for a diurnal cycle at the Scaled Wind Farm Technology site. Observed mean-flow profiles from the ground up to a height of 2 km are reconstructed by aggregating measurements from multiple instruments, and gaps in the data are infilled with natural neighbor interpolation. We perform nine simulations using various forcing approaches to deal with data limitations. The results show that it is indeed possible to drive microscale large-eddy simulation with observations using the profile-assimilation technique, notwithstanding large gaps in virtual potential temperature measurements. However, profile assimilation with vertical smoothing of the error between the desired and actual profiles is required. Without that smoothing, the microscale simulations develop unrealistically high turbulence levels under many situations. Finally, we show that simulated mesoscale data can account for missing observations, although care is needed as both data sources are not necessarily compatible.","afternoon/evening transition; atmospheric boundary layer; diurnal cycle; large-eddy simulation; low-level jet; mesoscale-to-microscale coupling; profile assimilation","en","journal article","","","","","","","","","","","Wind Energy","","",""
"uuid:1e2bd533-b49b-498c-afc5-c27cb9a52ee8","http://resolver.tudelft.nl/uuid:1e2bd533-b49b-498c-afc5-c27cb9a52ee8","Toward sustainable space exploration: a roadmap for harnessing the power of microorganisms","Santomartino, Rosa (University of Edinburgh); Averesch, Nils J.H. (Stanford University; Center for Utilization of Biological Engineering in Space); Bhuiyan, Marufa (Everest Innovation Lab); Cockell, Charles S. (University of Edinburgh); Colangelo, Jesse (Cemvita Factory); Gumulya, Yosephine (Queensland University of Technology); Lehner, Benjamin (DMEC); Lopez-Ayala, Ivanna (Universidad del Valle de Guatemala); Volger, R. (TU Delft BT/Bioprocess Engineering)","","2023","Finding sustainable approaches to achieve independence from terrestrial resources is of pivotal importance for the future of space exploration. This is relevant not only to establish viable space exploration beyond low Earth–orbit, but also for ethical considerations associated with the generation of space waste and the preservation of extra-terrestrial environments. Here we propose and highlight a series of microbial biotechnologies uniquely suited to establish sustainable processes for in situ resource utilization and loop-closure. Microbial biotechnologies research and development for space sustainability will be translatable to Earth applications, tackling terrestrial environmental issues, thereby supporting the United Nations Sustainable Development Goals.","","en","journal article","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:4db77e6d-7d2a-48bf-9806-5739b1cee535","http://resolver.tudelft.nl/uuid:4db77e6d-7d2a-48bf-9806-5739b1cee535","The native and metastable defects and their joint density of states in hydrogenated amorphous silicon obtained from the improved dual beam photoconductivity method","Güneş, Mehmet (Mugla Sitki Kocman University); Melskens, Jimmy (HyET Solar Netherlands); Smets, A.H.M. (TU Delft Photovoltaic Materials and Devices)","","2023","In this study, undoped hydrogenated amorphous silicon (a-Si:H) thin films deposited under moderate dilution ratios of silane by radio frequency plasma-enhanced chemical vapor deposition (RF-PECVD) have been investigated using steady-state photoconductivity and improved dual beam photoconductivity (DBP) methods to identify changes in multiple gap states in annealed and light-soaked states. Four different gap states were identified in annealed state named as A, B, C, and X states. The peak energy positions of these Gaussian distributions are consistent with those recently identified by Fourier transform photocurrent spectroscopy (FTPS). After in situ light soaking, their density increases with different rates as peak energy positions and half-widths remain unaffected. The electron-occupied A and B states located below the dark Fermi level and their density and ratios in the annealed and light-soaked states correlate well with those defects detected by time-domain pulsed electron paramagnetic resonance (EPR) experiments. The A, B, and X states located closer to the middle of the bandgap anneal out at room temperature in dark and define the ""fast""states. However, the C states show no sign of room temperature annealing such that they must define the ""slow""states in undoped a-Si:H. The results found in this study indicate that the anisotropic disordered network is a more appropriate model than previously proposed defect models based on the continuous random network to define the nanostructure of undoped a-Si:H, where multiple defects, D0 and non-D0 defects, can be identified by using the improved DBP method.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-24","","","Photovoltaic Materials and Devices","","",""
"uuid:c1237833-f294-4989-91db-ded0ac086d0d","http://resolver.tudelft.nl/uuid:c1237833-f294-4989-91db-ded0ac086d0d","A household perspective on the commuting paradox: Longitudinal relationships between commuting time and subjective wellbeing for couples in China","Tao, Y. (TU Delft Urban Studies); van Ham, M. (TU Delft Urbanism); Petrović, A. (TU Delft Urban Studies); Ta, Na (East China Normal University)","","2023","Research on the experienced utility of commuting time is dominated by an individualistic view of choice concerning the trade-offs between long commutes and job- or housing-related benefits. The widely discussed phenomenon of the commuting paradox shows that individuals systematically report worse subjective wellbeing as commuting time increases over time, indicating the incomplete trade-offs and net disutility for long commutes at the individual level. This paper takes a household perspective and conducts one of the first longitudinal studies on the gendered relationship between commuting time and subjective wellbeing in China. Drawing upon the China Health and Nutrition Survey between 2006 and 2015, we used seemingly unrelated regression models and fixed-effect models not only to compare the within-individual effect but also to investigate the spill-over effect of commuting time on life satisfaction between matched samples of husbands and wives. We additionally examined the role of preschool-aged children and co-residence with their grandparents in the gendered commuting-wellbeing relationship. The results supported the individual-level commuting paradox, considering that both partners had lower levels of life satisfaction with the increase of their own commuting time. Interestingly, husbands’ life satisfaction was more negatively affected by wives’ commuting time than vice versa, while wives’ commuting utility was more related to the great time pressure from childcare and the social support from extended family members. Our research findings have implications for urban planning and governance policies which aim at mitigating job-housing mismatch, delivering accessible childcare services and transforming gendered social norms.","Causality; Developing countries; Family; Gender; Travel behaviour; Well-being","en","journal article","","","","","","","","","","Urbanism","Urban Studies","","",""
"uuid:7da602ca-94d1-440e-9e16-7c1d54bea676","http://resolver.tudelft.nl/uuid:7da602ca-94d1-440e-9e16-7c1d54bea676","Safety Assessment of the Interaction Between an Automated Vehicle and a Cyclist: A Controlled Field Test","Oskina, M.I. (Royal HaskoningDHV); Farah, H. (TU Delft Transport and Planning); Morsink, Peter (Royal HaskoningDHV); Happee, R. (TU Delft Intelligent Vehicles); van Arem, B. (TU Delft Transport and Planning)","","2023","The operation of automated vehicles (AVs) on shared roads requires attention concerning their interactions with vulnerable road users (VRUs), such as cyclists. This study investigates the safety of cyclists when they interact with an AV and compares it with their interaction with a conventional vehicle. Overall, 29 cyclists participated in a controlled field experiment consisting of interaction scenarios in which a vehicle approached the cyclist from behind. Four interaction scenarios were included: manual and automated following and manual and automated overtaking of the cyclist. The vehicle operated in all scenarios in a manual mode for safety reasons. However, before each ride, participants received information about the vehicle’s operation mode (automated or manual). The following attributes were considered: overtaking speed, overtaking lateral distance, following distance, and roadside objects. The objective and the subjective risks were evaluated in each scenario. The objective risk was assessed using the probabilistic driving risk field, and the subjective risk was assessed based on the cyclists’ selfreported risk values, cycling behavior, and their trust in AVs. The results show that automated and manual following have similar objective and subjective risks, while automated overtaking has a higher level of objective and subjective risks than manual overtaking. The results also show that a longer interaction time leads to an increase in cycling speed and a decrease in the lateral distance of the cyclist to the curb. Thus, we conclude that automated following is a safer option for short traveling distances, while for longer traveling distances, manual overtaking is preferred. Additionally, a short lateral distance from the cyclist when overtaking increases the subjective and objective risks.","advanced driver assistance systems; bicycles; human factors; modeling and forecasting; pedestrians; safety","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-28","","","Transport and Planning","","",""
"uuid:19315141-c59e-4f09-997f-ec0459f86f00","http://resolver.tudelft.nl/uuid:19315141-c59e-4f09-997f-ec0459f86f00","Theoretical model of shear capacity of steel fiber reinforced concrete beams","Lantsoght, E.O.L. (TU Delft Concrete Structures; Universidad San Francisco de Quito)","","2023","One of the barriers to implementation of steel fiber reinforced concrete (SFRC) into structural applications is the lack of understanding of the shear behaviour of SFRC members. This paper proposes a theoretical model for the shear capacity of SFRC members with mild steel reinforcement based on the shear-carrying mechanisms and sectional analysis. The model is derived completely theoretically, based on concepts from the literature, and does not include new empirical aspects. The approach is then compared to 323 experiments from the literature of beams with slender, rectangular cross-sections failing in shear. It is found that the model is slightly conservative, and experimental research necessary to further develop the model is described. The presented theory aims to be a framework for a fully theoretical description of the shear capacity of SFRC members with longitudinal reinforcement and without shear reinforcement.","Aggregate interlock; Cracking; Dowel action; Fiber properties; Fiber type; Mechanical properties; Shear; Steel fibers","en","journal article","","","","","","","","","","","Concrete Structures","","",""
"uuid:5d3ff7a4-04a2-4567-9651-3c36f3430607","http://resolver.tudelft.nl/uuid:5d3ff7a4-04a2-4567-9651-3c36f3430607","An open-source biaxial tensile tester with automated pre-tensioning for mechanical studies of canvas paintings","Iaccarino Idelson, A. (TU Delft Structural Integrity & Composites); Sánchez López, Miguel (Universitat Politécnica de Valencia); Groves, R.M. (TU Delft Structural Integrity & Composites)","","2023","The mechanical aspects of canvas painting conservation and the study of the effects of conservation treatments benefit greatly from quantifying the mechanical characteristics of the materials. However, this is seldom possible as only few labs have the necessary equipment. This paper presents the development of a biaxial tester to be used for samples of canvas paintings which exhibit orthotropic behavior under biaxial loads. The machine was built as the first step of ongoing Ph.D. research on the mechanics of canvas paintings. An effort was made to create a system that is easy to assemble, with parts that are easy to source and with an overall cost well below the commercial units available. The control software includes the function of automated pre-tensioning to improve the accuracy of the measurement. Our broader purpose here is to make an easy-to-replicate machine available to help conservators and conservation scientists perform tensile tests to make informed choices in materials science.","Biaxial tester; Canvas paintings; Mechanics of paintings; Pre-tensioning","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:2cd2f7a0-7f1a-47da-84c6-d627b21e5502","http://resolver.tudelft.nl/uuid:2cd2f7a0-7f1a-47da-84c6-d627b21e5502","GlobalMatch: Registration of forest terrestrial point clouds by global matching of relative stem positions","Wang, Xufei (Tongji University); Yang, Z. (TU Delft Urban Data Science; Tongji University); Cheng, Xiaojun (Tongji University); Stoter, J.E. (TU Delft Urban Data Science); Xu, Wenbing (Zhejiang Agriculture and Forestry University); Wu, Zhenlun (Big Data Development Administration of Yichun); Nan, L. (TU Delft Urban Data Science)","","2023","Registering point clouds of forest environments is an essential prerequisite for LiDAR applications in precision forestry. State-of-the-art methods for forest point cloud registration require the extraction of individual tree attributes, and they have an efficiency bottleneck when dealing with point clouds of real-world forests with dense trees. We propose an automatic, robust, and efficient method for the registration of forest point clouds. Our approach first locates tree stems from raw point clouds and then matches the stems based on their relative spatial relationship to determine the registration transformation. The algorithm requires no extra individual tree attributes and has quadratic complexity to the number of trees in the environment, allowing it to align point clouds of large forest environments. Extensive experiments on forest terrestrial point clouds have revealed that our method inherits the effectiveness and robustness of the stem-based registration strategy while exceedingly increasing its efficiency. Besides, we introduce a new benchmark dataset that complements the very few existing open datasets for the development and evaluation of registration methods for forest point clouds. The source code of our method and the dataset are available at https://github.com/zexinyang/GlobalMatch.","Dataset; Forest; Laser scanning; Point cloud; Registration","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-01","","","Urban Data Science","","",""
"uuid:e0c1ac33-6dc0-4193-9be7-a057aaf65d75","http://resolver.tudelft.nl/uuid:e0c1ac33-6dc0-4193-9be7-a057aaf65d75","Genotoxicity in the absence of inflammation after tungsten inhalation in mice","Sørli, Jorid B. (National Research Centre for the Working Environment, Copenhagen); Jensen, Alexander C.Ø. (National Research Centre for the Working Environment, Copenhagen); Mortensen, Alicja (National Research Centre for the Working Environment, Copenhagen); Szarek, Józef (University of Warmia and Mazury); Gutierrez, Claudia A.T. (University of Copenhagen; National Research Centre for the Working Environment, Copenhagen); Hafez, Iosif (The Cyprus Insitute); Biskos, G. (TU Delft Atmospheric Remote Sensing; The Cyprus Insitute); Hougaard, Karin S. (University of Copenhagen; National Research Centre for the Working Environment, Copenhagen); Vogel, Ulla (Technical University of Denmark; National Research Centre for the Working Environment, Copenhagen)","","2023","Tungsten is used in several applications and human exposure may occur. To assess its pulmonary toxicity, we exposed male mice to nose-only inhalation of tungsten particles at 9, 23 or 132 mg/m3 (Low, Mid and High exposure) (45 min/day, 5 days/week for 2 weeks). Increased genotoxicity (assessed by comet assay) was seen in bronchoalveolar (BAL) fluid cells at Low and High exposure. We measured acellular ROS production, and cannot exclude that ROS contributed to the observed genotoxicity. We saw no effects on body weight gain, pulmonary inflammation, lactate dehydrogenase or protein in BAL fluid, pathology of liver or kidney, or on sperm counts. In conclusion, tungsten showed non-dose dependent genotoxicity in the absence of inflammation and therefore interpreted to be primary genotoxicity. Based on genotoxicity, a Lowest Observed Adverse Effect Concentration (LOAEC) could be set at 9 mg/m3. It was not possible to establish a No Adverse Effect Concentration (NOAEC).","Comet assay; Pulmonary; Toxicity; Toxicology; Wolfram","en","journal article","","","","","","","","","","","Atmospheric Remote Sensing","","",""
"uuid:ab0360fe-e8c8-4061-ac3b-ecbf97735c61","http://resolver.tudelft.nl/uuid:ab0360fe-e8c8-4061-ac3b-ecbf97735c61","On the interplay between roughness and viscoelasticity in adhesive hysteresis","Pérez-Ràfols, Francesc (Università degli Studi di Padova; Universitat Politecnica de Catalunya); van Dokkum, J.S. (TU Delft Team Erik Offerman); Nicola, L. (TU Delft Team Marcel Sluiter; Università degli Studi di Padova)","","2023","Viscoelasticity and roughness are among the possible causes of the adhesive hysteresis displayed by soft contacts. Viscoelasticity causes an increased effective work of adhesion due to stiffening of the contact, while roughness is responsible for elastic instabilities. Herein, we explore the interplay between viscoelasticity and roughness by simulating in two dimensions the retraction of a rigid cylinder, with wavy surface profile, from a viscoelastic half-space. The wave amplitude and length are varied to induce instabilities in the load–to–area response, while the retraction velocity is increased to promote viscoelasticity. Results show that, in the regime where viscoelasticity is confined to the edges of the wavy contact, the contributions of viscoelasticity and waviness to adhesive hysteresis are nearly independent and additive. At low retraction rates, the instabilities in the load-area curve typical of rough elastic contacts are suppressed by viscoelasticity: the contact stiffens to promote a stable decrease of the contact area with load. This occurs with a minimal change in work of adhesion. However, when the instantaneous limit is met at high retraction rates, mechanical instabilities appear.","Adhesion and adhesives; Adhesive hysteresis; Contact mechanics; Viscoelastic material","en","journal article","","","","","","","","","","","Team Erik Offerman","","",""
"uuid:7fb250da-fe4f-4756-ac14-58d832341283","http://resolver.tudelft.nl/uuid:7fb250da-fe4f-4756-ac14-58d832341283","Cavitation erosion risk assessment for a marine propeller behind a Ro-Ro container vessel","Melissaris, Themistoklis (TU Delft Ship Hydromechanics and Structures; Wärtsilä Netherlands BV); Schenke, Sören (Otto-von-Guericke University); van Terwisga, T.J.C. (TU Delft Ship Hydromechanics and Structures; Maritime Research Institute Netherlands (MARIN))","","2023","A novel cavitation erosion risk model, developed by Schenke et al. [""On the relevance of kinematics for cavitation implosion loads,""Phys. Fluids 31, 052102 (2019)], is applied to compute the cavitation implosion loads. The instantaneous energy balance during the collapse of cavitating structures is considered, where the initial potential energy is first converted into collapse-induced kinetic energy, before it is radiated to the surrounding surface at the final stage of the collapse. In this study, we focus on assessing the cavitation development and the risk of erosion on the blades of propellers operating behind a Ro-Ro container vessel. The presence of the hull contributes to the non-uniformity of the inflow. The consequent variation in velocities and angles of attack leads to the amplification of the cavitation dynamics, especially when the blade passes through the top position. Two designs are investigated that experience cavitation erosion on the pressure side. A statistical filter is used to attenuate low-amplitude implosion loads and identify the extreme events on the blade. The results show a very good correlation with the position of the actual erosion damage on the real propeller blades.","","en","journal article","","","","","","","","","","","Ship Hydromechanics and Structures","","",""
"uuid:cf7b686f-e4de-40c4-994e-b9b824e2ae31","http://resolver.tudelft.nl/uuid:cf7b686f-e4de-40c4-994e-b9b824e2ae31","Biomass-specific rates as key performance indicators: A nitrogen balancing method for biofilm-based electrochemical conversion","Winkelhorst, O.M. (TU Delft BT/Bioprocess Engineering); Cabau Peinado, O. (TU Delft BT/Bioprocess Engineering); Straathof, Adrie J.J. (TU Delft BT/Bioprocess Engineering); Jourdin, L. (TU Delft BT/Bioprocess Engineering)","","2023","Microbial electrochemical technologies (METs) employ microorganisms utilizing solid-state electrodes as either electron sink or electron source, such as in microbial electrosynthesis (MES). METs reaction rate is traditionally normalized to the electrode dimensions or to the electrolyte volume, but should also be normalized to biomass amount present in the system at any given time. In biofilm-based systems, a major challenge is to determine the biomass amount in a non-destructive manner, especially in systems operated in continuous mode and using 3D electrodes. We developed a simple method using a nitrogen balance and optical density to determine the amount of microorganisms in biofilm and in suspension at any given time. For four MES reactors converting CO2 to carboxylates, >99% of the biomass was present as biofilm after 69 days of reactor operation. After a lag phase, the biomass-specific growth rate had increased to 0.12–0.16 days−1. After 100 days of operation, growth became insignificant. Biomass-specific production rates of carboxylates varied between 0.08–0.37 molC molX−1d−1. Using biomass-specific rates, one can more effectively assess the performance of MES, identify its limitations, and compare it to other fermentation technologies.","bioelectrochemistry; biofilm; biomass-specific rates; chain elongation; CO2 conversion; continuous bioreactors; electroactive bacteria; microbial electrosynthesis","en","journal article","","","","","","","","","","","BT/Bioprocess Engineering","","",""
"uuid:b18af0a6-56fa-4aa5-8c9e-a928fc8efc11","http://resolver.tudelft.nl/uuid:b18af0a6-56fa-4aa5-8c9e-a928fc8efc11","Identification of carbon-containing phases in electrodeposited hard Fe–C coatings with intentionally codeposited carbon","Marcoen, Kristof (Vrije Universiteit Brussel; OCAS); Gauvin, Mélanie (OCAS); De Cleene, Ansbert (OCAS); Obitsø Nielsen, Jacob (Technical University of Denmark; Fiberline Composites A/S); Baert, Kitty (Vrije Universiteit Brussel); Terryn, H.A. (TU Delft Team Arjan Mol; Vrije Universiteit Brussel); De Strycker, Joost (OCAS); Hauffman, Tom (Vrije Universiteit Brussel); Pantleon, Karen (Technical University of Denmark)","","2023","Electrodeposition from an environmentally friendly iron sulfate electrolyte with citric acid as carbon source has gained attention recently, because of excellent mechanical properties of the resulting Fe–C coatings with intentionally codeposited high-carbon concentrations. While being very attractive as protective coatings and sustainable alternatives for hard chrome coatings, comprehensive understanding of the coatings' chemical constitution including the type and location of carbon-containing phases is still lacking. The amount of codeposited carbon of up to about 0.8 wt.% significantly exceeds the solubility of carbon in ferrite, although carbon-free ferrite is the only unambiguously reported phase in as-deposited Fe–C coatings so far. In the present work, time-of-flight secondary ion mass spectrometry, X-ray photoelectron spectroscopy, and soft X-ray emission spectroscopy have been applied to identify the carbon-containing phases, which are present as minor secondary phases in the coatings but are known to have an important influence on the coatings' properties. Three carbon-containing phases could be distinguished, homogeneously distributed in the nanocrystalline ferrite base material. Iron acetates, amorphous carbon, and carbides were found in both as-deposited and annealed Fe–C coatings up to 300°C, but their fraction changes during postdeposition annealing.","annealing; carbon; chemical analysis; electrodeposition; Fe–C coating","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-25","","","Team Arjan Mol","","",""
"uuid:a9ca3258-cfee-468a-9a9a-4bfbb591661b","http://resolver.tudelft.nl/uuid:a9ca3258-cfee-468a-9a9a-4bfbb591661b","Geometry optimization of a continuous millireactor via CFD and Bayesian optimization","Begall, Moritz J. (Rheinisch-Westfälische Technische Hochschule); Schweidtmann, A.M. (TU Delft ChemE/Product and Process Engineering; Rheinisch-Westfälische Technische Hochschule); Mhamdi, Adel (Rheinisch-Westfälische Technische Hochschule); Mitsos, Alexander (Rheinisch-Westfälische Technische Hochschule; Forschungszentrum Jülich GmbH)","","2023","Computational Fluid Dynamics (CFD) is a powerful tool which can help with the geometry optimization of continuous milli-scale reactors, which often are highly complex devices. Attempting to perform this optimization by manually modifying and testing geometry configurations can however be tedious and computationally inefficient. Addressing this problem, we present a framework in which the CFD software COMSOL Multiphysics is coupled with the multi-objective Bayesian Optimization algorithm TSEMO (Thompson sampling efficient multiobjective optimization), implemented in MATLAB. The mixing element geometry of a Miprowa Lab millireactor is parameterized, and the framework automatically executes CFD simulations to minimize areas of stagnating flow and maximize the mixing performance. The framework is able to find Pareto-optimal reactor variations, and can easily be adapted for other devices and objectives.","Bayesian optimization; CFD; Fouling; Hydrodynamics; Millireactor","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-24","","","ChemE/Product and Process Engineering","","",""
"uuid:a233862d-9685-4eb0-b9ef-634f7f100a7e","http://resolver.tudelft.nl/uuid:a233862d-9685-4eb0-b9ef-634f7f100a7e","Experimental study on the development mechanism of short pitch corrugation using a downscale V-Track test rig","Zhang, P. (TU Delft Railway Engineering); Li, Z. (TU Delft Railway Engineering)","","2023","This paper presents an experimental study on the development mechanism of short pitch corrugation using an innovative downscale V-Track test rig. Rail corrugation is reproduced in the V-Track with two wavelengths of 6.4 mm and 8.9 mm. Based on the measured wheel-rail contact forces, track dynamic behaviors, and observations, the consistent development mechanisms of short pitch corrugation are identified. It is found the longitudinal mode at 574 Hz and the induced longitudinal dynamic force are dominant for corrugation formation at the major wavelength of 6.4 mm. This paper provides the first experimental evidence indicating that rail longitudinal vibration mode and its induced longitudinal force contribute to the consistent development of short pitch corrugation.","Rail vibration modes; Short pitch corrugation; V-Track test rig; Wheel-rail dynamic contact forces","en","journal article","","","","","","","","","","","Railway Engineering","","",""
"uuid:35c6062e-d62c-4426-8b33-ca89eebd931d","http://resolver.tudelft.nl/uuid:35c6062e-d62c-4426-8b33-ca89eebd931d","Convective instabilities in a laminar shock-wave/boundary-layer interaction","Niessen, S.E.M. (TU Delft Aerodynamics; Sart Tilman B52); Groot, K.J. (TU Delft Aerodynamics; Texas A and M University); Hickel, S. (TU Delft Aerodynamics); Terrapon, Vincent E. (Sart Tilman B52)","","2023","Linear stability analyses are performed to study the dynamics of linear convective instability mechanisms in a laminar shock-wave/boundary-layer interaction at Mach 1.7. In order to account for all two-dimensional gradients elliptically, we introduce perturbations into an initial-value problem that are found as solutions to an eigenvalue problem formulated in a moving frame of reference. We demonstrate that this methodology provides results that are independent of the numerical setup, frame speed, and type of eigensolutions used as initial conditions. The obtained time-integrated wave packets are then Fourier-transformed to recover individual-frequency amplification curves. This allows us to determine the dominant spanwise wavenumber and frequency yielding the largest amplification of perturbations in the shock-induced recirculation bubble. By decomposing the temporal wave-packet growth rate into the physical energy-production processes, we provide an in-depth characterization of the convective instability mechanisms in the shock-wave/boundary-layer interaction. For the particular case studied, the largest growth rate is achieved in the near-vicinity of the bubble apex due to the wall-normal (productive) and streamwise (destructive) Reynolds-stress energy-production terms. We also observe that the Reynolds heat-flux effects are similar but contribute to a smaller extent.","","en","journal article","","","","","","","","","","","Aerodynamics","","",""
"uuid:6a687c73-a63e-4dc0-b867-4ee80cbd744d","http://resolver.tudelft.nl/uuid:6a687c73-a63e-4dc0-b867-4ee80cbd744d","Search-based task and motion planning for hybrid systems: Agile autonomous vehicles","Ajanović, Z. (TU Delft Learning & Autonomous Control; Pavia University); Regolin, Enrico (Pavia University); Shyrokau, B. (TU Delft Intelligent Vehicles); Ćatić, Hana (University of Sarajevo); Horn, Martin (Graz University of Technology); Ferrara, Antonella (Pavia University)","","2023","To achieve optimal robot behavior in dynamic scenarios we need to consider complex dynamics in a predictive manner. In the vehicle dynamics community, it is well know that to achieve time-optimal driving on low friction surface, the vehicle should utilize drifting. Hence, many authors have devised rules to split circuits and employ drifting on some segments. These rules are suboptimal and do not generalize to arbitrary circuit shapes (e.g., S-like curves). So, the question “When to go into which mode and how to drive in it?” remains unanswered. To choose the suitable mode (discrete decision), the algorithm needs information about the feasibility of different modes (continuous motion). This makes it a class of Task and Motion Planning (TAMP) problems, which are known to be hard to solve optimally in real-time. In the AI planning community, search methods are commonly used. However, they cannot be directly applied to TAMP problems due to the continuous component. Here, we present a search-based method that effectively solves this problem and efficiently searches in a highly dimensional state space with nonlinear and unstable dynamics. The space of the possible trajectories is explored by sampling different combinations of motion primitives guided by the search. Our approach allows to use multiple locally approximated models to generate motion primitives (e.g., learned models of drifting) and effectively simplify the problem without losing accuracy. The algorithm performance is evaluated in simulated driving on a mixed-track with segments of different curvatures (right and left). Our code is available at https://git.io/JenvB.","Autonomous vehicles; Behavior planning; Hybrid systems; Motion planning; Search; Task and motion planning","en","journal article","","","","","","","","","","","Learning & Autonomous Control","","",""
"uuid:8a4900e5-644d-4f41-9f29-52bf9d8ac57c","http://resolver.tudelft.nl/uuid:8a4900e5-644d-4f41-9f29-52bf9d8ac57c","Intra-renal microcirculatory alterations on non-traumatic hemorrhagic shock induced acute kidney injury in pigs","Ergin, Bülent (Erasmus MC); van Rooij, Tom (Erasmus MC); Lima, Alex (Erasmus MC); Ince, Yasin (Universiteit van Amsterdam); Specht, Patricia Ac (Erasmus MC); Mik, E.G. (Erasmus MC); Aksu, Ugur (Istanbul University); Yavuz-Aksu, Berna (Istanbul Technical University); de Jong, N. (TU Delft ImPhys/De Jong group; Erasmus MC)","","2023","Acute kidney injury (AKI) is frequently seen in patients with hemorrhagic shock due to hypotension, tissue hypoxia, and inflammation despite adequate resuscitation. There is a lack of information concerning the alteration of renal microcirculation and perfusion during shock and resuscitation. The aim of this study was to investigate the possible role of renal microcirculatory alterations on development of renal dysfunction in a pig model of non-traumatic hemorrhagic shock (HS) induced AKI. Fully instrumented female pigs were divided into the two groups as Control (n = 6) and HS (n = 11). HS was achieved by withdrawing blood until mean arterial pressure (MAP) reached around 50 mmHg. After an hour cessation period, fluid resuscitation with balanced crystalloid was started for the duration of 1 h. The systemic and renal hemodynamics, renal microcirculatory perfusion (contrast-enhanced ultrasound (CEUS)) and the sublingual microcirculation were measured. CEUS peak enhancement was significantly increased in HS during shock, early-, and late resuscitation indicating perfusion defects in the renal cortex (p < 0.05 vs. baseline, BL) despite a stable renal blood flow (RBF) and urine output. Following normalization of systemic hemodynamics, we observed persistent hypoxia (high lactate) and high red blood cell (RBC) velocity just after initiation of resuscitation resulting in further endothelial and renal damage as shown by increased plasma sialic acid (p < 0.05 vs. BL) and NGAL levels. We also showed that total vessel density (TVD) and functional capillary density (FCD) were depleted during resuscitation (p < 0.05). In this study, we showed that the correction of systemic hemodynamic variables may not be accompanied with the improvement of renal cortical perfusion, intra-renal blood volume and renal damage following fluid resuscitation. We suggest that the measurement of renal injury biomarkers, systemic and renal microcirculation can be used for guiding to the optimization of fluid therapies.","Damage; Hemorrhagic shock; Renal perfusion; Resuscitation","en","journal article","","","","","","","","","","","ImPhys/De Jong group","","",""
"uuid:73e633b5-7091-4369-8ba0-d5d3f0658e86","http://resolver.tudelft.nl/uuid:73e633b5-7091-4369-8ba0-d5d3f0658e86","Why we like to touch: Consumers' tactile esthetic appreciation explained by a balanced combination of unity and variety in product designs","Post, Ruben A.G. (External organisation); Blijlevens, Janneke (Royal Melbourne Institute of Technology); Hekkert, P.P.M. (TU Delft Design Aesthetics); Saakes, Daniel (University of Twente); Arango, Luis (Royal Melbourne Institute of Technology)","","2023","Tactile experiences are a pivotal part of consumer behavior and choice. However, very little is known about why consumers esthetically appreciate touching products. The principle of Unity-in-Variety, stating that consumers like to perceive variety but only when this variety is presented as a coherent whole, has been shown to partly explain consumers' esthetic appreciation in the visual domain. We theorize that the psychological mechanisms underlying the esthetic principle of Unity-in-Variety are modality-independent, and therefore that this principle also applies to consumers' tactile esthetic appreciation. Across three studies, using existing products and novel 3D printed product designs systematically manipulated along the perceptual dimensions of unity and variety, we show that both unity and variety independently contribute to tactile esthetic appreciation. Furthermore, because unity and variety are inherently partial opposites, esthetic appreciation of products is highest when both unity and variety are simultaneously maximized.","esthetic appreciation; Gestalt laws; product design; tactile perception","en","journal article","","","","","","","","","","","Design Aesthetics","","",""
"uuid:02622733-8f56-48c6-ae7d-03c987175ecc","http://resolver.tudelft.nl/uuid:02622733-8f56-48c6-ae7d-03c987175ecc","Converging-diverging shock-driven instabilities along soft hydrogel surfaces","Pickard, Daniel (Massachusetts Institute of Technology); Martynowych, Dmitro (Massachusetts Institute of Technology); Lem, Jet (Massachusetts Institute of Technology); Koshakji, Anwar (Massachusetts Institute of Technology); Lin, Shaoting (Massachusetts Institute of Technology); Zhao, Xuanhe (Massachusetts Institute of Technology); Nelson, Keith (Massachusetts Institute of Technology); Giovanardi, Bianca (TU Delft Aerospace Structures & Computational Mechanics; Massachusetts Institute of Technology); Radovitzky, Raul (Massachusetts Institute of Technology)","","2023","Intense surface eruptions are observed along the curved surface of a confined cylindrical film of hydrogel subject to laser-induced converging-diverging shock loading. Detailed numerical simulations are used to identify the dominant mechanisms causing mechanical instability. The mechanisms that produce surface instability are found to be fundamentally different from both acoustic parametric instability and shock-driven Richtmyer-Meshkov instability. The time scale of observed and simulated eruption formation is much larger than that of a single shock reflection, in stark contrast to previously studied shock-driven instabilities. Moreover, surface undulations are only found along external, as opposed to internal, soft solid boundaries. Specifically, classic bubble surface instability mechanisms do not occur in our experiments and here we comment only on the new surface undulations found along the outer boundary of solid hydrogel cylinders. Our findings indicate a new class of impulsively excited surface instability that is driven by cycles of internal shock reflections.","","en","journal article","","","","","","","","","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:36acd586-e932-46ae-ae53-11723a5090d5","http://resolver.tudelft.nl/uuid:36acd586-e932-46ae-ae53-11723a5090d5","Observing circumplanetary disks with METIS","Oberg, N.O. (TU Delft Astrodynamics & Space Missions; Rijksuniversiteit Groningen); Kamp, I. (Rijksuniversiteit Groningen); Cazaux, S.M. (TU Delft Astrodynamics & Space Missions; Universiteit Leiden); Rab, Ch (Ludwig Maximilians University; Max Planck Institute for Extraterrestrial Physics Garching); Czoske, O. (University of Vienna)","","2023","Context. Gaining a full understanding of the planet and moon formation process calls for observations that probe the circumplanetary environment of accreting giant planets. The mid-infrared ELT imager and spectrograph (METIS) will provide a unique capability to detect warm-gas emission lines from circumplanetary disks. Aims. We aim to demonstrate the capability of the METIS instrument on the Extremely Large Telescope (ELT) to detect circumplanetary disks (CPDs) with fundamental v = 1 0 transitions of 12CO from 4.5 to 5 μm. Methods. We considered the case of the well-studied HD 100546 pre-transitional disk to inform our disk modeling approach. We used the radiation-thermochemical disk modeling code ProDiMo to produce synthetic spectral channel maps. The observational simulator SimMETIS was employed to produce realistic data products with the integral field spectroscopic (IFU) mode. Results. The detectability of the CPD depends strongly on the level of external irradiation and the physical extent of the disk, favoring massive (∼10 MJ) planets and spatially extended disks, with radii approaching the planetary Hill radius. The majority of 12CO line emission originates from the outer disk surface and, thus, the CO line profiles are centrally peaked. The planetary luminosity does not contribute significantly to exciting disk gas line emission. If CPDs are dust-depleted, the 12CO line emission is enhanced as external radiation can penetrate deeper into the line emitting region. Conclusions. UV-bright star systems with pre-transitional disks are ideal candidates to search for CO-emitting CPDs with ELT/METIS. METIS will be able to detect a variety of circumplanetary disks via their fundamental 12CO ro-vibrational line emission in only 60 s of total detector integration time.","Accretion, accretion disks; Infrared: planetary systems; Methods: numerical; Planets and satellites: formation; Planets and satellites: individual: HD 100546 c; Protoplanetary disks","en","journal article","","","","","","","","","","","Astrodynamics & Space Missions","","",""
"uuid:0fd002f8-2386-4735-b5e7-a2fae3608152","http://resolver.tudelft.nl/uuid:0fd002f8-2386-4735-b5e7-a2fae3608152","Extracting mud invasion information using borehole radar - A numerical study","Zhou, F. (TU Delft Applied Geophysics and Petrophysics; China University of Geosciences, Wuhan); Giannakis, Iraklis (University of Aberdeen); Giannopoulos, Antonios (University of Edinburgh); Holliger, Klaus (University of Lausanne); Slob, E.C. (TU Delft Applied Geophysics and Petrophysics)","","2023","In hydrocarbon drilling, mud filtrate penetrates permeable formations and alters the pore fluid characteristics in the immediate vicinity of the borehole. Typically, the prevailing in situ pore fluids are displaced by the invading mud filtrate, which leads to gradually changing distributions of the fluid and electrical properties. Understanding this invasion process is crucial for the interpretation of logging data and associated reservoir evaluations. Conventional logging methods tend to be inadequate for this purpose as their resolution is too low. We find that invasion depth can be determined from borehole radar data using an optimized antenna configuration and time-lapse measurements. A series of parametric sensitivity analyses provide information about the effects of variations of the rock and fluid properties on the identification and extraction of borehole radar signals reflected from the invasion front. Our results suggest that by embedding the radar antennas in cavities filled with an absorbing dielectric material, it is possible to minimize the interference arising from the metal components of the logging tool. In the simulated reservoir scenario, a time-lapse measurement mode with a time interval of at least 6 h can reliably extract the radar signals reflected from the invasion front, and the proposed borehole radar has a lateral detection range from 0.15 to 1 m. A comprehensive range of parametric sensitivity analyses indicates that the signals reflected from the invasion front are principally influenced by oil viscosity, porosity, and mud and formation water salinity, as well as by molecular diffusion coefficient and cementation exponent. These properties and parameters should be carefully explored and assessed when applying borehole radar to evaluate mud invasion information in a reservoir environment.","borehole geophysics; ground-penetrating radar","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-09-01","","","Applied Geophysics and Petrophysics","","",""
"uuid:faab860f-9df9-4691-a293-98c5bd5ea1dd","http://resolver.tudelft.nl/uuid:faab860f-9df9-4691-a293-98c5bd5ea1dd","Cleavage fracture micromechanisms in simulated heat affected zones of S690 high strength steels","Morete Barbosa Bertolo, V. (TU Delft Team Vera Popovich); Jiang, Q. (TU Delft Steel & Composite Structures); Terol Sanchez, M. (TU Delft Team Vera Popovich); Riemslag, A.C. (TU Delft Team Vera Popovich); Walters, C.L. (TU Delft Ship Hydromechanics and Structures; TNO); Sietsma, J. (TU Delft Team Kevin Rossi); Popovich, V. (TU Delft Team Vera Popovich)","","2023","High strength steels are widely used for structural applications, where a combination of excellent strength and ductile-to-brittle transition (DBT) properties are required. However, such a combination of high strength and toughness can be deteriorated in the heat affected zone (HAZ) after welding. This work aims to develop a relationship between microstructure and cleavage fracture in the most brittle areas of welded S690 high strength structures: coarse-grained and intercritically reheated coarse-grained HAZ (CGHAZ and ICCGHAZ). Gleeble thermal simulations were performed to generate three microstructures: CGHAZ and ICCGHAZ at 750 and 800 °C intercritical peak temperatures. Their microstructures were characterised, and the tensile and fracture properties were investigated at − 40 °C, where cleavage is dominant. Results show that despite the larger area fraction of martensite-austenite (M-A) constituents in ICCGHAZ 750 °C, the CGHAZ is the zone with the lowest fracture toughness. Although M-A constituents are responsible for triggering fracture, their small size (less than 1 μm) results in local stress that is insufficient for fracture. Crack propagation is found to be the crucial fracture step. Consequently, the harder auto-tempered matrix of CGHAZ leads to the lowest fracture toughness. The main crack propagates transgranularly, along {100} and {110} planes, and neither the necklace structure at prior austenite grain boundaries of ICCGHAZs nor M-A constituents are observed as preferential sites for crack growth. The fracture profile shows that prior austenite grain boundaries and other high-angle grain boundaries (e.g., packet and block) with different neighbouring Bain axes can effectively divert the cleavage crack. Moreover, M − A constituents with internal sub-structures, which have high kernel average misorientation and high-angle boundaries, are observed to deflect and arrest the secondary cracks. As a result, multiple pop-ins in load-displacement curves during bending tests are observed for the investigated HAZs.","Cleavage fracture toughness; Gleeble; HAZ; High strength steel; M-A constituents; Pop-in","en","journal article","","","","","","","","","","","Team Vera Popovich","","",""
"uuid:35d96ea3-00c0-48bd-98d8-9f4ec96a9bcc","http://resolver.tudelft.nl/uuid:35d96ea3-00c0-48bd-98d8-9f4ec96a9bcc","Tumour-educated platelets for breast cancer detection: biological and technical insights","Liefaard, Marte C. (Netherlands Cancer Institute); Moore, Kat S. (Netherlands Cancer Institute); Mulder, Lennart (Netherlands Cancer Institute); van den Broek, Daan (Netherlands Cancer Institute); Wesseling, Jelle (Netherlands Cancer Institute; Leiden University Medical Center); Sonke, Gabe S. (Netherlands Cancer Institute); Wessels, L.F.A. (TU Delft Pattern Recognition and Bioinformatics; Netherlands Cancer Institute); Rookus, Matti (Netherlands Cancer Institute); Lips, Esther H. (Netherlands Cancer Institute)","","2023","Background: Studies have shown that blood platelets contain tumour-specific mRNA profiles tumour-educated platelets (TEPs). Here, we aim to train a TEP-based breast cancer detection classifier. Methods: Platelet mRNA was sequenced from 266 women with stage I–IV breast cancer and 212 female controls from 6 hospitals. A particle swarm optimised support vector machine (PSO-SVM) and an elastic net-based classifier (EN) were trained on 71% of the study population. Classifier performance was evaluated in the remainder (29%) of the population, followed by validation in an independent set (37 cases and 36 controls). Potential confounding was assessed in post hoc analyses. Results: Both classifiers reached an area under the curve (AUC) of 0.85 upon internal validation. Reproducibility in the independent validation set was poor with an AUC of 0.55 and 0.54 for the PSO-SVM and EN classifier, respectively. Post hoc analyses indicated that 19% of the variance in gene expression was associated with hospital. Genes related to platelet activity were differentially expressed between hospitals. Conclusions: We could not validate two TEP-based breast cancer classifiers in an independent validation cohort. The TEP protocol is sensitive to within-protocol variation and revision might be necessary before TEPs can be reconsidered for breast cancer detection.","","en","journal article","","","","","","correction: the data availability statement was incorrectly given. “EGAS0000100682” should have been “EGAS00001006821”. The original article has been corrected (the pdf file has been changed now)","","","","","Pattern Recognition and Bioinformatics","","",""
"uuid:02a612da-d827-459c-aaf1-b56a39cb0340","http://resolver.tudelft.nl/uuid:02a612da-d827-459c-aaf1-b56a39cb0340","Repair concrete structures with high-early-strength engineered cementitious composites (HES-ECC): Material design and interfacial behavior","Jiang, Bo (Southeast University); Qian, Z. (TU Delft Concrete Structures; Southeast University); Gu, D. (TU Delft Concrete Structures; Southeast University); Pan, Jinlong (Southeast University)","","2023","Aimed at realizing the effective strengthening and durable repair of concrete structures, particularly in emergencies like traffic interruption triggered by broken roads and damaged bridges, nine groups of specimens were designed and tested in this paper to develop the high-early-strength Engineered Cementitious Composites (HES-ECC) featured as both high early-strength and superior long-term-deformability. The high-early-strength effect of sulphoaluminate cement, silica fume, and Portland cement on HES-ECC was compared, as well as their influence on the deformation ability of HES-ECC. Moreover, the interfacial behaviors between HES-ECC and existing concrete structure were clarified, considering the effects of interfacial agents, interfacial treatment methods, and interfacial roughness. The results indicate that HES-ECC with 6% silica fume mixed could obtain both the high early-strength and superior long-term-deformability. The flexural strength at 3 h could reach 66.67% of that at 28d. The compressive strength could reach up to 28.7 MPa at 3 h, and the ultimate tensile strain could remain 4.21% at 28d. Cement paste interfacial agent could enhance the chemical adhesive bonding between HES-ECC and existing concrete while polymer modified interfacial agent was incompatible. The increased roughness of chiseled interface was beneficial to both the bearing capacity and the deformation ability. Interfacial shear performance of the grooved interface was scarcely deteriorated even if the roughness decreased by 54.18% compared with the chiseled interface. The recommended interfacial treatment is chiseled interface combined with grooved interface, as well as a thickness of 1–2 mm cement paste interfacial agent. This study provides valuable and credible experimental data for promoting the application of HES-ECC in repairing existing concrete structures in practice.","Deformability; ECC; High-early-strength; Interfacial behavior","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-10","","","Concrete Structures","","",""
"uuid:235be208-405c-48b5-9355-2510d79f41da","http://resolver.tudelft.nl/uuid:235be208-405c-48b5-9355-2510d79f41da","Effects of Pump Photon Energy on Generation and Ultrafast Relaxation of Excitons and Charge Carriers in CdSe Nanoplatelets","Failla, M. (TU Delft ChemE/Opto-electronic Materials); García Flórez, Fransisco (Universiteit Utrecht); Salzmann, Bastiaan B.V. (Universiteit Utrecht); Vanmaekelbergh, Daniel (Universiteit Utrecht); Stoof, Henk T.C. (Universiteit Utrecht); Siebbeles, L.D.A. (TU Delft ChemE/Opto-electronic Materials)","","2023","We studied the initial nature and relaxation of photoexcited electronic states in CdSe nanoplatelets (NPLs). Ultrafast transient optical absorption (TA) measurements were combined with the theoretical analysis of the formation and decay of excitons, biexcitons, free charge carriers, and trions. In the latter, photons and excitons were treated as bosons and free charge carriers as fermions. The initial quantum yields of heavy-hole (HH) excitons, light-hole (LH) excitons, and charge carriers vary strongly with photon energy, while thermal relaxation occurs always within 1 ps. After that, the population of LH excitons is negligible due to relaxation to HH excitons or decay into free electrons and holes. Up to the highest average number of about four absorbed photons per NPL in our experiments, we found no signatures of the presence of biexcitons or larger complexes. Biexcitons were only observed due to the interaction of a probe-generated exciton with an exciton produced previously by the pump pulse. For higher pump photon energies, the initial presence of more free charge carriers leads to formation of trions by probe photons. On increasing the number of absorbed pump photons in an NPL, the yield of excitons becomes higher as compared to free charge carriers, since electron-hole recombination becomes more likely. In addition to a TA absorption feature at energy below the HH exciton peak, we also observed a TA signal at the high-energy side of this peak, which we attribute to formation of LH-HH biexcitons or trions consisting of a charge and LH exciton.","","en","journal article","","","","","","","","","","","ChemE/Opto-electronic Materials","","",""
"uuid:29d564c9-b01c-432b-b9ce-2688722a35a4","http://resolver.tudelft.nl/uuid:29d564c9-b01c-432b-b9ce-2688722a35a4","Isobaric Vapor-Liquid Equilibrium Data for Tetrahydrofuran + Acetic Acid and Tetrahydrofuran + Trichloroethylene Mixtures","Parsana, Vyomesh M. (Gujarat Technological University); Parikh, Sachin (Gujarat Technological University); Ziniya, Keval (Gujarat Technological University); Dave, Hirvita (Gujarat Technological University); Gadhiya, Piyush (Government Polytechnic); Joshi, Kedar (Gujarat Technological University); Gandhi, Dolly (Gujarat Technological University); Vlugt, T.J.H. (TU Delft Engineering Thermodynamics); Ramdin, M. (TU Delft Engineering Thermodynamics)","","2023","Vapor-liquid equilibrium (VLE) data for the binary systems tetrahydrofuran (THF) + acetic acid (AA) and THF + trichloroethylene (TCE) were measured under isobaric conditions using an ebulliometer. The boiling temperatures for the systems (THF + AA/THF + TCE) are reported for 13/15 compositions and five/six different pressures ranging from 50.2/60.0 to 101.1/101.3 kPa, respectively. The THF + AA system shows simple phase behavior with no azeotrope formation. The THF + TCE system does not exhibit azeotrope formation but seems to have a pinch point close to the pure end of TCE. The nonrandom two-liquid (NRTL) and universal quasichemical (UNIQUAC) activity coefficient models were used to accurately fit the binary (PTx) data. Both models were able to fit the binary VLE data satisfactorily. However, the NRTL model was found to be slightly better than UNIQUAC model in fitting the VLE data for both systems. The results can be used for designing liquid-liquid extraction and distillation processes involving mixtures of THF, AA, and TCE.","","en","journal article","","","","","","","","","","","Engineering Thermodynamics","","",""
"uuid:4535de4e-bf70-4b3f-9fd7-0e7bc6f749c6","http://resolver.tudelft.nl/uuid:4535de4e-bf70-4b3f-9fd7-0e7bc6f749c6","From circular strategies to actions: 65 European circular building cases and their decarbonisation potential","Nußholz, Julia (Rambøll Management Consulting); Çetin, Sultan (TU Delft Real Estate Management); Eberhardt, Leonora (COWI); De Wolf, Catherine (ETH Zürich); Bocken, Nancy (Maastricht University School of Business and Economics)","","2023","The application of the circular economy (CE) in the building industry is critical for achieving the carbon reduction goals defined in the Paris Agreement and is increasingly promoted through European policies. In recent years, CE strategies have been applied and tested in numerous building projects in practice. However, insights into their application and decarbonisation potential are limited. This study analysed and visualised 65 novel real-world cases of new build, renovation, and demolition projects in Europe compiled from academic and grey literature. Cases were analysed regarding the circular solution applied, level of application in buildings, and decarbonisation potential reported, making this study one of the first comprehensive studies on the application and decarbonisation potential of circular strategies in the building industry in practice. The identified challenges of using LCA for CE assessment in buildings are discussed and methodological approaches for future research are suggested.","Carbon emissions; Circular buildings; Circular built environment; Circular economy; Climate change; Sustainability","en","journal article","","","","","","","","","","","Real Estate Management","","",""
"uuid:32100e99-e9e3-4eb5-95ae-86c63108b4b1","http://resolver.tudelft.nl/uuid:32100e99-e9e3-4eb5-95ae-86c63108b4b1","Iron and Manganese Alginate for Rechargeable Battery Electrodes","Kiriinya, L.K. (TU Delft RST/Storage of Electrochemical Energy; University of Nairobi); Kwakernaak, M.C. (TU Delft RST/Storage of Electrochemical Energy); Van den Akker, Simone C.D. (Student TU Delft); Verbist, Guy L.M.M. (Shell Global Solutions International B.V.); Picken, S.J. (TU Delft ChemE/Advanced Soft Matter); Kelder, E.M. (TU Delft RST/Storage of Electrochemical Energy)","","2023","We present a sustainable, inherently safe battery chemistry that is based on widely available and cheap materials, that is, iron and manganese hosted in alginate bio-material known from the food and medical industry. The resulting battery can be recycled to allow circularity. The electrodes were synthesised by the alginate caging the multi-valent metals to form a hydrogel in an aqueous environment. Characterisation includes FTIR, XPS and Mössbauer spectroscopy. The electrochemical performance of the electrodes was investigated by performing cyclic voltammetry (CV) and (dis)charge experiments. Mn and Fe ions show good co-ordination with the alginic acid with higher oxidation states demonstrating complex bonding behaviour. The non-optimised iron and manganese alginate electrodes already exhibit a cycling efficiency of 98% and 69%, respectively. This work shows that Fe and Mn atomically disperse in a bio-based host material and can act as electrodes in an aqueous battery chemistry. While demonstrated at cell level, it is furthermore explained how these materials can form the basis for a (semi-solid) flow cell.","alginates; electrode material; polysaccharide; rechargeable battery","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:dd814b76-6dc8-4390-825a-03f500e39778","http://resolver.tudelft.nl/uuid:dd814b76-6dc8-4390-825a-03f500e39778","Influence of erosion on piping in terms of field conditions","Pol, J.C. (TU Delft Hydraulic Structures and Flood Risk; HKV Consultant)","","2023","The Shields–Darcy (SD) model by Hoffmans and Van Rijn (Citation2018) describes the resistance of hydraulic structures to backward erosion piping, which is a form of internal erosion. In the article being discussed, Hoffmans compares the SD model to the model by Sellmeijer et al. (Citation2011), focusing on field scales. This Discussion presents finite element simulations that deviate from Hoffmans’ conclusions that the model by Sellmeijer et al. (Citation2011) results in an unrealistically low critical gradient. As both the SD and Sellmeijer models fit reasonably well to laboratory experiments (Hoffmans & Van Rijn, Citation2018), extrapolation to field scales (say aquifer thickness D > 5 m, seepage length L > 10 m) is important, particularly since these models are used for the design of flood defences. Hoffmans addresses this issue by analysing the resistance as function of aquifer depth D. Hoffmans recommends checking the outcomes of the SD model with a mathematical piping model like that of Van Esch et al. (Citation2013).","","en","journal article","","","","","","","","","","","Hydraulic Structures and Flood Risk","","",""
"uuid:470dbd59-7ff6-4ce5-8d63-8fbfef8851a7","http://resolver.tudelft.nl/uuid:470dbd59-7ff6-4ce5-8d63-8fbfef8851a7","As essential as bread: Fuelwood use as a cultural practice to cope with energy poverty in Europe","Stojilovska, Ana (Centre for Social Sciences); Dokupilová, Dušana (Mathematical Institute of Slovak Academy of Sciences); Gouveia, João Pedro (Nova University of Lisbon); Bajomi, Anna Zsófia (Politecnico di Milano); Tirado-Herrero, Sergio (Campus de Cantoblanco); Feldmár, Nóra (Habitat for Humanity Hungary); Kyprianou, Ioanna (The Cyprus Insitute); Feenstra, M.H. (TU Delft Ethics & Philosophy of Technology)","","2023","Fuelwood has been overlooked by European energy transition policies, despite its importance as a domestic energy source for many European households. We study fuelwood use for coping with energy poverty based on the lived experience of energy-vulnerable households in five diverse European countries (Portugal, Slovakia, Hungary, Austria, and North Macedonia). From their perspective, fuelwood is a central and multifunctional tool for coping with energy poverty because of its many favorable features, including enabling energy security and access, that overweigh its adverse environmental and health impacts. We argue that the use of fuelwood for coping with energy poverty is embedded in cultural practices building upon the interconnection of three stages of coping behavior. The first stage is fuelwood becoming a socio-cultural norm, which means it is considered a cultural practice for coping with energy poverty due to its many benefits that protect the energy vulnerable from increasing energy prices, disconnections, and further energy deprivation. This enhances the subsequent phase, featuring the normalization of subsistence which is the acceptance of life with minimal energy needs. This leads to the final stage with increasing system detachment which is continued reliance on individual and informal arrangements of satisfying energy needs and avoiding seeking or demanding institutional support.","Biomass; Coping strategies; Energy vulnerability; Europe; Firewood; Lived experience","en","journal article","","","","","","","","","","","Ethics & Philosophy of Technology","","",""
"uuid:3aed144e-3d70-4a10-977d-d9883de72daa","http://resolver.tudelft.nl/uuid:3aed144e-3d70-4a10-977d-d9883de72daa","Physically recurrent neural networks for path-dependent heterogeneous materials: Embedding constitutive models in a data-driven surrogate","Alves Maia, M. (TU Delft Applied Mechanics); Rocha, I.B.C.M. (TU Delft Applied Mechanics); Kerfriden, P. (PSL Research University); van der Meer, F.P. (TU Delft Applied Mechanics)","","2023","Driven by the need to accelerate numerical simulations, the use of machine learning techniques is rapidly growing in the field of computational solid mechanics. Their application is especially advantageous in concurrent multiscale finite element analysis (FE2) due to the exceedingly high computational costs often associated with it and the high number of similar micromechanical analyses involved. To tackle the issue, using surrogate models to approximate the microscopic behavior and accelerate the simulations is a promising and increasingly popular strategy. However, several challenges related to their data-driven nature compromise the reliability of surrogate models in material modeling. The alternative explored in this work is to reintroduce some of the physics-based knowledge of classical constitutive modeling into a neural network by employing the actual material models used in the full-order micromodel to introduce non-linearity. Thus, path-dependency arises naturally since every material model in the layer keeps track of its own internal variables. For the numerical examples, a composite Representative Volume Element with elastic fibers and elasto-plastic matrix material is used as the microscopic model. The network is tested in a series of challenging scenarios and its performance is compared to that of a state-of-the-art Recurrent Neural Network (RNN). A remarkable outcome of the novel framework is the ability to naturally predict unloading/reloading behavior without ever seeing it during training, a stark contrast with popular but data-hungry models such as RNNs. Finally, the proposed network is applied to FE2 examples to assess its robustness for application in nonlinear finite element analysis.","Artificial Neural Networks (ANNs); Heterogeneous materials; Multiscale; Path-dependency","en","journal article","","","","","","","","","","","Applied Mechanics","","",""
"uuid:f58309d9-5af7-4837-a4cd-adf2209f5d1f","http://resolver.tudelft.nl/uuid:f58309d9-5af7-4837-a4cd-adf2209f5d1f","Improved SWMM Modeling for Rapid Pipe Filling Incorporating Air Behavior in Intermittent Water Supply Systems","Ferreira, J.P. (TU Delft Sanitary Engineering); Ferras, David (IHE Delft Institute for Water Education); Covas, Dídia I.C. (Lisbon Technical University); Kapelan, Z. (TU Delft Sanitary Engineering)","","2023","Stormwater management model (SWMM) software has recently become a modeling tool for the simulation of intermittent water supply systems. However, SWMM is not capable of accurately simulating the air behavior in the pipe-filling phase, missing therefore a relevant factor during pipe pressurization. This work proposes the integration of a conventional accumulator model in the existing SWMM hydraulic model to overcome this gap. SWMM source code was modified to calculate the air piezometric head inside the pipe based on the system boundary conditions, and the air piezometric head was incorporated in the SWMM flow rate pressure component. Experimental data were collected during the rapid filling of a pipe system for three possible configurations that are likely to occur in intermittent water supply pipe systems: no air release, small air release, and large air release. Results show that the improved SWMM better describes the effect of the air behavior using the extended transport (EXTRAN) surcharge method when compared to the original SWMM. Results also show that the SLOT method with predefined slot width is not suitable for this purpose; thus, further research is needed to assess if an adjusted slot width could provide better results.","","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-08-11","","","Sanitary Engineering","","",""