"uuid","repository link","title","author","contributor","publication year","abstract","subject topic","language","publication type","publisher","isbn","issn","patent","patent status","bibliographic note","access restriction","embargo date","faculty","department","research group","programme","project","coordinates"
"uuid:d0202570-790a-4a6b-99df-e73bb0edcebc","http://resolver.tudelft.nl/uuid:d0202570-790a-4a6b-99df-e73bb0edcebc","Effect of stacking fault energy on the thickness and density of annealing twins in recrystallized FCC medium and high-entropy alloys","Schneider, Mike (Ruhr-Universität Bochum; PSL Research University); Couzinié, Jean Philippe (Université Paris-Est Créteil); Shalabi, Amin (Ruhr-Universität Bochum); Ibrahimkhel, Farhad (Ruhr-Universität Bochum); Ferrari, A. (TU Delft Team Marcel Sluiter); Körmann, F.H.W. (TU Delft Team Marcel Sluiter; Max-Planck-Institut für Eisenforschung; Bundesanstalt für Materialforschung und -prüfung (BAM)); Laplanche, Guillaume (Ruhr-Universität Bochum)","","2024","This work aims to predict the microstructure of recrystallized medium and high-entropy alloys (MEAs and HEAs) with a face-centered cubic structure, in particular the density of annealing twins and their thickness. Eight MEAs and five HEAs from the Cr-Mn-Fe-Co-Ni system are considered, which have been cast, homogenized, cold-worked and recrystallized to obtain different grain sizes. This work thus provides a database that could be used for data mining to take twin boundary engineering for alloy development to the next level. Since the stacking fault energy is known to strongly affect recrystallized microstructures, the latter was determined at 293 K using the weak beam dark-field technique and compared with ab initio simulations, which additionally allowed to calculate its temperature dependence. Finally, we show that all these data can be rationalized based on theories and empirical relationships that were proposed for pure metals and binary Cu-based alloys.","CoCrFeMnNi HEAs and MEAs; Electron backscatter diffraction; Grain boundary engineering; Recrystallization; Transmission electron microscopy (TEM)","en","journal article","","","","","","","","","","","Team Marcel Sluiter","","",""
"uuid:0e02777d-c09c-43ed-b634-6f8d02f8b9b5","http://resolver.tudelft.nl/uuid:0e02777d-c09c-43ed-b634-6f8d02f8b9b5","Optical STEM detection for scanning electron microscopy","Kievits, A.J. (TU Delft ImPhys/Hoogenboom group); Duinkerken, B. H.Peter (University Medical Center Groningen); Fermie, Job (Delmic BV); Lane, R. (TU Delft ImPhys/Hoogenboom group); Giepmans, Ben N.G. (University Medical Center Groningen); Hoogenboom, J.P. (TU Delft ImPhys/Hoogenboom group)","","2024","Recent advances in electron microscopy techniques have led to a significant scale up in volumetric imaging of biological tissue. The throughput of electron microscopes, however, remains a limiting factor for the volume that can be imaged in high resolution within reasonable time. Faster detection methods will improve throughput. Here, we have characterized and benchmarked a novel detection technique for scanning electron microscopy: optical scanning transmission electron microscopy (OSTEM). A qualitative and quantitative comparison was performed between OSTEM, secondary and backscattered electron detection and annular dark field detection in scanning transmission electron microscopy. Our analysis shows that OSTEM produces images similar to backscattered electron detection in terms of contrast, resolution and signal-to-noise ratio. OSTEM can complement large scale imaging with (scanning) transmission electron microscopy and has the potential to speed up imaging in single-beam scanning electron microscope.","Electron detection; Instrumentation development; Scanning electron microscopy; Scanning transmission electron microscopy; Volume electron microscopy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-04-30","","","ImPhys/Hoogenboom group","","",""
"uuid:61868d82-e498-4bb0-8352-616415580c4f","http://resolver.tudelft.nl/uuid:61868d82-e498-4bb0-8352-616415580c4f","Unravelling microstructure-electroactivity relationships in free-standing polycrystalline boron-doped diamond: A mapping study","Liu, Z. (TU Delft Micro and Nano Engineering); Baluchová, S. (TU Delft Micro and Nano Engineering); Li, Z. (TU Delft Team Arjan Mol); Gonzalez Garcia, Y. (TU Delft Team Yaiza Gonzalez Garcia); Hall, Clive E. (Mintres B.V.); Buijnsters, J.G. (TU Delft Micro and Nano Engineering)","","2024","In this work, four different techniques were concurrently applied to study the interplay between local electroactivity and electrode surface characteristics of free-standing, polycrystalline boron-doped diamond (BDD). Scanning electron microscopy, electron back-scatter diffraction, Raman mapping and scanning electrochemical microscopy were used to probe the electrode morphology, grain orientation and boundaries, composition, and local electrochemical activity, respectively. Both nucleation and growth BDD surfaces together with the cross-section area were carefully investigated for the first time in a single study using the combination of all four techniques. This enabled us to obtain significant insights into the highly heterogeneous nature of the polycrystalline BDD material. Notably, boron dopants were confirmed to be non-uniformly distributed over the BDD material, which is characterized by a distinct columnar structure and composition of grains of various orientations. Particularly, the highest electrochemical activity was recorded on the highest doped (111) crystal orientation. In contrast, the averagely boron-doped (100)-oriented facet showed non-conductive nature. This highlights that the local electrochemical activity of the BDD surface is strongly grain-dependent and the most significant factors governing the obtained responses are crystallographic orientation and boron doping. Moreover, increased boron and sp2 carbon content in the boundary regions was recognized by Raman mapping. However, such localized enrichment in impurities did not translate into enhanced electrochemical activity, which implies that boron atoms at the inter-grain areas are predominantly inactive. Finally, it is crucial to consider all characteristics of the polycrystalline BDD including crystal orientation, which is particularly relevant if micro- and nanoscale probing is intended.","Boron-doped diamond (BDD); Electron backscatter diffraction; Grain boundaries; Raman mapping; Scanning electrochemical microscopy (SECM)","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:e9a46f61-c1f3-49b1-b9e4-de5633921265","http://resolver.tudelft.nl/uuid:e9a46f61-c1f3-49b1-b9e4-de5633921265","Connecting the dots: key insights on ParB for chromosome segregation from single-molecule studies","Tišma, M. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Kaljević, Jovana (John Innes Centre); Gruber, Stephan (University of Lausanne); Le, Tung B.K. (John Innes Centre); Dekker, C. (TU Delft BN/Cees Dekker Lab)","","2024","Bacterial cells require DNA segregation machinery to properly distribute a genome to both daughter cells upon division. The most common system involved in chromosome and plasmid segregation in bacteria is the ParABS system. A core protein of this system - partition protein B (ParB) - regulates chromosome organization and chromosome segregation during the bacterial cell cycle. Over the past decades, research has greatly advanced our knowledge of the ParABS system. However, many intricate details of the mechanism of ParB proteins were only recently uncovered using in vitro single-molecule techniques. These approaches allowed the exploration of ParB proteins in precisely controlled environments, free from the complexities of the cellular milieu. This review covers the early developments of this field but emphasizes recent advances in our knowledge of the mechanistic understanding of ParB proteins as revealed by in vitro single-molecule methods. Furthermore, we provide an outlook on future endeavors in investigating ParB, ParB-like proteins, and their interaction partners.","atomic force microscopy; magnetic tweezers; optical tweezers; ParABS system; ParB; single-molecule studies","en","review","","","","","","","","","","","BN/Cees Dekker Lab","","",""
"uuid:4dc2a282-650d-4c1d-92ef-fbfffba41c5b","http://resolver.tudelft.nl/uuid:4dc2a282-650d-4c1d-92ef-fbfffba41c5b","4D-STEM Nanoscale Strain Analysis in van der Waals Materials: Advancing beyond Planar Configurations","Bolhuis, M. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft); van Heijst, S.E. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft); Sangers, J.J.M. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft); Conesa Boj, S. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft)","","2024","Achieving nanoscale strain fields mapping in intricate van der Waals (vdW) nanostructures, like twisted flakes and nanorods, presents several challenges due to their complex geometry, small size, and sensitivity limitations. Understanding these strain fields is pivotal as they significantly influence the optoelectronic properties of vdW materials, playing a crucial role in a plethora of applications ranging from nanoelectronics to nanophotonics. Here, a novel approach for achieving a nanoscale-resolved mapping of strain fields across entire micron-sized vdW nanostructures using four-dimensional (4D) scanning transmission electron microscopy (STEM) imaging equipped with an electron microscope pixel array detector (EMPAD) is presented. This technique extends the capabilities of STEM-based strain mapping by means of the exit-wave power cepstrum method incorporating automated peak tracking and K-means clustering algorithms. This approach is validated on two representative vdW nanostructures: a two-dimensional (2D) MoS2 thin twisted flakes and a one-dimensional (1D) MoO3/MoS2 nanorod heterostructure. Beyond just vdW materials, the versatile methodology offers broader applicability for strain-field analysis in various low-dimensional nanostructured materials. This advances the understanding of the intricate relationship between nanoscale strain patterns and their consequent optoelectronic properties.","electron microscope pixel array detector (EMPAD); four-dimensional scanning transmission electron microscopy (4D-STEM); strain mapping; van der Waals materials","en","journal article","","","","","","","","","","","QN/Conesa-Boj Lab","","",""
"uuid:64c38066-d331-425b-b8a0-710bbc1cfcf5","http://resolver.tudelft.nl/uuid:64c38066-d331-425b-b8a0-710bbc1cfcf5","Multi-wavelength Raman microscopy of nickel-based electron transport in cable bacteria","Smets, Bent (Universiteit Antwerpen); Boschker, H.T.S. (TU Delft BT/Environmental Biotechnology; Universiteit Antwerpen); Wetherington, Maxwell T. (Pennsylvania State University); Lelong, Gérald (Sorbonne Université); Hidalgo-Martinez, Silvia (Universiteit Antwerpen); Polerecky, Lubos (Universiteit Utrecht); Nuyts, Gert (Universiteit Antwerpen); De Wael, Karolien (Universiteit Antwerpen); Meysman, F.J.R. (TU Delft BT/Environmental Biotechnology; Universiteit Antwerpen)","","2024","Cable bacteria embed a network of conductive protein fibers in their cell envelope that efficiently guides electron transport over distances spanning up to several centimeters. This form of long-distance electron transport is unique in biology and is mediated by a metalloprotein with a sulfur-coordinated nickel (Ni) cofactor. However, the molecular structure of this cofactor remains presently unknown. Here, we applied multi-wavelength Raman microscopy to identify cell compounds linked to the unique cable bacterium physiology, combined with stable isotope labeling, and orientation-dependent and ultralow-frequency Raman microscopy to gain insight into the structure and organization of this novel Ni-cofactor. Raman spectra of native cable bacterium filaments reveal vibrational modes originating from cytochromes, polyphosphate granules, proteins, as well as the Ni-cofactor. After selective extraction of the conductive fiber network from the cell envelope, the Raman spectrum becomes simpler, and primarily retains vibrational modes associated with the Ni-cofactor. These Ni-cofactor modes exhibit intense Raman scattering as well as a strong orientation-dependent response. The signal intensity is particularly elevated when the polarization of incident laser light is parallel to the direction of the conductive fibers. This orientation dependence allows to selectively identify the modes that are associated with the Ni-cofactor. We identified 13 such modes, some of which display strong Raman signals across the entire range of applied wavelengths (405–1,064 nm). Assignment of vibrational modes, supported by stable isotope labeling, suggest that the structure of the Ni-cofactor shares a resemblance with that of nickel bis(1,2-dithiolene) complexes. Overall, our results indicate that cable bacteria have evolved a unique cofactor structure that does not resemble any of the known Ni-cofactors in biology.","Raman microscopy; cable bacteria; nickel cofactor; metalloprotein; long-distance electron transport","en","journal article","","","","","","","","","","","BT/Environmental Biotechnology","","",""
"uuid:1f2892fc-a73a-4398-8c29-eecb130694d9","http://resolver.tudelft.nl/uuid:1f2892fc-a73a-4398-8c29-eecb130694d9","Effect of delayed inhibitor supply on AA2024-T3 intermetallic activity: A local in situ analysis with reflected microscopy","Mopon, M. (TU Delft Group Garcia Espallargas; University of the Philippines Diliman); Mol, J.M.C. (TU Delft Team Arjan Mol); Garcia, Santiago J. (TU Delft Group Garcia Espallargas)","","2024","Understanding how late an inhibitor can be released once corrosion initiated without compromising corrosion protection may help in developing more efficient anticorrosion coatings. We explored this idea through time-controlled Ce(NO3)3 availability to AA2024-T3 immersed in 0.05 M NaCl. Ce(NO3)3 was supplied at 0, 30, 60, and 180 s from the start of immersion to get a concentration of 0.001 M. Detailed visualization of surface changes at the intermetallic particle level was obtained using in-situ reflected microscopy. SEM-EDX and confocal laser microscopy confirmed the extent of intermetallic degradation and local inhibitor deposition corresponding to operando changes. When the inhibitor is supplied within 60 s of immersion, the surface changes slowdown earlier and are visually less extensive than in uninhibited systems. Furthermore, our results highlight the potential of reflected microscopy for local corrosion inhibition studies and underscore the importance of understanding the interaction between inhibitor release timing and corrosion protection.","Corrosion inhibition; Difference imaging; Inhibitor deposition kinetics; Metallographic microscopy","en","journal article","","","","","","","","","","","Group Garcia Espallargas","","",""
"uuid:1ff19056-6af0-4b96-b278-84907c20ec77","http://resolver.tudelft.nl/uuid:1ff19056-6af0-4b96-b278-84907c20ec77","Functional tip-sample interactions in STM","Gobeil, J. (TU Delft QN/Otte Lab)","Otte, A. F. (promotor); van der Zant, H.S.J. (promotor); Delft University of Technology (degree granting institution)","2023","","Scanning tunnelling microscopy; Tip functionalisation; Image potential states; Field emission resonance; Quantum magnetism; Frustrated magnetism","en","doctoral thesis","","978-94-6366-790-6","","","","","","","","","QN/Otte Lab","","",""
"uuid:bd8a8edb-3ccb-4f2f-9212-bc6e14af41bb","http://resolver.tudelft.nl/uuid:bd8a8edb-3ccb-4f2f-9212-bc6e14af41bb","High Speed Electron Microscopy: Engineering of a commercial multi-beam scanning electron microscope with transmission imaging","Zuidema, W. (TU Delft ImPhys/Hoogenboom group)","Kruit, P. (promotor); Hoogenboom, J.P. (copromotor); Delft University of Technology (degree granting institution)","2023","In this thesis, the design and engineering considerations for a multi-beam scanning electron microscope (MBSEM) are discussed. This microscope can benefit biological research in various ways. It can give new insights into the inner workings of a multitude of biological systems that were hard to get using previously existing instrumentation. For instance, a higher throughput gives the option to do statistical analysis of multiple samples instead of drawing conclusions from only one. The goal of this thesis was to get from a proof of principle to a final system that can actually be used to do the research. It is divided into 5 chapters showing a step-by-step process of getting to the final system as it is now on the market. Chapter 1 is an introduction to the subject showing the current state of the art with respect to high throughput imaging. Chapter 2 Describes a novel imaging method in scanning electron microscopes. This chapter does not focus on the multi-beam application but shows it in the context of the often-used backscatter imaging. In this method, we place the tissue section directly on top of a thin scintillator screen (thinner than 200 μm) which is coated with a conductive layer. The light signal generated by the electrons transmitted through the sample is collected by a high NA objective lens and the light is imaged onto a photon detector outside of the vacuumchamber. A noise model is created to calculate the signal-to-noise ratio and the contrast-tonoise ratio of this imaging method. It shows that the best images are generated around a landing energy of about 5keV. There are some dependencies on sample thickness, staining level, and light collection efficiency which are also explored. This method does lower the resolution in the image to some extent (by a factor of 2 at low energies and thick sections), which is shown at the end of the chapter. Chapter 3 Goes into the considerations that have to be taken into account when dealing with the imaging method from chapter 2. This chapter is applicable to a single beam SEM as much as anMBSEM. A list of possible light detectors is given from which silicon photomultipliers are selected as the best candidate for the MBSEM. Combined with the light detector, multiple options for a scintillator were discussed, from which YAG:CE is selected. Organic scintillators are discarded due to their bleaching behavior due to electron beam irradiation. The surface of the scintillator and the coating layer are shown to have a large impact on image quality. For this reason, the scintillators are ion-beam polished and coated with a Boron layer. Unexpected behavior in the form of scintillator saturation is observed which is then described by a model and connected to the noise model fromchapter 2. Chapter 4 Gives an analysis of all the hardware requirements for a MBSEM. First a measurement of the crosstalk as a function of landing energy and pitch. It is found that a crosstalk of at least 10 % is to be expected in the system. Next, an overview is given for all the parameters that are related to the stage and the light optics. These are then related to the final throughput of the system. Two imaging strategies are described, in one the beam scans in one direction and the stage in the other. In the other strategy, the beams scan like in a regular SEM and are subsequently descanned in the light-optical system. It is found that with a step and scan approach in combination with planned beamshifts, the maximum throughput that can be achieved is around 420 mpix/s. Chapter 5 Shows results from the final prototype system. Alignments are of great importance in any SEMbut even more so in theMBSEM. Therefore a large part of this chapter is dedicated to describing this alignment. This starts with the electron optical alignment of the source and the beam through the column. The grid of beams has to be optimized to show as little as possible distortions to improve system throughput. The scan and descan have to be aligned to the grid axes and the amplitude has to be precisely correct. The beams have to be perfectly aligned to the detector array. On the processing side, a description of how can be compensated for varying dark and gain levels in the detector array. In the end, a final image is shown, consisting of 400 megapixels. Chapter 6 Describes the valorization of the project and all the challenges and choices that were involved.","Electron Microscopy; High-Throughput; Multibeam,; Transmission imaging","en","doctoral thesis","","","","","","","","","","","ImPhys/Hoogenboom group","","",""
"uuid:f9c4d874-92cc-4a45-bd2f-a37f98f8fb78","http://resolver.tudelft.nl/uuid:f9c4d874-92cc-4a45-bd2f-a37f98f8fb78","Photonic topological edge states: A nanoscale investigation","Arora, S. (TU Delft QN/Kuipers Lab)","Kuipers, L. (promotor); Caviglia, A. (promotor); Delft University of Technology (degree granting institution)","2023","The aimof this thesis is to investigate the impact of symmetry on light and how it alters its characteristics. Our research centers around the examination of complex photonic crystals rooted in the concept of photonic topological insulators, which are analogs of topological insulators initially introduced in condensed matter physics. Unlike typical insulating materials, these possess a unique ability to conduct along their surface or edges. Leveraging this fundamental property, photonic topological insulators have gained attention for designing transport circuits resistant to back-reflection and scattering mechanisms....","topological photonics; optics; near-field microscopy; silicon-oninsulator","en","doctoral thesis","","978-90-8593-580-3","","","","","","","","","QN/Kuipers Lab","","",""
"uuid:238461e4-3588-4833-85b7-7382ab75b422","http://resolver.tudelft.nl/uuid:238461e4-3588-4833-85b7-7382ab75b422","Modeling Pedestrian Tactical and Operational Decisions Under Risk and Uncertainty: A Two-Layer Model Framework","Huang, R. (TU Delft Transport and Planning; Chang'an University); Zhao, Xuan (Chang'an University); Yuan, Y. (TU Delft Transport and Planning); Yu, Qiang (Chang'an University); Liu, Chengqing (CISDI Engineering Company Ltd.); Daamen, W. (TU Delft Transport and Planning)","","2023","Pedestrian tactical choices and operational movement in evacuations essentially pertain to decision-making under risk and uncertainty. However, in microscopic evacuation models, this attribute has been greatly overlooked, even lacking a methodology to delineate the related decision characteristics (bounded rationality and risk attitudes), let alone their effects on evacuation processes. This work presents an innovative two-layer floor field cellular automaton model framework, where three intertwined sub-modules respectively dedicated to modelling the exit choice, the locomotion movement and the exit-choice changing behaviours are proposed and integrated as an entity. By introducing various decision-making elements computed by the proposed algorithm, Cumulative Prospect Theory (CPT) is proposed for the first time to model the exit choice and locomotion decision-making under risk and uncertainty. In the exit-choice changing module, attractive and repulsive forces are invented to jointly describe the tendency to revisit the routing decision. Each sub-module and the whole framework are validated in manifold indoor environments. The simulation results of the modules with CPT accord with the empirics from the evacuation experiments and are superior over those from the state-of-the-art models. The degree of rationality and risk attitudes are proven to have significant impacts on tactical and operational decisions. Furthermore, irrational behaviour in decision-making is not variably detrimental to locomotion efficiency of pedestrians. The proposed framework can serve as an elegant tool to predict pedestrian dynamics. The behavioural findings shed new light on understanding and modelling the tactical and operational decisions in evacuations.","cellular automaton; Computational modeling; cumulative prospect theory; Decision making; Mathematical models; Microscopy; Numerical models; operational decision; Pedestrian simulation; Sensitivity analysis; tactical decision; Uncertainty","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-23","","","Transport and Planning","","",""
"uuid:023f770e-5e15-4e86-90fd-32720849c974","http://resolver.tudelft.nl/uuid:023f770e-5e15-4e86-90fd-32720849c974","Driver Profile and Driving Pattern Recognition for Road Safety Assessment: Main Challenges and Future Directions","Tselentis, D. (TU Delft Safety and Security Science); Papadimitriou, E. (TU Delft Safety and Security Science)","","2023","This study reviews the Artificial Intelligence and Machine Learning approaches developed thus far for driver profile and driving pattern recognition, representing a set of macroscopic and microscopic behaviors respectively, to enhance the understanding of human factors in road safety, and therefore reduce the number of crashes. It provides a definition of the two scientific fields in terms of safety, and identifies the most efficient approaches used regarding methodology, data collection and driving metrics. Results show that K-means and Neural Networks are the most commonly used methodologies for driver profile identification, and Dynamic Time Warping for driving pattern detection. Most studies discovered driver profiles related to aggressiveness, considering mainly speed and acceleration as driving metrics. Based on the gaps and challenges identified, this paper provides a new framework for combining microscopic and macroscopic driving behavior analysis, instead of examining them separately as is the state-of-theart. Such combined results can potentially improve the development of traffic risk models, which could be exploited in applications that monitor drivers in real-time and provide feedback. These models will represent human behavior more accurately, which can eventually lead to the recognition of 'optimal' human driving patterns that Automated Vehicles (AV) could 'mimic' to become safer.","Artificial Intelligence; Behavioral sciences; Driver Profiles; Driving Behavior; Driving Patterns; Machine Learning; Measurement; Microscopy; Naturalistic Driving Data; Pattern recognition; Road safety; Safety; Vehicles","en","journal article","","","","","","","","","","","Safety and Security Science","","",""
"uuid:48750d98-022d-4a97-8b92-458a803e4460","http://resolver.tudelft.nl/uuid:48750d98-022d-4a97-8b92-458a803e4460","Low-cost fluorescence microscope with microfluidic device fabrication for optofluidic applications","Nagalingam, Nagaraj (TU Delft Complex Fluid Processing); Raghunathan, Aswin (Student TU Delft); Korede, V.B. (TU Delft Complex Fluid Processing); Overmars, E.F.J. (TU Delft Fluid Mechanics); Hung, S. (TU Delft Team Carlas Smith); Hartkamp, Remco (TU Delft Complex Fluid Processing); Padding, J.T. (TU Delft Complex Fluid Processing); Smith, C.S. (TU Delft Team Carlas Smith); Eral, H.B. (TU Delft Complex Fluid Processing)","","2023","Optofluidic devices have revolutionized the manipulation and transportation of fluid at smaller length scales ranging from micrometers to millimeters. We describe a dedicated optical setup for studying laser-induced cavitation inside a microchannel. In a typical experiment, we use a tightly focused laser beam to locally evaporate the solution laced with a dye resulting in the formation of a microbubble. The evolving bubble interface is tracked using high-speed microscopy and digital image analysis. Furthermore, we extend this system to analyze fluid flow through fluorescence-Particle Image Velocimetry (PIV) technique with minimal adaptations. In addition, we demonstrate the protocols for the in-house fabrication of a microchannel tailored to function as a sample holder in this optical setup. In essence, we present a complete guide for constructing a fluorescence microscope from scratch using standard optical components with flexibility in the design and at a lower cost compared to its commercial analogues.","Microfluidics; Experiments; Laser-induced cavitation; Fluorescence microscopy; High-speed imaging","en","journal article","","","","","","","","","","","Complex Fluid Processing","","",""
"uuid:0a0e9350-ac65-45cb-b3e7-e9b0a0294388","http://resolver.tudelft.nl/uuid:0a0e9350-ac65-45cb-b3e7-e9b0a0294388","High-Speed Tapping Mode AFM Utilizing Recovery of Tip-Sample Interaction","Noom, J. (TU Delft Team Michel Verhaegen); Smith, C.S. (TU Delft Team Carlas Smith); Verbiest, G.J. (TU Delft Dynamics of Micro and Nano Systems); Katan, A.J. (TU Delft QN/Afdelingsbureau); Soloviev, O.A. (TU Delft Team Michel Verhaegen); Verhaegen, M.H.G. (TU Delft Team Michel Verhaegen)","","2023","We propose to use the State Estimation by Sum-of-Norms Regularisation (STATESON-)algorithm for recovering the tip-sample interaction in high-speed tapping mode atomic force microscopy (AFM). This approach enables accurate sample height estimation for each independent cantilever oscillation period, provided that the tip-sample interaction dominates the noise. The entire course of the cantilever deflection signal is compared to a modelled counterpart in subsequent convex minimisations, such that the sparse tip-sample interaction can be recovered. Afterwards, the sample height is determined using the minimum smoothed cantilever deflection per cantilever oscillation period. Results from simulation experiments are in favour of the proposed approach as it consistently reveals sharp edges in sample height, as opposed to both the conventional and a closely related existing approach. However, the non-processed cantilever deflection provided most accurate sample height estimation. It is recommended to implement the STATESON-algorithm in the form of a filter to use it in feedback control of the scanner and cantilever excitation.","Atomic force microscopy; Biology; Force; Kalman filters; Measurement by laser beam; Oscillators; State estimation; Time measurement; Tip-sample interaction","en","journal article","","","","","","","","","","","Team Michel Verhaegen","","",""
"uuid:923b8641-1880-47c6-9c7b-1baf254d5bb6","http://resolver.tudelft.nl/uuid:923b8641-1880-47c6-9c7b-1baf254d5bb6","Influence of sputter pressure on magnetic and structural properties of Permalloy thin films","Singh, Sukhvinder (Saarland University); Abelmann, L. (TU Delft Bio-Electronics; Korea Institute of Science and Technology); Gao, Haibin (Saarland University); Hartmann, Uwe (Saarland University)","","2023","Well-defined and technically relevant domain configurations are sought in patterned magnetic thin films. We used Magnetic Force Microscopy to investigate these in square-patterned Permalloy films. The films were prepared using dc sputter deposition by varying the Argon pressure from 1.5×10−3 to 30.0×10−3 mbar. The Landau domain configuration was found in films prepared at 1.5×10−3 mbar pressure. With an increase in pressure, tulip and irregular domains were consecutively formed. Based on magnetic and structural characterizations, an increase in coercivity and a decrease in Permalloy film density were observed at the same time.","Permalloy; Magnetic domains; Sputtering; Magnetic force microscopy","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2024-02-21","","","Bio-Electronics","","",""
"uuid:f703f992-f444-42cb-88b1-9c81ae1109d6","http://resolver.tudelft.nl/uuid:f703f992-f444-42cb-88b1-9c81ae1109d6","A systematic review and comparison of automated tools for quantification of fibrous networks","de Vries, J.J. (Erasmus MC); Laan, Daphne M. (Erasmus MC); Frey, F.F.F. (TU Delft BN/Timon Idema Lab); Koenderink, G.H. (TU Delft BN/Gijsje Koenderink Lab); de Maat, Moniek P.M. (Erasmus MC)","","2023","Fibrous networks are essential structural components of biological and engineered materials. Accordingly, many approaches have been developed to quantify their structural properties, which define their material properties. However, a comprehensive overview and comparison of methods is lacking. Therefore, we systematically searched for automated tools quantifying network characteristics in confocal, stimulated emission depletion (STED) or scanning electron microscopy (SEM) images and compared these tools by applying them to fibrin, a prototypical fibrous network in thrombi. Structural properties of fibrin such as fiber diameter and alignment are clinically relevant, since they influence the risk of thrombosis. Based on a systematic comparison of the automated tools with each other, manual measurements, and simulated networks, we provide guidance to choose appropriate tools for fibrous network quantification depending on imaging modality and structural parameter. These tools are often able to reliably measure relative changes in network characteristics, but absolute numbers should be interpreted with care. Statement of significance: Structural properties of fibrous networks define material properties of many biological and engineered materials. Many methods exist to automatically quantify structural properties, but an overview and comparison is lacking. In this work, we systematically searched for all publicly available automated analysis tools that can quantify structural properties of fibrous networks. Next, we compared them by applying them to microscopy images of fibrin networks. We also benchmarked the automated tools against manual measurements or synthetic images. As a result, we give advice on which automated analysis tools to use for specific structural properties. We anticipate that researchers from a large variety of fields, ranging from thrombosis and hemostasis to cancer research, and materials science, can benefit from our work.","Fibrin; Fibrous networks; Image processing; Microscopy; Systematic review","en","journal article","","","","","","","","","","","BN/Timon Idema Lab","","",""
"uuid:f119aa6c-c679-4de7-88b4-042828441cdb","http://resolver.tudelft.nl/uuid:f119aa6c-c679-4de7-88b4-042828441cdb","Optogenetics and Light-Sheet Microscopy","Maddalena, L. (TU Delft ImPhys/Carroll group); Pozzi, Paolo (Università Degli Studi di Modena e Reggio Emilia); Ceffa, Nicolò G. (External organisation); Hoeven, Bas van der (Student TU Delft); Carroll, E.C.M. (TU Delft ImPhys/Carroll group)","Papagiakoumou, Eirini (editor)","2023","Light-sheet microscopy is a powerful method for imaging small translucent samples in vivo, owing to its unique combination of fast imaging speeds, large field of view, and low phototoxicity. This chapter briefly reviews state-of-the-art technology for variations of light-sheet microscopy. We review recent examples of optogenetics in combination with light-sheet microscopy and discuss some current bottlenecks and horizons of light sheet in all-optical physiology. We describe how 3-dimensional optogenetics can be added to an home-built light-sheet microscope, including technical notes about choices in microscope configuration to consider depending on the time and length scales of interest.","Adaptive optics; Computer-generated holography; Light-sheet microscopy; Optogenetics; Zebrafish","en","book chapter","Humana Press Inc.","","","","","","","","","","ImPhys/Carroll group","","",""
"uuid:6e189039-5ef0-4bbf-80f5-f3083cb620b0","http://resolver.tudelft.nl/uuid:6e189039-5ef0-4bbf-80f5-f3083cb620b0","Quantitative analysis of myofiber type composition in human and mouse skeletal muscles","Abbassi-Daloii, Tooba (Leiden University Medical Center); el Abdellaoui, Salma (Leiden University Medical Center); Kan, H.E. (Leiden University Medical Center; Duchenne Center Netherlands); van den Akker, E.B. (TU Delft Pattern Recognition and Bioinformatics; Leiden University Medical Center); ’t Hoen, Peter A.C. (Leiden University Medical Center; Radboud University Medical Center); Raz, Vered (Leiden University Medical Center); Voortman, Lenard M. (Leiden University Medical Center)","","2023","Skeletal muscles are composed of different myofiber types characterized by the expression of myosin heavy chain isoforms, which can be affected by physical activity, aging, and pathological conditions. Here, we present a step-by-step high-throughput semi-automated approach for performing myofiber type quantification of entire human or mouse muscle tissue sections, including immunofluorescence staining, image acquisition, processing, and quantification. For complete details on the use and execution of this protocol, please refer to Abbassi-Daloii et al. (2022).1−1 while more common lengthening rates of 10–1000 nm.s−1 provided the highest quality observations. Both sheaves with visible sub units and individual laths were observed during growth with the lengthening behaviour of sheaves found to be discontinuous - in the most favourably oriented sheave this could be linked to sub unit behaviour. The transformation behaviour was comparable to that of HT-LSCM observations of bainitic ferrite growth for the most comparable steel compositions and to ‘textbook’ descriptions of the formation of bainite sheaves. In addition, other relevant phenomena were recorded, including the generation and movement of dislocations in the austenite during transformation, the interaction of laths with twin boundaries and the initially slow growth of bainitic ferrite laths.","Bainitic steel; In situ transmission electron microscopy (TEM); Phase transformation; Steels; Transformation kinetics","en","journal article","","","","","","","","","","","Team Maria Santofimia Navarro","","",""
"uuid:fd58024b-d0d6-4800-9c71-9d84b49d3206","http://resolver.tudelft.nl/uuid:fd58024b-d0d6-4800-9c71-9d84b49d3206","Zero-Mode Waveguide Nanowells for Single-Molecule Detection in Living Cells","Yang, Sora (University Medical Center Utrecht; Koninklijke Nederlandse Akademie van Wetenschappen (KNAW)); Klughammer, N. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Barth, A. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Tanenbaum, M. (TU Delft BN/Bionanoscience; University Medical Center Utrecht; Koninklijke Nederlandse Akademie van Wetenschappen (KNAW)); Dekker, C. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft)","","2023","Single-molecule fluorescence imaging experiments generally require sub-nanomolar protein concentrations to isolate single protein molecules, which makes such experiments challenging in live cells due to high intracellular protein concentrations. Here, we show that single-molecule observations can be achieved in live cells through a drastic reduction in the observation volume using overmilled zero-mode waveguides (ZMWs- subwavelength-size holes in a metal film). Overmilling of the ZMW in a palladium film creates a nanowell of tunable size in the glass layer below the aperture, which cells can penetrate. We present a thorough theoretical and experimental characterization of the optical properties of these nanowells over a wide range of ZMW diameters and overmilling depths, showing an excellent signal confinement and a 5-fold fluorescence enhancement of fluorescent molecules inside nanowells. ZMW nanowells facilitate live-cell imaging as cells form stable protrusions into the nanowells. Importantly, the nanowells greatly reduce the cytoplasmic background fluorescence, enabling the detection of individual membrane-bound fluorophores in the presence of high cytoplasmic expression levels, which could not be achieved with TIRF microscopy. Zero-mode waveguide nanowells thus provide great potential to study individual proteins in living cells.","fluorescence correlation spectroscopy; fluorescence enhancement; fluorescence microscopy; live-cell imaging; palladium; single-molecule fluorescence; zero-mode waveguide","en","journal article","","","","","","","","","","BN/Bionanoscience","BN/Cees Dekker Lab","","",""
"uuid:700c1897-1be8-44fb-a766-ef75d1db5adc","http://resolver.tudelft.nl/uuid:700c1897-1be8-44fb-a766-ef75d1db5adc","The interplay between metabolic stochasticity and cAMP-CRP regulation in single E. coli cells","Wehrens, Martijn (AMOLF; Koninklijke Nederlandse Akademie van Wetenschappen (KNAW)); Krah, Laurens H.J. (Universiteit Utrecht); Towbin, Benjamin D. (University of Bern); Hermsen, Rutger (Universiteit Utrecht); Tans, S.J. (TU Delft BN/Sander Tans Lab; Kavli institute of nanoscience Delft; AMOLF)","","2023","The inherent stochasticity of metabolism raises a critical question for understanding homeostasis: are cellular processes regulated in response to internal fluctuations? Here, we show that, in E. coli cells under constant external conditions, catabolic enzyme expression continuously responds to metabolic fluctuations. The underlying regulatory feedback is enabled by the cyclic AMP (cAMP) and cAMP receptor protein (CRP) system, which controls catabolic enzyme expression based on metabolite concentrations. Using single-cell microscopy, genetic constructs in which this feedback is disabled, and mathematical modeling, we show how fluctuations circulate through the metabolic and genetic network at sub-cell-cycle timescales. Modeling identifies four noise propagation modes, including one specific to CRP regulation. Together, these modes correctly predict noise circulation at perturbed cAMP levels. The cAMP-CRP system may thus have evolved to control internal metabolic fluctuations in addition to external growth conditions. We conjecture that second messengers may more broadly function to achieve cellular homeostasis.","cAMP-CRP; CP: Cell biology; CP: Microbiology; CRP; Escherichia coli; gene expression; heterogeneity; noise; regulation; single cell; stochasticity; time lapse microscopy","en","journal article","","","","","","","","","","","BN/Sander Tans Lab","","",""
"uuid:e1f10850-ea28-42a6-8997-8ba4e5c14b52","http://resolver.tudelft.nl/uuid:e1f10850-ea28-42a6-8997-8ba4e5c14b52","Ultrafast Time Dynamics of Plasmonic Fractional Orbital Angular Momentum","Bauer, T.A. (TU Delft QN/Kuipers Lab; Kavli institute of nanoscience Delft); Davis, Timothy J. (University of Stuttgart; Universität Duisburg-Essen; University of Melbourne); Frank, Bettina (University of Stuttgart); Dreher, Pascal (Universität Duisburg-Essen); Janoschka, David (Universität Duisburg-Essen); Meiler, Tim C. (University of Stuttgart); Meyer zu Heringdorf, Frank J. (Universität Duisburg-Essen); Kuipers, L. (TU Delft QN/Quantum Nanoscience; Kavli institute of nanoscience Delft); Giessen, Harald (University of Stuttgart)","","2023","The creation and manipulation of optical vortices, both in free space and in two-dimensional systems such as surface plasmon polaritons (SPPs), has attracted widespread attention in nano-optics due to their robust topological structure. Coupled with strong spatial confinement in the case of SPPs, these plasmonic vortices and their underlying orbital angular momentum (OAM) have promise in novel light-matter interactions on the nanoscale with applications ranging from on-chip particle manipulation to tailored control of plasmonic quasiparticles. Until now, predominantly integer OAM values have been investigated. Here, we measure and analyze the time evolution of fractional OAM SPPs using time-resolved two-photon photoemission electron microscopy and near-field optical microscopy. We experimentally show the field’s complex rotational dynamics and observe the beating of integer OAM eigenmodes at fractional OAM excitations. With our ability to access the ultrafast time dynamics of the electric field, we can follow the buildup of the plasmonic fractional OAM during the interference of the converging surface plasmons. By adiabatically increasing the phase discontinuity at the excitation boundary, we track the total OAM, leading to plateaus around integer OAM values that arise from the interplay between intrinsic and extrinsic OAM.","fractional orbital angular momentum; near-field scanning optical microscopy; photoemission electron microscopy; plasmonic angular momentum; time-dynamics of 2D vortices","en","journal article","","","","","","","","","","QN/Quantum Nanoscience","QN/Kuipers Lab","","",""
"uuid:b6340365-5a29-4611-b1cb-814b7cfbea41","http://resolver.tudelft.nl/uuid:b6340365-5a29-4611-b1cb-814b7cfbea41","Quantification of bone surface textures: exploring a new method of ontogenetic ageing","de Rooij, Jimmy (Naturalis Biodiversity Center); Vintges, M.Q. (Naturalis Biodiversity Center; Universiteit Leiden); Zuidwijk, T. (TU Delft ImPhys/Pereira group); Heerkens, C.T.H. (TU Delft ImPhys/Hoogenboom group); Schulp, Anne S. (Universiteit Utrecht; Naturalis Biodiversity Center)","","2023","Identification of ontogenetic age classes plays an important role in the fields of zoology, palaeontology and archaeology, where accurate age classifications of (sub)fossil remains are a crucial component for the reconstruction of past life. Textural ageing—the identification of age-related bone surface textures—provides a size-independent method for age assessment of vertebrate material. However, most of the work so far is limited to qualitative results. While qualitative approaches provide helpful insights on textural ageing patterns, they are heavily subject to observer bias and fall short of quantitative data relevant for detailed statistical analyses and cross-comparisons. Here, we present a pilot study on the application of 3D surface digital microscopy to quantify bone surface textures on the long bones of the grey heron (Ardea cinerea) and the Canada goose (Branta canadensis) using internationally verified roughness parameters. Using a standardised measuring protocol, computed roughness values show a strong correlation with qualitative descriptions of textural patterns. Overall, higher roughness values correspond to increased numbers of grooves and pits and vice versa. Most of the roughness parameters allowed distinguishing between different ontogenetic classes and closely followed the typical sigmoidal animal growth curve. Our results show that bone texture quantification is a feasible approach to identifying ontogenetic age classes.","Bone; Digital microscopy; Ontogeny; Roughness; Surfaces; Taphonomy; Topography","en","journal article","","","","","","","","","","","ImPhys/Pereira group","","",""
"uuid:68d24b7f-ad4a-49b8-abff-108f576a1db7","http://resolver.tudelft.nl/uuid:68d24b7f-ad4a-49b8-abff-108f576a1db7","Three-dimensional localization microscopy with increased axial precision through TIRF angle modulation","Fan, D. (TU Delft Team Carlas Smith); Cnossen, J.P. (TU Delft Team Carlas Smith); Hung, S. (TU Delft Team Carlas Smith); Kromm, D. (TU Delft Support Delft Center for Systems and Control); Dekker, N.H. (TU Delft BN/Nynke Dekker Lab); Verbiest, G.J. (TU Delft Dynamics of Micro and Nano Systems); Smith, C.S. (TU Delft Team Carlas Smith)","","2023","To better understand the interactions between biological molecules, a high optical resolution in all three dimensions is crucial. The intrinsically lower axial resolution of microscopes however, is a limiting factor in fluorescence imaging, correspondingly in fluorescence based single molecule localization microscopy (SMLM). Here, we present a method to improve the axial localization precision in SMLM by combining point-spread-function engineering with total internal reflection fluorescence (TIRF) fields with decay lengths that vary within the on-time of a fluorophore. Such time-varying illumination field intensity allows one to extract additional axial location information from the emitted photons. With this time varying illumination approach, we show that axial localization is improved two-fold over TIRF-based SMLM using astigmatic PSFs. We calculate theoretical resolution gains for various imaging conditions via the Cramér Rao Lower Bound (CRLB), a commonly used metric to compute the best attainable localization precision in SMLM.","PSF engineering; Single molecule localization microscopy; Super-resolution microscopy; TIRF microscopy; Total internal reflection fluorescence","en","journal article","","","","","","","","","","","Team Carlas Smith","","",""
"uuid:440e2ef9-c40c-429d-9595-99159e435828","http://resolver.tudelft.nl/uuid:440e2ef9-c40c-429d-9595-99159e435828","Expanding the family of genetically encoded voltage indicators with a candidate Heliorhodopsin exhibiting near-infrared fluorescence","Ganapathy, S. (TU Delft ImPhys/Brinks group; University of California; UCSD School of Medicine, San Diego); Meng, X. (TU Delft ImPhys/Brinks group); Mossel, D.D.M.; Jagt, Mels (Student TU Delft); Brinks, D. (TU Delft ImPhys/Brinks group; Erasmus MC)","","2023","Genetically encoded voltage indicators, particularly those based on microbial rhodopsins, are gaining traction in neuroscience as fluorescent sensors for imaging voltage dynamics with high-spatiotemporal precision. Here we establish a novel genetically encoded voltage indicator candidate based on the recently discovered subfamily of the microbial rhodopsin clade, termed heliorhodopsins. We discovered that upon excitation at 530 to 560 nm, wildtype heliorhodopsin exhibits near-infrared fluorescence, which is sensitive to membrane voltage. We characterized the fluorescence brightness, photostability, voltage sensitivity, and kinetics of wildtype heliorhodopsin in HEK293T cells and further examined the impact of mutating key residues near the retinal chromophore. The S237A mutation significantly improved the fluorescence response of heliorhodopsin by 76% providing a highly promising starting point for further protein evolution.","fluorescence microscopy; neuroscience; protein engineering; rhodopsins; voltage sensors","en","journal article","","","","","","","","","","","ImPhys/Brinks group","","",""
"uuid:0d808650-4e96-4a01-b69d-652b26ad7bfb","http://resolver.tudelft.nl/uuid:0d808650-4e96-4a01-b69d-652b26ad7bfb","Voltage Imaging with Engineered Proton-Pumping Rhodopsins: Insights from the Proton Transfer Pathway","Meng, X. (TU Delft ImPhys/Brinks group); Ganapathy, S. (TU Delft ImPhys/Brinks group; University of California); van Roemburg, Lars (Student TU Delft); Post, M.G. (TU Delft ImPhys/Brinks group); Brinks, D. (TU Delft ImPhys/Brinks group; Erasmus MC)","","2023","Voltage imaging using genetically encoded voltage indicators (GEVIs) has taken the field of neuroscience by storm in the past decade. Its ability to create subcellular and network level readouts of electrical dynamics depends critically on the kinetics of the response to voltage of the indicator used. Engineered microbial rhodopsins form a GEVI subclass known for their high voltage sensitivity and fast response kinetics. Here we review the essential aspects of microbial rhodopsin photocycles that are critical to understanding the mechanisms of voltage sensitivity in these proteins and link them to insights from efforts to create faster, brighter and more sensitive microbial rhodopsin-based GEVIs.","biosensors; fluorescence microscopy; genetically encoded voltage indicators; microbial rhodopsins; neuroscience; photophysics; protein engineering; proton transfer pathway","en","review","","","","","","","","","","","ImPhys/Brinks group","","",""
"uuid:51c5fc00-d7b0-48c4-aae8-731b26a82047","http://resolver.tudelft.nl/uuid:51c5fc00-d7b0-48c4-aae8-731b26a82047","Interplay of fibrinogen αEC globular domains and factor XIIIa cross-linking dictates the extensibility and strain stiffening of fibrin networks","Martinez Torres, C.E. (TU Delft BN/Gijsje Koenderink Lab; AMOLF; Kavli institute of nanoscience Delft); Grimbergen, Jos (Fibriant B.V., Leiden); Koopman, Jaap (Fibriant B.V., Leiden); Koenderink, G.H. (TU Delft BN/Gijsje Koenderink Lab; AMOLF; Kavli institute of nanoscience Delft)","","2023","Background: Fibrinogen is a plasma protein forming the fibrin scaffold of blood clots. Its mechanical properties therefore affect the risk of bleeding as well as thrombosis. There has been much recent interest in the biophysical mechanisms controlling fibrin mechanics; however, the role of molecular heterogeneity of the circulating fibrinogen in determining clot mechanical function remains poorly characterized. Objectives: By comparing 2 fibrinogen variants where the only difference is the Aα-chain length, with one variant having a globular domain at its C-terminus, this study aimed to reveal how the molecular structure impacts the structure and mechanics of fibrin networks. Methods: We characterized the mechanical response to large shear for networks formed from 2 recombinant fibrinogen variants: the most prevalent variant in circulation with a molecular weight of 340 kDa (recombinant human fibrinogen [rFib] 340) and a minor variant with a molecular weight of 420 kDa (rFib420). Results: We show that the elastic properties of the 2 variants are identical when fibrin is cross-linked with factor XIIIa but differ strongly in its absence. Uncross-linked rFib420 networks are softer and up to 3-fold more extensible than rFib340 networks. Electron microscopy imaging showed that the 2 variants formed networks with a comparable structure, except at 4 mg/mL, where rFib420 formed denser networks. Conclusion: We propose that the αEC domains of rFib420 increase the extensibility of uncross-linked fibrin networks by promoting protofibril sliding, which is blocked by FXIIIa cross-linking. Our findings can help explain the functional role of different circulating fibrinogen variants in blood clot mechanics and tissue repair.","blood coagulation; elasticity; electron microscopy; fibrin; rheology","en","journal article","","","","","","","","","","","BN/Gijsje Koenderink Lab","","",""
"uuid:e43a7796-c59f-46ad-b294-9ed61b1424a1","http://resolver.tudelft.nl/uuid:e43a7796-c59f-46ad-b294-9ed61b1424a1","Coherent Fourier Scatterometry for Detection of Killer Defects on Silicon Carbide Samples","Rafigh Doost, J. (TU Delft ImPhys/Pereira group); Kolenov, D. (TU Delft ImPhys/Pereira group); Pereira, S.F. (TU Delft ImPhys/Pereira group)","","2023","It has been a widely growing interest in using silicon carbide (SiC) in high-power electronic devices. Yet, SiC wafers may contain killer defects that could reduce fabrication yield and make the device fall into unexpected failures. To prevent these failures from happening, it is very important to develop inspection tools that can detect, characterize and locate these defects in a non-invasive way. Current inspection techniques such as Dark Field or Bright field microscopy are effectively able to visualize most such defects; however, there are some scenarios where the inspection becomes problematic or almost impossible, such as when the defects are too small or have low contrast or if the defects lie deep into the substrate. Thus, an alternative method is needed to face these challenges. In this paper, we demonstrate the application of coherent Fourier scatterometry (CFS) as a complementary tool in addition to the conventional techniques to overcome different and problematic scenarios of killer defects inspection on SiC samples. Scanning electron microscopy (SEM) has been used to assess the same defects to validate the findings of CFS. Great consistency has been demonstrated in the comparison between the results obtained with CFS and SEM.","coherent Fourier scatterometry; killer defects; microscopy; Silicon carbide","en","journal article","","","","","","","","","","","ImPhys/Pereira group","","",""
"uuid:bd432af8-4881-4d7b-967a-ca54c72c0f92","http://resolver.tudelft.nl/uuid:bd432af8-4881-4d7b-967a-ca54c72c0f92","Charge distribution in CsFAPbI3 spatially resolved by scanning microwave impedance microscopy","van der Werf, Verena M. (Student TU Delft); Zhao, J. (TU Delft ChemE/Opto-electronic Materials); Koning, Jim S. (Student TU Delft); Nespoli, J. (TU Delft ChemE/Opto-electronic Materials); Thieme, J. (TU Delft ChemE/O&O groep); Bus, M. (TU Delft ChemE/O&O groep); Savenije, T.J. (TU Delft ChemE/Opto-electronic Materials)","","2023","Metal-halide perovskites deposited by wet-chemical deposition have demonstrated great potential for various electronic applications, including solar cells. A remaining question is how light-induced excess charges become distributed over such polycrystalline material. Here, we examine the local conductive properties of MAPbI3 and CsFAPbI3 by using scanning microwave microscopy (sMIM) in the dark and light. sMIM is an atomic force microscopy (AFM)-based technique measuring variations of the in-phase and out-of-phase signals due to changes in the tip-sample interaction, yielding MIM-Re and MIM-Im images, respectively. Combining this information leads to a picture for CsFAPbI3 in which excess charges are distributed evenly over the grains, but due to local defect-rich areas, possibly related to different crystal facets, local perturbations in carrier concentration exist. For solar cells, this distribution in carrier concentration under illumination leads to variation in the local Fermi level splitting, which should be suppressed to reduce the voltage deficit.","charge carrier dynamics; metal-halide perovskites; scanning microwave microscopy","en","journal article","","","","","","","","","","","ChemE/Opto-electronic Materials","","",""
"uuid:aced4ba5-aa0b-4a4a-bd97-1806f44b76ad","http://resolver.tudelft.nl/uuid:aced4ba5-aa0b-4a4a-bd97-1806f44b76ad","Deep eutectic solvents interaction with asphaltenes: A combined experimental and molecular dynamics study","Hebbar, Akshatha (Manipal Academy of Higher Education (MAHE)); Debraj, Devangshi (Manipal Academy of Higher Education (MAHE)); Acharya, Sriprasad (Manipal Academy of Higher Education (MAHE)); Puttapati, Sampath Kumar (National Institute of Technology Warangal); Vatti, Anoop Kishore (Manipal Academy of Higher Education (MAHE)); Dey, P. (TU Delft Team Poulumi Dey)","","2023","Deep eutectic solvents (DESs) are industrially promising solvents and posses numerous applications in wide areas such as metal processing, synthesis media, synthesis of nanoparticles, gas sequestration and many more. In this work, we synthesized and investigated the performance of three deep eutectic solvents (DESs) i.e., reline, glyceline and ethaline in the separation of pure asphaltene from organic solvents, i.e., toluene and n-heptane using experimental techniques and classical molecular dynamics (MD) simulations. The DESs are prepared and characterized by Fourier transform infrared (FTIR) spectroscopy and density meter analysis. The separation and aggregation of asphaltene from the organic solvent phase into the DES phase at various DES concentrations are visually observed using optical microscopy. MD simulations are used to probe the end-to-end distance and diffusion coefficient of the asphaltene molecules in DESs-organic solvent mixtures. Further, the trajectory density contours of asphaltene in three DESs-toluene/n-heptane systems are calculated to analyze asphaltene aggregation in the presence of the DESs. Our experimental-simulations synergistic study shows the superior performance of glyceline DES in toluene and reline DES in n-heptane for efficient separation of the asphaltene.","Asphaltene; DES; FTIR; Molecular dynamics simulations; Optical microscopy","en","journal article","","","","","","","","","","","Team Poulumi Dey","","",""
"uuid:b7f2378b-01df-48f0-b71d-089f17b7b378","http://resolver.tudelft.nl/uuid:b7f2378b-01df-48f0-b71d-089f17b7b378","Optical tools towards the improvement of optogenetic stimulation","Maddalena, L. (TU Delft ImPhys/Microscopy Instrumentation & Techniques)","Hoogenboom, J.P. (promotor); Carroll, E.C.M. (copromotor); Delft University of Technology (degree granting institution)","2022","Optogenetics is a powerful addition to the spectrum of techniques available in neuroscience to investigate neurophysiology and unravel how neural circuit structure is related to circuit function. This technique relies on introducing lightsensitive proteins or molecules as actuators to transduce an optical signal into a physiological perturbation of a living cell in vitro or in a living animal. To date, optogenetics has allowed remote control of neural activity in living and awake animals at different scales from single cells to complex networks of neurons to the investigation of animal behaviours. This wide range of experimental scales has been accomplished through joint progress on engineering the biological sensors and the optical design of instruments capable of manipulating with cellular spatial precision and millisecond temporal resolution.","Optogenetics; computer generated holography; adaptive optics; light-tissue interactions; microscopy","en","doctoral thesis","","978-94-6384-380-5","","","","","","","","","ImPhys/Microscopy Instrumentation & Techniques","","",""
"uuid:951afaeb-1f03-45cb-8a86-cacbf9ca0f64","http://resolver.tudelft.nl/uuid:951afaeb-1f03-45cb-8a86-cacbf9ca0f64","Integrated Array Tomography: Development and Applications of a Workflow for 3D Correlative Light and Electron Microscopy","Lane, R. (TU Delft ImPhys/Microscopy Instrumentation & Techniques)","Hoogenboom, J.P. (promotor); Carroll, E.C.M. (copromotor); Delft University of Technology (degree granting institution)","2022","Multi-modal imaging techniques have become essential for better understanding fundamental questions in cell biology such as disease progression. While individual microscopy methods have rapidly advanced in recent years, the information content of any one imaging technique is limited to the type of contrast that particular technique is sensitive to. By tagging particular biomolecules with a fluorescent protein, fluorescence microscopy (FM), for example, can relay dynamic information about the distribution of these biomolecules in their cellular environment. It struggles, however, to convey information regarding the structure of the organelles that might contain these biomolecules or the surroundings of their cellular environment. Electron microscopy (EM), on the other hand, can provide detailed layouts of cellular structure by staining membranes with heavy metals. Thus, by correlating these modalities (correlative light and electron microscopy, CLEM), a more holistic understanding of the relationship between structure and function at the (sub-)cellular level can be achieved. Array tomography (AT) is a technique combining FM and EM for volumetric imaging, first introduced in 2007 for studying brain tissue. The technique has since expanded, but the approach has largely remained the same. Biological material is cut into a series of ultrathin (∼100 nm) sections (an array) and prepared for sequential FM and EM imaging by applying a series of immunofluorescence and heavy metal stains. Correlative images of the serial sections are then computationally aligned to reconstruct the 3D structure (tomography). Compared to other volumetric imaging techniques in the life sciences, AT offers the ability to correlate structure and function at high resolution across large fields of view. Moreover, it enables high axial resolutionfor both EM and FM as determined by the section thickness...","correlative light and electron microscopy; volume electron microscopy; array tomography","en","doctoral thesis","","978-94-6366-603-9","","","","","","","","","ImPhys/Microscopy Instrumentation & Techniques","","",""
"uuid:431f98e1-3b0b-4c61-87ba-e6b458748428","http://resolver.tudelft.nl/uuid:431f98e1-3b0b-4c61-87ba-e6b458748428","Nonlinear dynamic atomic force microscopy","Chandrashekar, A. (TU Delft Dynamics of Micro and Nano Systems)","Staufer, U. (promotor); Alijani, F. (copromotor); Delft University of Technology (degree granting institution)","2022","Most physical phenomena be it mechanical, chemical or biological are inherently nonlinear in nature. In fact, it is the linear phenomenon that is the exception rather than the rule. By harnessing these nonlinearities one can obtain far greater information about the underlying physics and develop more sensitive and efficient devices. This is especially true at the micro and nanoscale world where the forces tend to be highly nonlinear and the go-to tool for studying such forces is the atomic force microscopy (AFM). Ever since its inception, AFMhas revolutionized theworld of nanotechnology through its ability to manipulate and characterize matter with atomic resolution. With the gradual development of novel characterization techniques, AFM has slowly transitioned from a traditional imaging technique to a powerful nanomechanical characterization tool capable of estimating material properties of wide variety of samples with ease. This transition is fueled by the greater interest in understanding the highly nonlinear tip-sample interaction forces that exist between an AFM probe and the sample of interest. However, in order to advance our understanding of nanoscale interactions, one must fully embrace the nonlinear nature of the system and develop parameter identification techniques based on nonlinear dynamics. In this regard, this thesis focusses on both fundamental and applied nonlinear dynamical studies to develop novel identification techniques for dynamic AFM applications.","Nonlinear dynamics; AFM microscopy; Identification methods; Nanomechanical characterization; cantilever dynamics; Machine learning; viscoelasticity; Continuum mechanics","en","doctoral thesis","","978-94-6384-366-9","","","","","","2023-09-14","","","Dynamics of Micro and Nano Systems","","",""
"uuid:02cd1165-9fef-4613-a12c-dc3c4bd9d731","http://resolver.tudelft.nl/uuid:02cd1165-9fef-4613-a12c-dc3c4bd9d731","Building blocks for atomically assembled magnetic and electronic artificial lattices","Rejali, R. (TU Delft QN/Otte Lab)","Otte, A. F. (promotor); van der Sar, T. (copromotor); Delft University of Technology (degree granting institution)","2022","This thesis focuses on possible platforms for a bottom-up approach towards realizing and characterizing atomically assembled magnetic and electronic artificial lattices. For this, we make use of the scanning tunneling microscope (STM), which provides a local probe of the magnetic and electronic properties of the sample and allows for the atom-by-atom construction of extended lattices. On the one hand, to address avenues for constructing extended spin lattices, we study single Fe atoms coordinated on the four-fold symmetric nitrogen binding site of the Cu2N/Cu3Au surface—a system which permits large-scale atomic assembly, and allows for independent access to both the orbital and spin degrees of freedom. On the other hand, we investigate the viability of laterally confined vacuum resonances on the chlorinated Cu(100) surface as a basis for constructing electronic lattices. We atomically assemble dimers and trimers of various geometries to determine the tight-binding parameters, and as a proof of concept, experimentally realize a looped Su-Schrieffer–Heeger chain using this platform. These studies are made possible by means of a low-temperature, ultra-high vacuum STM, which allows for atom manipulation and, via spectroscopic techniques, permits us to locally probe the sample density of states and detect inelastic excitations of the spin and orbital angular momentum.","scanning tunneling microscopy (STM); artificial lattices; inelastic electron tunneling spectroscopy; field-emission resonances; single atom magnetism","en","doctoral thesis","","978-94-6366-589-6","","","","","","","","","QN/Otte Lab","","",""
"uuid:b043d1ac-e8f4-43fc-aa9c-1159875553c7","http://resolver.tudelft.nl/uuid:b043d1ac-e8f4-43fc-aa9c-1159875553c7","Self healing in Fe-based systems: From model alloys to designed steels","Fu, Y. (TU Delft Novel Aerospace Materials)","van der Zwaag, S. (promotor); van Dijk, N.H. (promotor); Brück, E.H. (promotor); Delft University of Technology (degree granting institution)","2022","When high-temperature steels are loaded under under industrially relevant conditions not only creep (i.e. a time dependent strain increase even under nominally constant loading conditions) occurs, but also local damage is formed. At relatively short exposure times quasi-spherical micron-sized cavities form preferentially at the grain boundaries oriented perpendicular to the principal loading direction. These cavities subsequently grow and coalesce into micro and macro cracks, which ultimately lead to failure of the structure. The concept of self healing, in which such damage is healed in-situ and under the applied loading conditions rather than is being prevented by a special microstructure, provides a new principle to extend the creep lifetime. Well-selected supersaturated solute atoms can selectively segregate at the free internal surface of the grain boundary cavities and fill them, thereby preventing the coalescence of cavities. This reduction in coalescence rate leads to an extended lifetime. The potential of the concept has been demonstrated in previous studies for binary Fe-based model alloys in which only one healing reaction can take place. The current work aims to take the validation one step further and to demonstrate it for a Fe-3Au-4W (in weight percent) model system in which two healing reactions can take place simultaneously, but without any intention to achieve decent mechanical properties. This work also aims to apply the selfhealing concept for two multi-component steels designed to have both decent mechanical properties and to demonstrate self-healing behaviour when exposed to the right conditions.","Self healing; Fe-based alloys; precipitation; diffusion; electron microscopy; synchrotron; X-ray tomography","en","doctoral thesis","","978-94-6366-587-2","","","","","","","","","Novel Aerospace Materials","","",""
"uuid:3eab33fd-f9fc-420f-b574-749d22db5f1c","http://resolver.tudelft.nl/uuid:3eab33fd-f9fc-420f-b574-749d22db5f1c","ODS steels for nuclear applications: thermal stability of the microstructure and evolution of defects","Marques Pereira, V. (TU Delft Team Kevin Rossi)","Sietsma, J. (promotor); Schut, H. (copromotor); Delft University of Technology (degree granting institution)","2022","An approach to improve the performance of steels for fusion and fission reactors is to reinforce them with oxide nanoparticles. These can hinder dislocation and grain boundary movement and trap radiation-induced defects, thus increasing creep and radiation damage resistance. Steels containing these oxide particles are called ODS steels (Oxide Dispersion Strengthened). In the present thesis, two ODS steels containing 0.3 weight % of Y2O3 were studied: the 0.3% Y2O3 ODS Eurofer and the ODS 12 Cr steel. The main objectives of the work developed during these four years were: (i) evaluation of the thermal stability of the microstructure and of the oxide nanoparticles present in the steels; (ii) investigation of the effect of oxide nanoparticles on phase transformations and other microstructural processes, such as recovery and recrystallization; (iii) investigation of the interaction of oxide nanoparticles with defects intrinsic to the microstructure and (iv) development of the fundamental understanding of the behaviour of the steels prior to exposure to radiation.
The systematic characterization of microstructure of the two ODS steels was made, in their reference state and after 1 h annealing treatments at temperatures ranging from 573 K to 1600 K. The techniques used were Scanning Electron Microscopy (SEM), Electron Backscatter Diffraction (EBSD) and Vickers hardness testing. The oxide nanoparticles present in the 0.3% Y2O3 ODS Eurofer steel were observed using Transmission Electron Microscopy (TEM) and Atom Probe Tomography (APT); the oxide nanoparticles in the ODS 12 Cr steel were analysed with TEM. The 0.3% Y2O3 ODS Eurofer steel has, in its reference state, an isotropic microstructure, without significant texture, composed of tempered martensite, residual ferrite and M23C6 carbides. The ODS 12 Cr steel does not form austenite at high temperatures and, therefore, its matrix is always ferritic, with TiC carbides located along grain boundaries. Because of consolidation by hot extrusion, the ferritic grains in the ODS 12 Cr steel are elongated and present <110>α-fibre texture. In the 0.3% Y2O3 ODS Eurofer steel the oxide nanoparticles are composed of Y, V and O; in the ODS 12 Cr steel, the nanoparticles are Y, Ti and O based. The addition of Ti is known for reducing the final oxide nanoparticle size and for conferring higher thermal stability to the particles. When the oxide nanoparticles remain refined at high temperatures, the Zener pinning force exerted by them also remains strong and the overall microstructure does not become coarser during exposure to elevated temperatures. The Y-V-O based nanoparticles in the 0.3% Y2O3 ODS Eurofer steel go through coarsening during annealing at 1400 K, which leads to the formation of a coarser microstructure upon cooling to room temperature and reduction in the Vickers hardness. In the ODS 12 Cr steel, a fraction of the Y-Ti-O nanoparticles becomes coarser only after 1 h annealing at 1573 K, which leads to a moderate degree of softening of the material.
Positron Annihilation Doppler Broadening (PADB) was used to investigate the thermal evolution of defects present in different ODS steels and their interaction with oxide nanoparticles. PADB results suggest that the oxide nanoparticles are able to trap thermal vacancies, formed in high concentrations during annealing at temperatures of 1400 K and above. The excess of thermal vacancies, trapped by the oxide nanoparticles, is retained in the microstructure upon cooling to room temperature. To further investigate this hypothesis, Thermal Desorption Spectroscopy (TDS) measurements were carried out in the ODS 12 Cr steel, in its as-received condition and after annealing at 1573 K for 1 h, after exposure to low-energy deuterium plasma. The deuterium uptake in the annealed condition was higher than that in the as-received state, and it could be related to the prior-trapping of thermal vacancies by oxide nanoparticles, which would be able, then, to accommodate more deuterium atoms. The ability to accomodate more deuterium atoms (or hydrogen, or helium, or other radiation-induced interstitials) could have positive effects on the performance of the steel during service, but mechanical testing is necessary to verify this influence.
a and Rz) were investigated under CD and UAD regimes. The quality of the borehole and damage mechanisms in the laminate constituents was examined using scanning electron microscopy (SEM). The contribution of the drilling parameters on the measured outputs was further evaluated using the analysis of variance (ANOVA) statistical analysis. It was found that UAD resulted in a significant reduction in thrust force by up to 65% while surface roughness metrics Ra and Rz were unaffected by the type of drilling process used. SEM analysis showed irregular and fuzzier surfaces in glass fibre layers in holes machined using UAD due to the longitudinal vibration of the tool.","GLARE; Scanning electron microscopy; Surface roughness; Thrust force; Ultrasonic-assisted drilling","en","journal article","","","","","","","","","","","Aerospace Manufacturing Technologies","","",""
"uuid:13f5394c-f817-424e-a6e6-a68a04c090e5","http://resolver.tudelft.nl/uuid:13f5394c-f817-424e-a6e6-a68a04c090e5","Fuzzy model-based disturbance rejection control for atomic force microscopy with input constraint","Mahmoudabadi, Parvin (K.N. Toosi University of Technology); Tavakoli-Kakhki, Mahsan (K.N. Toosi University of Technology); Hassan HosseinNia, S. (TU Delft Mechatronic Systems Design)","","2022","Accurate representation of the atomic force microscopy (AFM) system is not only necessary to achieve control objectives, but it is also beneficial for detecting the nanomechanical properties of the samples. To this end, this paper addresses the issue of controller design for the AFM system based on an accurate nonaffine nonlinear distributed-parameters model in which flexibility and distributed mass effects of the microcantilever beam are considered properly. First, a T-S fuzzy model is derived for this dynamical model of the AFM system in order to simplify the procedure of controller design. Then, a fuzzy model-based controller is designed to suppress the chaos and attenuate the disturbance in the AFM system through the linear matrix inequality (LMI) formulation. Moreover, by considering some criteria for disturbance rejection and transient performance, and some constraints on control input and states, new stabilization conditions are proposed based on a fuzzy Lyapunov function. Finally, simulation results are represented to demonstrate the effectiveness of the proposed method.","atomic force microscopy; control input constraint; fuzzy Lyapunov function; non-parallel distributed compensation (non-PDC) controller; T-S fuzzy model; tapping mode","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","Mechatronic Systems Design","","",""
"uuid:65cf9cf5-b1db-467b-84c8-338c667d6eb0","http://resolver.tudelft.nl/uuid:65cf9cf5-b1db-467b-84c8-338c667d6eb0","Investigation of coarsening of oxide nanoparticles at 1400 K and its effect on the microstructure formation of an ODS Eurofer steel","Marques Pereira, V. (TU Delft Team Kevin Rossi); Davis, T. P. (University of Oxford); Mayoral, M. H. (CIEMAT); Kumar, A. (TU Delft (OLD) MSE-3); Schut, H. (TU Delft RST/Neutron and Positron Methods in Materials); Sietsma, J. (TU Delft Team Kevin Rossi)","","2022","Oxide Dispersion Strengthened (ODS) steels are potential candidate materials for application as structural components of fission and fusion reactors, known for their high thermal stability, high resistance to creep and to radiation-induced damage. These attractive properties result from the presence of the fine and highly thermally stable yttrium‑oxygen (Y-O) based nanoparticles, which exert a strong Zener pinning force to hinder the grain boundary movement, and are able to pin dislocations and trap radiation induced defects. In the present work, the effect of annealing at 1400 K on the microstructure and oxide nanoparticles in a 0.3% Y2O3 ODS Eurofer steel was assessed. The material was characterized with Scanning Electron Microscopy, Transmission Electron Microscopy and Atom Probe Tomography in a reference condition and after annealing at 1400 K, followed by cooling at different rates. The results showed that the average diameter of the oxide nanoparticles increases from 3.7 ± 0.01 nm to 5.3 ± 0.04 nm, after annealing at 1400 K for 1 h. The particles present a well-known core/shell structure, with a core rich in Y, O and V and a shell rich in Cr. The effect of the increase in oxide nanoparticle size on the microstructure is discussed in terms of the Zener pinning force.","Atom probe tomography; Oxide nanoparticle characterization; Transmission electron microscopy; α/γ phase transformation","en","journal article","","","","","","","","","","","Team Kevin Rossi","","",""
"uuid:b67121c1-9cfb-4a5d-a350-306b0b5f5c41","http://resolver.tudelft.nl/uuid:b67121c1-9cfb-4a5d-a350-306b0b5f5c41","Integrated Array Tomography for 3D Correlative Light and Electron Microscopy","Lane, R. (TU Delft ImPhys/Microscopy Instrumentation & Techniques; TU Delft ImPhys/Imaging Physics); Wolters, Anouk H.G. (University Medical Center Groningen); Giepmans, Ben N.G. (University Medical Center Groningen); Hoogenboom, J.P. (TU Delft ImPhys/Microscopy Instrumentation & Techniques; TU Delft ImPhys/Imaging Physics)","","2022","Volume electron microscopy (EM) of biological systems has grown exponentially in recent years due to innovative large-scale imaging approaches. As a standalone imaging method, however, large-scale EM typically has two major limitations: slow rates of acquisition and the difficulty to provide targeted biological information. We developed a 3D image acquisition and reconstruction pipeline that overcomes both of these limitations by using a widefield fluorescence microscope integrated inside of a scanning electron microscope. The workflow consists of acquiring large field of view fluorescence microscopy (FM) images, which guide to regions of interest for successive EM (integrated correlative light and electron microscopy). High precision EM-FM overlay is achieved using cathodoluminescent markers. We conduct a proof-of-concept of our integrated workflow on immunolabelled serial sections of tissues. Acquisitions are limited to regions containing biological targets, expediting total acquisition times and reducing the burden of excess data by tens or hundreds of GBs.","array tomography; correlative light and electron microscopy; integrated microscopy; scanning electron microscopy; serial section electron microscopy; volume electron microscopy","en","journal article","","","","","","","","","","ImPhys/Imaging Physics","ImPhys/Microscopy Instrumentation & Techniques","","",""
"uuid:d4f83471-d563-42cc-8570-2281d8b5ed99","http://resolver.tudelft.nl/uuid:d4f83471-d563-42cc-8570-2281d8b5ed99","Multiscale characterization of pathological bone tissue","Eren, E. Deniz (Eindhoven University of Technology); Nijhuis, Wouter H. (University Medical Center Utrecht); van der Weel, Freek (Eindhoven University of Technology); Dede Eren, Aysegul (Eindhoven University of Technology); Ansari, Sana (Eindhoven University of Technology); Bomans, Paul H.H. (Eindhoven University of Technology); Friedrich, Heiner (Eindhoven University of Technology); Sakkers, Ralph J. (University Medical Center Utrecht); Weinans, Harrie (TU Delft Biomaterials & Tissue Biomechanics; University Medical Center Utrecht); de With, Gijsbertus (Eindhoven University of Technology)","","2022","Bone is a complex natural material with a complex hierarchical multiscale organization, crucial to perform its functions. Ultrastructural analysis of bone is crucial for our understanding of cell to cell communication, the healthy or pathological composition of bone tissue, and its three-dimensional (3D) organization. A variety of techniques has been used to analyze bone tissue. This article describes a combined approach of optical, scanning electron, and transmission electron microscopy for the ultrastructural analysis of bone from the nanoscale to the macroscale, as illustrated by two pathological bone tissues. By following a top-down approach to investigate the multiscale organization of pathological bones, quantitative estimates were made in terms of calcium content, nearest neighbor distances of osteocytes, canaliculi diameter, ordering, and D-spacing of the collagen fibrils, and the orientation of intrafibrillar minerals which enable us to observe the fine structural details. We identify and discuss a series of two-dimensional (2D) and 3D imaging techniques that can be used to characterize bone tissue. By doing so we demonstrate that, while 2D imaging techniques provide comparable information from pathological bone tissues, significantly different structural details are observed upon analyzing the pathological bone tissues in 3D. Finally, particular attention is paid to sample preparation for and quantitative processing of data from electron microscopic analysis.","collagen; electron microscopy; electron tomography; focused ion beam; optical microscopy; pathological bone tissues; serial slice and view; ultrastructure of bone","en","journal article","","","","","","","","","","","Biomaterials & Tissue Biomechanics","","",""
"uuid:07358e54-359a-4537-96ae-131c34182d2d","http://resolver.tudelft.nl/uuid:07358e54-359a-4537-96ae-131c34182d2d","Particle detection using closed-loop active model diagnosis","Noom, J. (TU Delft Team Michel Verhaegen); Soloviev, O.A. (TU Delft Team Michel Verhaegen); Smith, C.S. (TU Delft Team Carlas Smith); Nguyen, Hieu Thao (TU Delft Team Michel Verhaegen); Verhaegen, M.H.G. (TU Delft Team Michel Verhaegen)","Jalali, Bahram (editor); Kitayama, Ken-ichi (editor)","2022","We demonstrate a novel closed-loop input design technique on the detection of particles in an imaging system such as a fluorescence microscope. The probability of misdiagnosis is minimized while constraining the input energy such that for instance phototoxicity is reduced. The key novelty of the closed-loop design is that each next input is designed based on the most recent information. Using updated hypothesis probabilities, the input energy distribution is optimized for detection such that unresolved pixels have increased illumination next image acquisition. As compared to conventional open-loop, the results show that (regions of) particles are diagnosed using less energy in the closed-loop approach. Besides the closed-loop approach being viable for particle detection in fluorescence microscopy measurements, it can be developed further to apply in different areas such as sequential object segmentation for reliable and efficient product inspection in Industry 4.0.","Active fault diagnosis; Auxiliary signal design; Fluorescence microscopy; Machine vision","en","conference paper","SPIE","","","","","","","","","","Team Michel Verhaegen","","",""
"uuid:55c3fe10-2ccc-475a-b19e-2ed24cfb529a","http://resolver.tudelft.nl/uuid:55c3fe10-2ccc-475a-b19e-2ed24cfb529a","Single cell variability of CRISPR-Cas interference and adaptation","McKenzie, R. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft; AMOLF); Keizer, Emma M. (Wageningen University & Research); Vink, J.N.A. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft); van Lopik, Jasper (Kavli institute of nanoscience Delft; Student TU Delft); Büke, F. (TU Delft BN/Greg Bokinsky Lab; Kavli institute of nanoscience Delft; AMOLF); Kalkman, Vera (Kavli institute of nanoscience Delft; Student TU Delft); Fleck, Christian (Albert-Ludwigs-Universität Freiburg); Tans, S.J. (TU Delft BN/Sander Tans Lab; Kavli institute of nanoscience Delft; AMOLF); Brouns, S.J.J. (TU Delft BN/Stan Brouns Lab; Kavli institute of nanoscience Delft)","","2022","While CRISPR-Cas defence mechanisms have been studied on a population level, their temporal dynamics and variability in individual cells have remained unknown. Using a microfluidic device, time-lapse microscopy and mathematical modelling, we studied invader clearance in Escherichia coli across multiple generations. We observed that CRISPR interference is fast with a narrow distribution of clearance times. In contrast, for invaders with escaping PAM mutations we found large cell-to-cell variability, which originates from primed CRISPR adaptation. Faster growth and cell division and higher levels of Cascade increase the chance of clearance by interference, while slower growth is associated with increased chances of clearance by priming. Our findings suggest that Cascade binding to the mutated invader DNA, rather than spacer integration, is the main source of priming heterogeneity. The highly stochastic nature of primed CRISPR adaptation implies that only subpopulations of bacteria are able to respond quickly to invading threats. We conjecture that CRISPR-Cas dynamics and heterogeneity at the cellular level are crucial to understanding the strategy of bacteria in their competition with other species and phages.","agent-based simulations; single-cell analysis; spacer acquisition; time-lapse microscopy; type I CRISPR-Cas","en","journal article","","","","","","","","","","","BN/Stan Brouns Lab","","",""
"uuid:895c59c4-e707-4b03-b422-25d36fd934ef","http://resolver.tudelft.nl/uuid:895c59c4-e707-4b03-b422-25d36fd934ef","A compact microscope for voltage imaging","Meng, X. (TU Delft ImPhys/Microscopy Instrumentation & Techniques); Huismans, Lex (Student TU Delft); Huijben, T.A.P.M. (TU Delft ImPhys/Computational Imaging); Szabó, G.V. (TU Delft ImPhys/Microscopy Instrumentation & Techniques); van Tol, R.F.C. (TU Delft EMSD AS-south Project engineers); De Heer, Izak (Student TU Delft); Ganapathy, S. (TU Delft ImPhys/Microscopy Instrumentation & Techniques); Brinks, D. (TU Delft ImPhys/Microscopy Instrumentation & Techniques; Erasmus MC)","","2022","Voltage imaging and optogenetics offer new routes to optically detect and influence neural dynamics. Optimized hardware is necessary to make the most of these new techniques. Here we present the Octoscope, a versatile, multimodal device for all-optical electrophysiology. We illustrate its concept and design and demonstrate its capability to perform both 1-photon and 2-photon voltage imaging with spatial and temporal light patterning, in both inverted and upright configurations, in vitro and in vivo.","electrophysiology; microscopy; neuroscience","en","journal article","","","","","","","","","","","ImPhys/Microscopy Instrumentation & Techniques","","",""
"uuid:29a0a526-7c59-4aa1-a80e-b7422823ec64","http://resolver.tudelft.nl/uuid:29a0a526-7c59-4aa1-a80e-b7422823ec64","ppGpp is a bacterial cell size regulator","Büke, F. (TU Delft BN/Greg Bokinsky Lab; Kavli institute of nanoscience Delft; AMOLF); Grilli, Jacopo (The Abdus Salam International Centre for Theoretical Physics, Trieste); Cosentino Lagomarsino, Marco (The FIRC Institute of Molecular Oncology; University of Milan); Bokinsky, G.E. (TU Delft BN/Greg Bokinsky Lab; Kavli institute of nanoscience Delft; University of Milan); Tans, S.J. (TU Delft BN/Sander Tans Lab; Kavli institute of nanoscience Delft; AMOLF)","","2022","Growth and division are central to cell size. Bacteria achieve size homeostasis by dividing when growth has added a constant size since birth, termed the adder principle, by unknown mechanisms.1,2 Growth is well known to be regulated by guanosine tetraphosphate (ppGpp), which controls diverse processes from ribosome production to metabolic enzyme activity and replication initiation and whose absence or excess can induce stress, filamentation, and small growth-arrested cells.3–6 These observations raise unresolved questions about the relation between ppGpp and size homeostasis mechanisms during normal exponential growth. Here, to untangle effects of ppGpp and nutrients, we gained control of cellular ppGpp by inducing the synthesis and hydrolysis enzymes RelA and Mesh1. We found that ppGpp not only exerts control over the growth rate but also over cell division and thus the steady state cell size. In response to changes in ppGpp level, the added size already establishes its new constant value while the growth rate still adjusts, aided by accelerated or delayed divisions. Moreover, the magnitude of the added size and resulting steady-state birth size correlate consistently with the ppGpp level, rather than with the growth rate, which results in cells of different size that grow equally fast. Our findings suggest that ppGpp serves as a key regulator that coordinates cell size and growth control.","adder mechanism; cell size; cell size homeostasis; Escherichia coli; growth rate; lineage tree analysis; microfluidics; microscopy; ppGpp; single cell analysis","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","BN/Greg Bokinsky Lab","","",""
"uuid:fceff6b0-cc87-4e2b-acd4-daf986153b3a","http://resolver.tudelft.nl/uuid:fceff6b0-cc87-4e2b-acd4-daf986153b3a","CENP-B-mediated DNA loops regulate activity and stability of human centromeres","Chardon, Florian (PSL Research University); Japaridze, A. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Witt, Hannes (Vrije Universiteit Amsterdam); Velikovsky, Leonid (PSL Research University); Chakraborty, Camellia (PSL Research University); Wilhelm, Therese (PSL Research University); Dumont, Marie (PSL Research University); Yang, W.W.W. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Dekker, C. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft)","","2022","Chromosome inheritance depends on centromeres, epigenetically specified regions of chromosomes. While conventional human centromeres are known to be built of long tandem DNA repeats, much of their architecture remains unknown. Using single-molecule techniques such as AFM, nanopores, and optical tweezers, we find that human centromeric DNA exhibits complex DNA folds such as local hairpins. Upon binding to a specific sequence within centromeric regions, the DNA-binding protein CENP-B compacts centromeres by forming pronounced DNA loops between the repeats, which favor inter-chromosomal centromere compaction and clustering. This DNA-loop-mediated organization of centromeric chromatin participates in maintaining centromere position and integrity upon microtubule pulling during mitosis. Our findings emphasize the importance of DNA topology in centromeric regulation and stability.","AFM microscopy; CENP; centromere; chromosomes; DNA breaks; DNA compaction; DNA topology; genome stability; optical tweezers; secondary structures","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","BN/Cees Dekker Lab","","",""
"uuid:f31d8868-114f-41ab-9831-a5cf6eb63db5","http://resolver.tudelft.nl/uuid:f31d8868-114f-41ab-9831-a5cf6eb63db5","Confined Vacuum Resonances as Artificial Atoms with Tunable Lifetime","Rejali, R. (TU Delft QN/Otte Lab); Farinacci, L.S.M. (TU Delft QN/Otte Lab; Kavli institute of nanoscience Delft); Coffey Blanco, D. (TU Delft QN/Otte Lab; Kavli institute of nanoscience Delft); Broekhoven, R. (TU Delft QN/Otte Lab; Kavli institute of nanoscience Delft); Gobeil, J. (TU Delft QN/Otte Lab; Kavli institute of nanoscience Delft); Blanter, Y.M. (TU Delft QN/Blanter Group; TU Delft QN/Quantum Nanoscience; Kavli institute of nanoscience Delft); Otte, A. F. (TU Delft QN/Otte Lab; Kavli institute of nanoscience Delft)","","2022","Atomically engineered artificial lattices are a useful tool for simulating complex quantum phenomena, but have so far been limited to the study of Hamiltonians where electron-electron interactions do not play a role. However, it is precisely the regime in which these interactions do matter where computational times lend simulations a critical advantage over numerical methods. Here, we propose a platform for constructing artificial matter that relies on the confinement of field-emission resonances, a class of vacuum-localized discretized electronic states. We use atom manipulation of surface vacancies in a chlorine-terminated Cu(100) surface to reveal square patches of the underlying metal, thereby creating atomically precise potential wells that host particle-in-a-box modes. By adjusting the dimensions of the confining potential, we can access states with different quantum numbers, making these patches attractive candidates as quantum dots or artificial atoms. We demonstrate that the lifetime of electrons in these engineered states can be extended and tuned through modification of the confining potential, either via atomic assembly or by changing the tip-sample distance. We also demonstrate control over a finite range of state filling, a parameter which plays a key role in the evolution of quantum many-body states. We model the transport through the localized state to disentangle and quantify the lifetime-limiting processes, illustrating the critical dependence of the electron lifetime on the properties of the underlying bulk band structure. The interplay with the bulk bands gives rise to negative differential resistance, leading to possible applications in engineering custom atomic-scale resonant tunnelling diodes, which exhibit similar current-voltage characteristics.","electronic lattices; electronic lifetime of confined states; field-emission resonances; negative differential resistance; resonant transport; scanning tunneling microscopy (STM); scanning tunneling spectroscopy (STS)","en","journal article","","","","","","","","","","QN/Quantum Nanoscience","QN/Otte Lab","","",""
"uuid:ded77cd6-04b4-4d4b-8c7a-97beb662393c","http://resolver.tudelft.nl/uuid:ded77cd6-04b4-4d4b-8c7a-97beb662393c","GHz half wavelength contact acoustic microscopy (HaWaCAM): A feasibility study","Quesson, B. A.J. (TNO); van Neer, P.L.M.J. (TU Delft ImPhys/Medical Imaging; TNO); Tamer, M. S. (TNO); Hatakeyama, K. (TNO); Van Es, M. H. (TNO); van Riel, M.C.A. (TNO); Piras, D. (TNO)","","2022","The semiconductor industry needs to fit ever more devices per unit area to improve their performance; hence a trend towards increasingly complex structures by varying material combinations and 3D geometries with increasing aspect ratios. The new materials used may be optically opaque, posing problems for traditional optical metrology methods. One solution is to use acoustical waves, which present the double advantage of not being hampered by optically opaque layers and allowing for penetration depths of 10's of μm at sub-μm wavelengths; which is considerably larger than most traditional optical methods (O(100 nm's - μm's)). Here, we present a novel acoustic metrology method using GHz ultrasound waves to measure deeply buried subsurface features (>5 μm). The concept consisted of a GHz acoustic transducer integrated above the tip of a custom designed probe, which is then scanned across a sample. The method uses non-damaging solid-solid contact without the need for liquid coupling layers - in contrast to conventional acoustical microscopy. This allows for the use of much higher acoustic frequencies, hence higher on-axis resolutions. The transducer is used in pulse-echo mode and a stage controller is used to move the probe for scanning. An experimental setup was built with a 4 GHz transducer and tested successfully on 1.5-2 μm size features buried below a 5 μm PMMA or 10 μm SiO2layer, respectively. A good match was further obtained between the measurements and the model predictions. These results demonstrated the feasibility of the new method, opening new opportunities for metrology and inspection applications.","acoustic metrology; GHz acoustic metrology; half wavelength contact area; half-wavelength contact acoustic microscopy; HaWaCAM; solid contact","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository 'You share, we take care!' - Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-07-01","","","ImPhys/Medical Imaging","","",""
"uuid:00c02b7b-bc8b-4aab-8de5-c92d735492a1","http://resolver.tudelft.nl/uuid:00c02b7b-bc8b-4aab-8de5-c92d735492a1","Microscopic modulation and analysis of islets of Langerhans in living zebrafish larvae","Faraj, Noura (University Medical Center Groningen); Duinkerken, B. H.Peter (University Medical Center Groningen); Carroll, E.C.M. (TU Delft ImPhys/Microscopy Instrumentation & Techniques); Giepmans, Ben N.G. (University Medical Center Groningen)","","2022","Microscopic analysis of molecules and physiology in living cells and systems is a powerful tool in life sciences. While in vivo subcellular microscopic analysis of healthy and diseased human organs remains impossible, zebrafish larvae allow studying pathophysiology of many organs using in vivo microscopy. Here, we review the potential of the larval zebrafish pancreas in the context of islets of Langerhans and Type 1 diabetes. We highlight the match of zebrafish larvae with the expanding toolbox of fluorescent probes that monitor cell identity, fate and/or physiology in real time. Moreover, fast and efficient modulation and localization of fluorescence at a subcellular level, through fluorescence microscopy, including confocal and light sheet (single plane illumination) microscopes tailored to in vivo larval research, is addressed. These developments make the zebrafish larvae an extremely powerful research tool for translational research. We foresee that living larval zebrafish models will replace many cell line-based studies in understanding the contribution of molecules, organelles and cells to organ pathophysiology in whole organisms.","ablation; beta cell stress; endocrine cells; exocrine cells; fluorescent reporters; microscopy; optogenetics; single plane illumination; Type 1 diabetes; zebrafish","en","review","","","","","","","","","","","ImPhys/Microscopy Instrumentation & Techniques","","",""
"uuid:52f327b0-a999-4cb2-91f4-cae782141aed","http://resolver.tudelft.nl/uuid:52f327b0-a999-4cb2-91f4-cae782141aed","Fluidic Force Microscopy and Atomic Force Microscopy Unveil New Insights into the Interactions of Preosteoblasts with 3D-Printed Submicron Patterns","Angeloni, L. (TU Delft Micro and Nano Engineering); Popa, Bogdan (Student TU Delft); Nouri Goushki, M. (TU Delft Biomaterials & Tissue Biomechanics); Minneboo, M.B. (TU Delft Biomaterials & Tissue Biomechanics); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics); Ghatkesar, M.K. (TU Delft Micro and Nano Engineering); Fratila-Apachitei, E.L. (TU Delft Biomaterials & Tissue Biomechanics)","","2022","Physical patterns represent potential surface cues for promoting osteogenic differentiation of stem cells and improving osseointegration of orthopedic implants. Understanding the early cell–surface interactions and their effects on late cellular functions is essential for a rational design of such topographies, yet still elusive. In this work, fluidic force microscopy (FluidFM) and atomic force microscopy (AFM) combined with optical and electron microscopy are used to quantitatively investigate the interaction of preosteoblasts with 3D-printed patterns after 4 and 24 h of culture. The patterns consist of pillars with the same diameter (200 nm) and interspace (700 nm) but distinct heights (500 and 1000 nm) and osteogenic properties. FluidFM reveals a higher cell adhesion strength after 24 h of culture on the taller pillars (32 ± 7 kPa versus 21.5 ± 12.5 kPa). This is associated with attachment of cells partly on the sidewalls of these pillars, thus requiring larger normal forces for detachment. Furthermore, the higher resistance to shear forces observed for these cells indicates an enhanced anchorage and can be related to the persistence and stability of lamellipodia. The study explains the differential cell adhesion behavior induced by different pillar heights, enabling advancements in the rational design of osteogenic patterns.","3D-printing; atomic force microscopy (AFM); cell adhesion; cell biophysics; fluidic force microscopy (FluidFM); preosteoblasts; submicron pillars","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:8bc03a17-7bcd-44bf-b068-e714afbea8c8","http://resolver.tudelft.nl/uuid:8bc03a17-7bcd-44bf-b068-e714afbea8c8","Experimental Determination of a Single Atom Ground State Orbital through Hyperfine Anisotropy","Farinacci, L.S.M. (TU Delft QN/Otte Lab; Kavli institute of nanoscience Delft); Veldman, L.M. (TU Delft QN/Otte Lab; Kavli institute of nanoscience Delft); Willke, Philip (Karlsruhe Institut für Technologie); Otte, A. F. (TU Delft QN/Otte Lab; Kavli institute of nanoscience Delft)","","2022","Historically, electron spin resonance (ESR) has provided excellent insight into the electronic, magnetic, and chemical structure of samples hosting spin centers. In particular, the hyperfine interaction between the electron and the nuclear spins yields valuable structural information about these centers. In recent years, the combination of ESR and scanning tunneling microscopy (ESR-STM) has allowed to acquire such information about individual spin centers of magnetic atoms bound atop a surface, while additionally providing spatial information about the binding site. Here, we conduct a full angle-dependent investigation of the hyperfine splitting for individual hydrogenated titanium atoms on MgO/Ag(001) by measurements in a vector magnetic field. We observe strong anisotropy in both the g factor and the hyperfine tensor. Combining the results of the hyperfine splitting with the symmetry properties of the binding site obtained from STM images and a basic point charge model allows us to predict the shape of the electronic ground state configuration of the titanium atom. Relying on experimental values only, this method paves the way for a new protocol for electronic structure analysis for spin centers on surfaces.","electron spin resonance; hyperfine interaction; magnetic sensing; scanning tunneling microscopy; single-atom magnetism; vector magnetic field","en","journal article","","","","","","","","","","","QN/Otte Lab","","",""
"uuid:fcd822ea-affc-4db7-af51-f6c0ae204de9","http://resolver.tudelft.nl/uuid:fcd822ea-affc-4db7-af51-f6c0ae204de9","Three-Dimensional Atomic-Scale Tomography of Buried Semiconductor Heterointerfaces","Koelling, Sebastian (Polytechnique Montreal); Stehouwer, L.E.A. (TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Paquelet Wuetz, B. (TU Delft BUS/Quantum Delft; TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Scappucci, G. (TU Delft QCD/Scappucci Lab; TU Delft QuTech Advanced Research Centre; Kavli institute of nanoscience Delft); Moutanabbir, Oussama (Polytechnique Montreal)","","2022","Atom probes generate three-dimensional atomic-scale tomographies of material volumes corresponding to the size of modern-day solid-state devices. Here, the capabilities of atom probe tomography are evaluated to analyze buried interfaces in semiconductor heterostructures relevant for electronic and quantum devices. Employing brute-force search, the current dominant reconstruction protocol to generate tomographic three-dimensional images from Atom Probe data is advanced to its limits. Using Si/SiGe heterostructure for qubits as a model system, the authors show that it is possible to extract interface properties like roughness and width that agree with transmission electron microscopy observations on the sub-nanometer scale in an automated and highly reproducible manner. The demonstrated approach is a versatile method for atomic-scale characterization of buried interfaces in semiconductor heterostructures.","atom probe tomography; atomic scale microscopy; epitaxial interfaces; semiconductor heterostructures","en","journal article","","","","","","","","","","","QCD/Scappucci Lab","","",""
"uuid:0f1c4fec-753a-4ea0-83e5-d1ead4d6b6fa","http://resolver.tudelft.nl/uuid:0f1c4fec-753a-4ea0-83e5-d1ead4d6b6fa","Correlative light and electron microscopy reveals fork-shaped structures at actin entry sites of focal adhesions","Legerstee, Karin (Erasmus MC); Sueters, J. (TU Delft ImPhys/Microscopy Instrumentation & Techniques); Abraham, Tsion E. (Erasmus MC); Slotman, Johan A. (Erasmus MC); Kremers, Gert Jan (Erasmus MC); Hoogenboom, J.P. (TU Delft ImPhys/Microscopy Instrumentation & Techniques); Houtsmuller, A.B. (Erasmus MC)","","2022","Focal adhesions (FAs) are the main cellular structures to link the intracellular cytoskeleton to the extracellular matrix. FAs mediate cell adhesion, are important for cell migration and are involved in many (patho)-physiological processes. Here we examined FAs and their associated actin fibres using correlative fluorescence and scanning electron microscopy (SEM). We used fluorescence images of cells expressing paxillin-GFP to define the boundaries of FA complexes in SEM images, without using SEM contrast enhancing stains. We observed that SEM contrast was increased around the actin fibre entry site in 98% of FAs, indicating increases in protein density and possibly also phosphorylation levels in this area. In nearly three quarters of the FAs, these nanostructures had a fork shape, with the actin forming the stem and the high-contrast FA areas the fork. In conclusion, the combination of fluorescent and electron microscopy allowed accurate localisation of a highly abundant, novel fork structure at the FA-actin interface.","Cell migration; Correlative microscopy; Electron microscopy; Fluorescence microscopy; Focal adhesions","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-05-01","","","ImPhys/Microscopy Instrumentation & Techniques","","",""
"uuid:6fba8aca-d34d-4a33-b1f6-a796097d0c7d","http://resolver.tudelft.nl/uuid:6fba8aca-d34d-4a33-b1f6-a796097d0c7d","EMC oxidation under high temperature aging","Inamdar, A.S. (TU Delft Electronic Components, Technology and Materials); Gromala, Przemyslaw Jakub (Robert Bosch GmbH); Prisacaru, Alexandru (Robert Bosch GmbH); Kabakchiev, Alexander (Robert Bosch GmbH); Yang, Yu Hsiang (University of Maryland); Han, Bongtae (University of Maryland)","van Driel, Willem Dirk (editor); Mehr, Maryam Yazdan (editor)","2022","Epoxy molding compound (EMC) is widely used for encapsulating automotive electronics. Among all of the components of an electronic package, EMC is most exposed to the atmosphere, and thus undergoes aging. During high-temperature operation, EMC is oxidized, which alters its mechanical properties, and thus can affect the reliability of electronic components. This chapter focuses on four key aspects of EMC oxidation – (1) the growth of EMC oxidation layer, (2) the mechanical properties of oxidized EMC, (3) the effect of oxidized EMC on thermomechanical behavior of a molded package, and (4) the effect of EMC oxidation on solder joint reliability. This study utilizes various experimental characterization techniques as well as finite element simulation-based analysis.","Epoxy molding compound (EMC); thermal aging; oxidation; fluorescence microscopy; activation energy; dynamic mechanical analysis (DMA); digital image correlation (DIC); elastic modulus; coefficient of thermal expansion (CTE); glass transition temperature; moiré interferometry; finite element simulation; thermal fatigue; solder joint reliability","en","book chapter","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2023-03-09","","","Electronic Components, Technology and Materials","","",""
"uuid:bb197cfd-b5a4-4b6c-933c-52f7d1d2732f","http://resolver.tudelft.nl/uuid:bb197cfd-b5a4-4b6c-933c-52f7d1d2732f","Localization microscopy of constrained fluorescent molecules: Pushing towards Ångström-scale resolution through cryogenics","Hulleman, C.N. (TU Delft ImPhys/Computational Imaging)","Rieger, B. (promotor); Stallinga, S. (promotor); Delft University of Technology (degree granting institution)","2021","i>Localization microscopy has circumvented the diffraction limit by sequentially imaging individual light emitting molecules at a time. The position of these individual molecules can be determined and a super-resolution reconstruction is made with improved resolution. Normally freely rotating emitters are used such that the point spread function (PSF) is rotationally symmetric and only minor errors in the localization process are made by approximating the PSF with a Gaussian. The precision with which the individual emitters can be localized scales with the 1/√N, N the number of detected photons so that more detected photons leads to a better localization precision. However, the emission of fluorescent molecules is limited by photobleaching, a light induced chemical reaction to a permanent non-fluorescent state. In this thesis we investigate the effect of cooling the sample to cryogenic temperatures with liquid nitrogen. This reduces the chemical reaction rates and improves photostability more than 100 fold. To use localization microscopy it is necessary to switch the fluorescent molecules between an on-state and off-state, this turns out to be difficult at cryogenic temperatures. Standard methods used at room temperature in aqueous media do not work. As the molecules are frozen in place at cryogenic temperatures we use polarized light to selectively image molecules with certain orientations at a time. To realize this it is necessary to generate pure linear polarization with an arbitrary orientation in the sample plane. By calibrating the phase difference induced by the dichroic mirrors this can be achieved, effectively modulating the fluorescence of fixed dipole emitters at cryogenic temperatures. The addition of an orthogonal linearly polarized stimulated emission depletion (STED) beam narrows the orientational distribution of fluorescing molecules. This method does induce some degree of sparsity, however, it is not enough for localization microscopy of dense biological samples. Furthermore, the STED process reduces the photon yield of single molecules. This is presumably caused by the long dark-state recovery measured on fluorescent molecules in vacuum and at cryogenic temperatures. Localization microscopy of fixed or orientationally constrained emitters has long been avoided as the orientation of individual molecules leads to bias in the localizations. There are various ways to eliminate this bias but they reduce the amount of information that can be extracted from the sample. By fixing the orientation of fluorescent emitters to biomolecules of interest they become reporters for the orientation of the biomolecules. We have devised the so-called Vortex PSF with which the orientation, 3D position and degree of rotational constraint can be extracted from a single image. Alternatively the orientation of single-molecules can be probed with varying polarization states over multiple frames achieving a better precision with less photons.","super-resolution; single-molecule localization microscopy (SMLM); cryogenic temperatures; stimulated emission depletion; fluorescence; optical aberrations; polarization","en","doctoral thesis","","9789463842785","","","","","","2021-12-23","","","ImPhys/Computational Imaging","","",""
"uuid:25111657-c744-49ef-84f9-3beba5a48e63","http://resolver.tudelft.nl/uuid:25111657-c744-49ef-84f9-3beba5a48e63","An Edgy Journey with Transition Metal Dichalcogenides: From Flakes to Nanopillars","Maduro, L.A. (TU Delft QN/Conesa-Boj Lab)","Kuipers, L. (promotor); Conesa Boj, S. (copromotor); Delft University of Technology (degree granting institution)","2021","The family of transitionmetal dichalcogenides offer a unique platformfor electronic and optical tunability due to the sensitivity to their dimensional configuration, edge terminations, and varying crystal phases. In this thesis we focus on structures based on the transition metal dichalcogenides MoS2 and WS2. We study how different crystal phases and edge structures of these two transition metal dichalcogenides affect their optical, electronic, and structural behaviour with the use of electron energy loss spectroscopy, energy-dispersive X-ray spectroscopy, and high resolution spatial imaging in the transmission electron microscopy in order to carry out our studies. When possible, we complement our experimental studies with ab initio calculations.","Transition Metal Dichalcogenides; Density Functional Theory; Nanofabrication; Electron Energy Loss Spectroscopy; Transmission Electron Microscopy; Scanning Transmission Electron Microscopy; MoS2 nanostructures","en","doctoral thesis","","978-90-8593-489-9","","","","","","","","","QN/Conesa-Boj Lab","","",""
"uuid:39db65ee-6e96-4dc5-a654-22893e5c8a29","http://resolver.tudelft.nl/uuid:39db65ee-6e96-4dc5-a654-22893e5c8a29","Towards a heat transfer based distance sensor for measuring sub-micrometer separations","Bijster, R.J.F. (TU Delft Computational Design and Mechanics)","van Keulen, A. (promotor); Gerini, Giampiero (promotor); Delft University of Technology (degree granting institution)","2021","In this thesis a proof-of-principle demonstration is developed that uses the heat flux between a probe and a sample as a proxy for their separation. The proposed architecture uses a probe that consists of a bilayer cantilever with an attached sphere at its free end. The deflection of the cantilever that is caused by the heat input is measured using the optical beam deflection method. To eliminate temperature dependent effects the temperatures of the probe and the sample are kept constant. Moreover, a total internal reflection microscopy is included to provide an independent measurement of the separation between the probe and the sample. This architecture allows the measurement of the heat flux as a function of only the separation.
An equation is derived that relates the output signal of the instrument directly to the heat flux that is absorbed by the probe. It couples the top-level design parameters to the system output and is used to study and design the separate elements. In addition to the design of the instrument, the research contributes a detailed study of the influences of the microsphere and the microcantilever on the heat flux measurement.
2O revealed structures of the same scale within the interior and enabled quantification of their size. SESANS with hydration by D2O proved to be the only scattering technique that could determine the structure of the bulk of these types of materials, and it should be used as an important tool for characterizing polymer medical devices.","Atomic force microscopy; Interpenetrating polymer network; Neutron scattering","en","journal article","","","","","","","","","","","RST/Neutron and Positron Methods in Materials","","",""
"uuid:e93ed451-0f9f-4de1-9233-67e35c228183","http://resolver.tudelft.nl/uuid:e93ed451-0f9f-4de1-9233-67e35c228183","Optimization of negative stage bias potential for faster imaging in large-scale electron microscopy","Lane, R. (TU Delft ImPhys/Microscopy Instrumentation & Techniques); Vos, Y. (TU Delft ImPhys/Microscopy Instrumentation & Techniques); Wolters, A.E. (University Medical Center Groningen); van Kessel, L.C.P.M. (TU Delft ImPhys/Microscopy Instrumentation & Techniques); Chen, S. Elisa (University Medical Center Utrecht); Liv, Nalan (University Medical Center Utrecht); Klumperman, Judith (University Medical Center Utrecht); Giepmans, Ben N.G. (University Medical Center Groningen); Hoogenboom, J.P. (TU Delft ImPhys/Microscopy Instrumentation & Techniques)","","2021","Large-scale electron microscopy (EM) allows analysis of both tissues and macromolecules in a semi-automated manner, but acquisition rate forms a bottleneck. We reasoned that a negative bias potential may be used to enhance signal collection, allowing shorter dwell times and thus increasing imaging speed. Negative bias potential has previously been used to tune penetration depth in block-face imaging. However, optimization of negative bias potential for application in thin section imaging will be needed prior to routine use and application in large-scale EM. Here, we present negative bias potential optimized through a combination of simulations and empirical measurements. We find that the use of a negative bias potential generally results in improvement of image quality and signal-to-noise ratio (SNR). The extent of these improvements depends on the presence and strength of a magnetic immersion field. Maintaining other imaging conditions and aiming for the same image quality and SNR, the use of a negative stage bias can allow for a 20-fold decrease in dwell time, thus reducing the time for a week long acquisition to less than 8 h. We further show that negative bias potential can be applied in an integrated correlative light electron microscopy (CLEM) application, allowing fast acquisition of a high precision overlaid LM-EM dataset. Application of negative stage bias potential will thus help to solve the current bottleneck of image acquisition of large fields of view at high resolution in large-scale microscopy.","Correlative light and electron microscopy; Electron microscopy; High-throughput imaging; Large-scale electron microscopy; Stage bias; Volume electron microscopy","en","journal article","","","","","","","","","","","ImPhys/Microscopy Instrumentation & Techniques","","",""
"uuid:0cb2b8ca-eea4-471a-8c9a-f2caa8d155b8","http://resolver.tudelft.nl/uuid:0cb2b8ca-eea4-471a-8c9a-f2caa8d155b8","Scanning Probe Microscopy Facility for Operando Study of Redox Processes on Lithium ion Battery Electrodes","Legerstee, W.J. (TU Delft RST/Storage of Electrochemical Energy; Rotterdam University of Applied Sciences); Boekel, M.G.M. (TU Delft RST/Storage of Electrochemical Energy); Boonstra, S. (Rotterdam University of Applied Sciences); Kelder, E.M. (TU Delft RST/Storage of Electrochemical Energy)","","2021","An Atomic Force Microscope (AFM) is combined with a special designed glovebox system and coupled to a Galvanostat/Potentiostat to allow measurements on electrochemical properties for battery research. An open cell design with electrical contacts makes it possible to reach the electrode surface with the cantilever so as to perform measurements during battery operation. A combined AFM-Scanning Electro-Chemical Microscopy (AFM-SECM) approach makes it possible to simultaneously obtain topological information and electrochemical activity. Several methods have been explored to provide the probe tip with an amount of lithium so that it can be used as an active element in a measurement. The “wet methods” that use liquid electrolyte appear to have significant drawbacks compared to dry methods, in which no electrolyte is used. Two dry methods were found to be best applicable, with one method applying metallic lithium to the tip and the second method forming an alloy with the silicon of the tip. The amount of lithium applied to the tip was measured by determining the shift of the resonance frequency which makes it possible to follow the lithiation process. A FEM-based probe model has been used to simulate this shift due to mass change. The AFM-Galvanostat/Potentiostat set-up is used to perform electrochemical measurements. Initial measurements with lithiated probes show that we are able to follow ion currents between tip and sample and perform an electrochemical impedance analysis in absence of an interfering Redox-probe. The active probe method developed in this way can be extended to techniques in which AFM measurements can be combined with mapping electrochemical processes with a spatial resolution.","atomic force microscope; batteries; lithium ion battery; operando; scanning probe microscopy; silicon lithium alloying","en","journal article","","","","","","","","","","","RST/Storage of Electrochemical Energy","","",""
"uuid:ccf972c5-9d60-4f55-a570-297dbb8ef9e4","http://resolver.tudelft.nl/uuid:ccf972c5-9d60-4f55-a570-297dbb8ef9e4","Electron-Beam Induced Luminescence and Bleaching in Polymer Resins and Embedded Biomaterial","Srinivasa Raja, A. (TU Delft ImPhys/Microscopy Instrumentation & Techniques); de Boer, Pascal (University Medical Center Groningen); Giepmans, Ben N.G. (University Medical Center Groningen); Hoogenboom, J.P. (TU Delft ImPhys/Microscopy Instrumentation & Techniques)","","2021","Electron microscopy is crucial for imaging biological ultrastructure at nanometer resolution. However, electron irradiation also causes specimen damage, reflected in structural and chemical changes that can give rise to alternative signals. Here, luminescence induced by electron-beam irradiation is reported across a range of materials widely used in biological electron microscopy. Electron-induced luminescence is spectrally characterized in two epoxy (Epon, Durcupan) and one methacrylate resin (HM20) over a broad electron fluence range, from 10−4 to 103 mC cm−2, both with and without embedded biological samples. Electron-induced luminescence is pervasive in polymer resins, embedded biomaterial, and occurs even in fixed, whole cells in the absence of resin. Across media, similar patterns of intensity rise, spectral red-shifting, and bleaching upon increasing electron fluence are observed. Increased landing energies cause reduced scattering in the specimen shifting the luminescence profiles to higher fluences. Predictable and tunable electron-induced luminescence in natural and synthetic polymer media is advantageous for turning many polymers into luminescent nanostructures or to fluorescently visualize (micro)plastics. Furthermore, these findings provide perspective to direct electron-beam excitation approaches like cathodoluminescence that may be obscured by these nonspecific electron-induced signals.","cathodoluminescence; correlative light and electron microscopy; electron-beam induced luminescence; electron-beam irradiation; embedding resins; polymer luminescence; radiation damage","en","journal article","","","","","","","","","","","ImPhys/Microscopy Instrumentation & Techniques","","",""
"uuid:8351b5a5-475f-4216-9912-cd2c698922c7","http://resolver.tudelft.nl/uuid:8351b5a5-475f-4216-9912-cd2c698922c7","Ground-State Depletion Nanoscopy of Nitrogen-Vacancy Centres in Nanodiamonds","Storteboom, J. (TU Delft ImPhys/Microscopy Instrumentation & Techniques; Swinburne University of Technology); Barbiero, Martina (The Institute of Cancer Research and The Royal Marsden NHS Foundation Trust); Castelletto, Stefania (Swinburne University of Technology; Royal Melbourne Institute of Technology University); Gu, Min (Swinburne University of Technology; Royal Melbourne Institute of Technology University; University of Shanghai for Science and Technology)","","2021","The negatively charged nitrogen-vacancy (NV -) centre in nanodiamonds (NDs) has been recently studied for applications in cellular imaging due to its better photo-stability and biocompatibility if compared to other fluorophores. Super-resolution imaging achieving 20-nm resolution of NV - in NDs has been proved over the years using sub-diffraction limited imaging approaches such as single molecule stochastic localisation microscopy and stimulated emission depletion microscopy. Here we show the first demonstration of ground-state depletion (GSD) nanoscopy of these centres in NDs using three beams, a probe beam, a depletion beam and a reset beam. The depletion beam at 638 nm forces the NV - centres to the metastable dark state everywhere but in the local minimum, while a Gaussian beam at 594 nm probes the NV - centres and a 488-nm reset beam is used to repopulate the excited state. Super-resolution imaging of a single NV - centre with a full width at half maximum of 36 nm is demonstrated, and two adjacent NV - centres separated by 72 nm are resolved. GSD microscopy is here applied to NV - in NDs with a much lower optical power compared to bulk diamond. This work demonstrates the need to control the NDs nitrogen concentration to tailor their application in super-resolution imaging methods and paves the way for studies of NV - in NDs’ nanoscale interactions.","Nanodiamonds; Nitrogen-vacancy centre; Super-resolution microscopy","en","journal article","","","","","","","","","","","ImPhys/Microscopy Instrumentation & Techniques","","",""
"uuid:b9daecaa-8157-4c94-8daf-2c7f3dfaf125","http://resolver.tudelft.nl/uuid:b9daecaa-8157-4c94-8daf-2c7f3dfaf125","Integrating Sphere Fourier Microscopy of Highly Directional Emission","Van Der Burgt, Julia S. (AMOLF); Dieleman, Christian D. (AMOLF); Johlin, Eric (University of Western Ontario); Geuchies, J.J. (TU Delft ChemE/Opto-electronic Materials); Houtepen, A.J. (TU Delft ChemE/Opto-electronic Materials); Ehrler, Bruno (AMOLF); Garnett, Erik C. (AMOLF)","","2021","Accurately controlling light emission using nano- and microstructured lenses and antennas is an active field of research. Dielectrics are especially attractive lens materials due to their low optical losses over a broad bandwidth. In this work we measure highly directional light emission from patterned quantum dots (QDs) aligned underneath all-dielectric nanostructured microlenses. The lenses are designed with an evolutionary algorithm and have a theoretical directivity of 160. The fabricated structures demonstrate an experimental full directivity of 61 ± 3, three times higher than what has been estimated before, with a beaming half-angle of 2.6°. This high value compared to previous works is achieved via three mechanisms. First, direct electron beam patterning of QD emitters and alignment markers allowed for more localized emission and better emitter-lens alignment. Second, the lens fabrication was refined to minimize distortions between the designed shape and the final structure. Finally, a new measurement technique was developed that combines integrating sphere microscopy with Fourier microscopy. This enables complete directivity measurements, contrary to other reported values, which are typically only partial directivities or estimates of the full directivity that rely partly on simulations. The experimentally measured values of the complete directivity were higher than predicted by combining simulations with partial directivity measurements. High directivity was obtained from three different materials (cadmium-selenide-based QDs and two lead halide perovskite materials), emitting at 520, 620, and 700 nm, by scaling the lens size according to the emission wavelength.","dielectric; directivity; Fourier microscopy; integrating sphere microscopy; nanoantenna; nanolens","en","journal article","","","","","","","","","","","ChemE/Opto-electronic Materials","","",""
"uuid:9f9eeeb6-7c7c-4913-a27f-ed63e6495452","http://resolver.tudelft.nl/uuid:9f9eeeb6-7c7c-4913-a27f-ed63e6495452","Short-Wave Infrared Confocal Fluorescence Imaging of Deep Mouse Brain with a Superconducting Nanowire Single-Photon Detector","Xia, Fei (Cornell University College of Engineering); Gevers, Monique (Single Quantum); Fognini, Andreas (Single Quantum); Mok, Aaron T. (Cornell University College of Engineering); Li, Bo (Cornell University College of Engineering); Akbari, Najva (Cornell University College of Engineering); Esmaeil Zadeh, I.Z. (TU Delft ImPhys/Optics; Single Quantum); Qin-Dregely, Y. (TU Delft BUS/Quantum Delft; Single Quantum); Xu, Chris (Cornell University College of Engineering)","","2021","Optical microscopy is a valuable tool for in vivo monitoring of biological structures and functions because of its noninvasiveness. However, imaging deep into biological tissues is challenging due to the scattering and absorption of light. Previous research has shown that the two optimal wavelength windows for high-resolution deep mouse brain imaging are around 1300 and 1700 nm. However, one-photon fluorescence imaging in the wavelength region has been highly challenging due to the poor detection efficiency of currently available detectors. To fully utilize this wavelength advantage, we demonstrated here one-photon confocal fluorescence imaging of deep mouse brains with an excitation wavelength of 1310 nm and an emission wavelength within the 1700 nm window. Fluorescence emission at 1700 nm was detected by a custom-built superconducting nanowire single-photon detector (SNSPD) optimized for detection between 1600 nm and 2000 nm with low detection noise and high detection efficiency. With the PEGylated quantum dots and SNSPD both positioned at the optimal imaging window for deep tissue penetration, we demonstrated in vivo one-photon confocal fluorescence imaging at approximately 1.7 mm below the surface of the mouse brain, through the entire cortical column and into the hippocampus region with a low-cost continuous-wave laser source and low excitation power. We further discussed the significance of the staining inhomogeneity in determining the depth limit of one-photon confocal fluorescence imaging. Our work may motivate the further development of long wavelength fluorescent probes, and inspire innovations in high-efficiency, high-gain, and low-noise long wavelength detectors for biological imaging.","confocal microscopy; deep brain imaging; quantum dots; short-wave infrared region; superconducting nanowire single-photon detector","en","journal article","","","","","","","","","","","ImPhys/Optics","","",""
"uuid:b2608ed7-2ea8-4cd2-aacb-597ab32cc0be","http://resolver.tudelft.nl/uuid:b2608ed7-2ea8-4cd2-aacb-597ab32cc0be","Position-Controlled Fabrication of Vertically Aligned Mo/MoS2 Core–Shell Nanopillar Arrays","Maduro, L.A. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft); Noordam, M.L. (TU Delft QN/Kuipers Lab; Kavli institute of nanoscience Delft); Bolhuis, M. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft); Kuipers, L. (TU Delft QN/Quantum Nanoscience; Kavli institute of nanoscience Delft); Conesa Boj, S. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft)","","2021","The fabrication of 2D materials, such as transition metal dichalcogenides (TMDs), in geometries beyond the standard platelet-like configuration exhibits significant challenges which severely limit the range of available morphologies. These challenges arise due to the anisotropic character of their bonding van der Waals out-of-plane while covalent in-plane. Furthermore, industrial applications based on TMD nanostructures with non-standard morphologies require full control on the size-, morphology-, and position on the wafer scale. Such a precise control remains an open problem of which solution would lead to the opening of novel directions in terms of optoelectronic applications. Here, a novel strategy to fabricate position-controlled Mo/MoS2 core–shell nanopillars (NPs) is reported on. Metal-Mo NPs are first patterned on a silicon wafer. These Mo NPs are then used as scaffolds for the synthesis of Mo/MoS2 core/shell NPs by exposing them to a rich sulfur environment. Transmission electron microscopy analysis reveals the core/shell nature of the NPs. It is demonstrated that individual Mo/MoS2 NPs exhibits significant nonlinear optical processes driven by the MoS2 shell, realizing a precise localization of the nonlinear signal. These results represent an important step towards realizing 1D TMD-based nanostructures as building blocks of a new generation of nanophotonic devices.","2D materials; cryo-etching; Mo/MoS core–shell nanostructures; nanopillar arrays; nonlinear optics; sum frequency generation; transmission electron microscopy","en","journal article","","","","","","","","","","QN/Quantum Nanoscience","QN/Conesa-Boj Lab","","",""
"uuid:b6531264-63e4-439f-9e43-c48ec59f98e3","http://resolver.tudelft.nl/uuid:b6531264-63e4-439f-9e43-c48ec59f98e3","Gelation Kinetics-Structure Analysis of pH-triggered Low Molecular Weight Hydrogelators","Lakshminarayanan, Vasudevan (Student TU Delft); Chockalingam, Cindhuja (External organisation); Mendes, E. (TU Delft ChemE/Advanced Soft Matter); van Esch, J.H. (TU Delft ChemE/Advanced Soft Matter)","","2021","Properties such as shear modulus, gelation time, structure of supramolecular hydrogels are strongly dependent on self-assembly, gelation triggering mechanism and processes used to form the gel. In our work we extend reported rheology analysis methodologies to pH-triggered supramolecular gels to understand structural insight using a model system based on N−N’ Dibenzoyl-L-Cystine pH-triggered hydrogelator and Glucono-δ-Lactone as the trigger. We observed that Avrami growth model when applied to time-sweep rheological data of gels formed at lower trigger concentrations provide estimates of fractal dimension which agree well compared with visualization of the microstructure as seen via Confocal Laser Scanning Microscopy, for a range of gelator concentrations.","confocal microscopy; fractal dimension; hydrogelator; kinetics; rheology","en","journal article","","","","","","","","","","","ChemE/Advanced Soft Matter","","",""
"uuid:8efccba2-0afc-4218-945d-1ecdc4d1e70f","http://resolver.tudelft.nl/uuid:8efccba2-0afc-4218-945d-1ecdc4d1e70f","Completing the canvas: advances and challenges for DNA-PAINT super-resolution imaging","van Wee, R.G. (TU Delft BN/Chirlmin Joo Lab; Kavli institute of nanoscience Delft); Filius, M. (TU Delft BN/Chirlmin Joo Lab; Kavli institute of nanoscience Delft); Joo, C. (TU Delft BN/Chirlmin Joo Lab; Kavli institute of nanoscience Delft)","","2021","Single-molecule localization microscopy (SMLM) is a potent tool to examine biological systems with unprecedented resolution, enabling the investigation of increasingly smaller structures. At the forefront of these developments is DNA-based point accumulation for imaging in nanoscale topography (DNA-PAINT), which exploits the stochastic and transient binding of fluorescently labeled DNA probes. In its early stages the implementation of DNA-PAINT was burdened by low-throughput, excessive acquisition time, and difficult integration with live-cell imaging. However, recent advances are addressing these challenges and expanding the range of applications of DNA-PAINT. We review the current state of the art of DNA-PAINT in light of these advances and contemplate what further developments remain indispensable to realize live-cell imaging.","acquisition speed; DNA-PAINT; live-cell imaging; multiplexing; single-molecule localization microscopy; super-resolution microscopy","en","review","","","","","","Accepted Author Manuscript","","2022-10-14","","","BN/Chirlmin Joo Lab","","",""
"uuid:18067279-513a-4f9e-814f-82fba5d3ee3e","http://resolver.tudelft.nl/uuid:18067279-513a-4f9e-814f-82fba5d3ee3e","Measuring Image Resolution in Ultrasound Localization Microscopy","Hingot, V. (Sorbonne Université); Chavignon, A. (Sorbonne Université); Heiles, B.G. (TU Delft ImPhys/Medical Imaging); Couture, O. (Sorbonne Université)","","2021","The resolution of an imaging system is usually determined by the width of its point spread function and is measured using the Rayleigh criterion. For most system, it is in the order of the imaging wavelength. However, super resolution techniques such as localization microscopy in optical and ultrasound imaging can resolve features an order of magnitude finer than the wavelength. The classical description of spatial resolution no longer applies and new methods need to be developed. In optical localization microscopy, the Fourier Ring Correlation has showed to be an effective and practical way to estimate spatial resolution for Single Molecule Localization Microscopy data. In this work, we wish to investigate how this tool can provide a direct and universal estimation of spatial resolution in Ultrasound Localization Microscopy. Moreover, we discuss the concept of spatial sampling in Ultrasound Localization Microscopy and demonstrate how the Nyquist criterion for sampling drives the spatial/temporal resolution tradeoff. We measured spatial resolution on five different datasets over rodent's brain, kidney and tumor finding values between 11~\mu \text{m} and 34~\mu \text{m} for precision of localization between 11~\mu \text{m} and 15~\mu \text{m}. Eventually, we discuss from those in vivo datasets how spatial resolution in Ultrasound Localization Microscopy depends on both the localization precision and the total number of detected microbubbles. This study aims to offer a practical and theoretical framework for image resolution in Ultrasound Localization Microscopy.","Fourier ring correlation; Nyquist criterion; temporal and spatial resolutions; Ultrasound localization microscopy","en","journal article","","","","","","","","","","","ImPhys/Medical Imaging","","",""
"uuid:5e2ba8d5-017c-40a2-9d33-e08a58f1f6ac","http://resolver.tudelft.nl/uuid:5e2ba8d5-017c-40a2-9d33-e08a58f1f6ac","Temperature dependency of the toughening capability of electrospun PA66 nanofibers for carbon/epoxy laminates","Saeedifar, M. (TU Delft Structural Integrity & Composites); Saghafi, Hamed (Tafresh University; Amirkabir University of Technology); Mohammadi, Reza (Amirkabir University of Technology); Zarouchas, D. (TU Delft Structural Integrity & Composites)","","2021","The present study evaluates the toughening capability of electrospun PA66 nanofibers for carbon/epoxy composite laminates subjected to mode II loading conditions at elevated temperatures. The Dynamic Mechanical Analysis (DMA) test showed that the glass transition temperature of the produced nanofibers is in a range of ∼60–80 °C. Accordingly, End-Notched Flexure (ENF) carbon/epoxy specimens interleaved by a 50 μm-layer of electrospun PA66 nanofibers were subjected to the quasi-static mode II loading at room temperature (∼25 °C), 100 °C, 125 °C, and 160 °C. At room temperature, the mode II interlaminar fracture toughness (GIIC) of the nano-modified specimen was ∼4 times higher than the virgin specimen (non-modified) (3.12 kJ/m2 vs 0.81 kJ/m2). The results showed that GIIC of the virgin specimen was independent of temperature. However, in the case of the nano-modified specimen, although the GIIC did not change from room temperature to 100 °C (3.12 kJ/m2 vs 3.09 kJ/m2), by further increasing temperature to 125 °C and 160 °C, GIIC dropped by 34% and 43% respectively (2.05 kJ/m2 and 1.77 kJ/m2 respectively). 3D surface scans and Scanning Electron Microscopy (SEM) images of the fracture surface revealed three reasons for decreasing the toughening capability of the PA66 nanofibers at high temperatures: a) the crack crosses the nano-layer less at high temperatures, b) the dominant damage mechanism at low temperature is “cohesive failure”, the damage propagation within the nanolayer, while at higher temperatures “adhesive failure”, the debonding of the nanolayer from carbon fibers, plays a critical role in the fracture, and c) severe plastic deformation of nanofibers at high temperatures.","Electro-spinning; Fractography; Fracture toughness; Nano composites; Scanning electron microscopy","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:24dc13e4-7fb3-474b-85d8-f6ac1171ef2e","http://resolver.tudelft.nl/uuid:24dc13e4-7fb3-474b-85d8-f6ac1171ef2e","Charting the low-loss region in electron energy loss spectroscopy with machine learning","Roest, Laurien I. (Kavli institute of nanoscience Delft; Science Park 105); van Heijst, S.E. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft); Maduro, L.A. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft); Rojo, Juan (Science Park 105; Vrije Universiteit Amsterdam); Conesa Boj, S. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft)","","2021","Exploiting the information provided by electron energy-loss spectroscopy (EELS) requires reliable access to the low-loss region where the zero-loss peak (ZLP) often overwhelms the contributions associated to inelastic scatterings off the specimen. Here we deploy machine learning techniques developed in particle physics to realise a model-independent, multidimensional determination of the ZLP with a faithful uncertainty estimate. This novel method is then applied to subtract the ZLP for EEL spectra acquired in flower-like WS2 nanostructures characterised by a 2H/3R mixed polytypism. From the resulting subtracted spectra we determine the nature and value of the bandgap of polytypic WS2, finding EBG=1.6−0.2+0.3eV with a clear preference for an indirect bandgap. Further, we demonstrate how this method enables us to robustly identify excitonic transitions down to very small energy losses. Our approach has been implemented and made available in an open source PYTHON package dubbed EELSfitter.","Bandgap; Electron energy loss spectroscopy; Machine learning; Neural networks; Transition metal dichalcogenides; Transmission electron microscopy","en","journal article","","","","","","","","","","","QN/Conesa-Boj Lab","","",""
"uuid:63247334-0fef-4210-b6cd-1c2b97d0cb0a","http://resolver.tudelft.nl/uuid:63247334-0fef-4210-b6cd-1c2b97d0cb0a","Combined focused electron beam-induced deposition and etching for the patterning of dense lines without interconnecting material","Hari, S. (TU Delft ImPhys/Microscopy Instrumentation & Techniques); Trompenaars, P. H.F. (Thermo Fisher Scientific); Mulders, J. J.L. (Thermo Fisher Scientific); Kruit, P. (TU Delft ImPhys/Microscopy Instrumentation & Techniques); Hagen, C.W. (TU Delft ImPhys/Microscopy Instrumentation & Techniques)","","2021","High resolution dense lines patterned by focused electron beam-induced deposition (FEBID) have been demonstrated to be promising for lithography. One of the challenges is the presence of interconnecting material, which is often carbonaceous, between the lines as a result of the Gaussian line profile. We demonstrate the use of focused electron beam-induced etching (FEBIE) as a scanning electron microscope (SEM)-based direct-write technique for the removal of this interconnecting material, which can be implemented without removing the sample from the SEM for post processing. Secondary electron (SE) imaging has been used to monitor the FEBIE process, and atomic force microscopy (AFM) measurements confirm the fabrication of well separated FEBID lines. We further demonstrate the application of this technique for removing interconnecting material in high resolution dense lines using backscattered electron (BSE) imaging to monitor the process.","Focused electron beam-induced deposition; Focused electron beam-induced etching; Interconnects; Lithography; Nanopatterning; Scanning electron microscopy","en","journal article","","","","","","","","","","","ImPhys/Microscopy Instrumentation & Techniques","","",""
"uuid:236620cf-1ecc-4f1c-83b8-0a9932907d90","http://resolver.tudelft.nl/uuid:236620cf-1ecc-4f1c-83b8-0a9932907d90","Mechanical characterization of nanopillars by atomic force microscopy","Angeloni, L. (TU Delft Micro and Nano Engineering); Ganjian, M. (TU Delft Biomaterials & Tissue Biomechanics); Nouri Goushki, M. (TU Delft Biomaterials & Tissue Biomechanics); Mirzaali, Mohammad J. (TU Delft Biomaterials & Tissue Biomechanics); Hagen, C.W. (TU Delft ImPhys/Microscopy Instrumentation & Techniques); Zadpoor, A.A. (TU Delft Biomaterials & Tissue Biomechanics); Fratila-Apachitei, E.L. (TU Delft Biomaterials & Tissue Biomechanics); Ghatkesar, M.K. (TU Delft Micro and Nano Engineering)","","2021","Micro- and nano-patterns are gaining increasing attraction in several fields ranging from nanoelectronics to bioengineering. The mechanical properties of the nanostructures (nanopillars, nanotubes, nanowires, etc.) are highly relevant for many applications but challenging to determine. Existing mechanical characterization methods require mounting the testing setup inside a scanning electron microscope (SEM) and additional sample modification. Here, we propose two atomic force microscopy (AFM) methods, based on contact mode imaging (CMI) and force spectroscopy imaging (FSI), to determine the mechanical characteristics of individual micro- and nanopillars as fabricated, without using SEM. We present the working principles of both methods and two case studies on nanopillars fabricated by additive manufacturing methods: two-photon polymerization (2PP) and electron beam induced deposition (EBID). Various mechanical parameters were determined using CMI and FSI, respectively. For the 2PP nanopillars, we measured the stiffness (13.5 ± 3.2 N/m and 15.9 ± 2.6 N/m), the maximum lateral force (883.0 ± 89.5 nN and 889.6 ± 113.6 nN), the maximum deflection (64.2 ± 13.6 nm and 58.3 ± 14.24 nm), the failure stress (0.3 ± 0.03 GPa and 0.3 ± 0.02 GPa), and the adhesion force (56.6 ± 4.5 µN and 58.6 ± 5.2 µN). For the EBID nanopillars, we measured the failure stress (2.9 ± 0.2 GPa and 2.7 ± 0.4 GPa). The similar results obtained using both techniques confirmed the efficacy and consistency of the methods. The proposed methodologies have the potential of enabling otherwise impossible measurements particularly when the specimens need to be tested under wet conditions, such as patterns for mechanobiological studies.","Atomic force microscopy; Contact mode; Force spectroscopy; Nanomechanical characterization; Nanomechanics; Nanopillars","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:a174a2de-7054-46fe-b839-0c19d2bb5965","http://resolver.tudelft.nl/uuid:a174a2de-7054-46fe-b839-0c19d2bb5965","Insights into animal septins using recombinant human septin octamers with distinct SEPT9 isoforms","Iv, Francois (Aix Marseille Université); Martins, Carla Silva (Aix Marseille Université); Castro Linares, G. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft); Taveneau, Cyntia (Monash University; Sorbonne Université, Paris); Barbier, Pascale (Aix Marseille Université); Verdier-Pinard, Pascal (Aix Marseille Université); Camoin, Luc (Aix Marseille Université); Audebert, Stéphane (Aix Marseille Université); Koenderink, G.H. (TU Delft BN/Gijsje Koenderink Lab; Kavli institute of nanoscience Delft; AMOLF)","","2021","Septin GTP-binding proteins contribute essential biological functions that range from the establishment of cell polarity to animal tissue morphogenesis. Human septins in cells form hetero-octameric septin complexes containing the ubiquitously expressed SEPT9 subunit (also known as SEPTIN9). Despite the established role of SEPT9 in mammalian development and human pathophysiology, biochemical and biophysical studies have relied on monomeric SEPT9, thus not recapitulating its native assembly into hetero-octameric complexes. We established a protocol that enabled, for the first time, the isolation of recombinant human septin octamers containing distinct SEPT9 isoforms. A combination of biochemical and biophysical assays confirmed the octameric nature of the isolated complexes in solution. Reconstitution studies showed that octamers with either a long or a short SEPT9 isoform form filament assemblies, and can directly bind and cross-link actin filaments, raising the possibility that septin-decorated actin structures in cells reflect direct actin-septin interactions. Recombinant SEPT9-containing octamers will make it possible to design cell-free assays to dissect the complex interactions of septins with cell membranes and the actin and microtubule cytoskeleton.","In vitro reconstitution; Analytical ultracentrifugation; Cytoskeleton; Electron microscopy; Human septins; Mass spectrometry; Protein biochemistry; SEPT9 isoforms","en","journal article","","","","","","Accepted Author Manuscript","","2022-08-05","","","BN/Gijsje Koenderink Lab","","",""
"uuid:dabbd303-1894-444e-9a8c-32ae7d0a5dcc","http://resolver.tudelft.nl/uuid:dabbd303-1894-444e-9a8c-32ae7d0a5dcc","The effect of temperature on fatigue strength of poly(ether-imide)/multiwalled carbon nanotube/carbon fibers composites for aeronautical application","Santos, Luis F.P. (São Paulo State University); Ribeiro, Bruno (Universidade Federal de Sao Paulo); Hein, Luis R.O. (São Paulo State University); Alderliesten, R.C. (TU Delft Structural Integrity & Composites); Zarouchas, D. (TU Delft Structural Integrity & Composites); Botelho, Edson C. (São Paulo State University); Costa, Michelle L. (São Paulo State University)","","2020","This work concerns the fatigue behavior at three different temperature conditions (−40, 20, and 80°C) and the addition of multiwalled carbon nanotube (MWCNT) into a carbon-fiber reinforced poly(ether-imide) composite. The incorporation of MWCNT into the composite increased the tensile strength and Young's modulus by up 5 and 2%, respectively. At low temperature, the incorporation of the nanoparticles improved the fatigue strength of the laminates by 15%. The shear strength results obtained by interlaminar shear strength and compression shear test tests have shown an increase of about 16 and 58%, respectively, by the introduction of nanotubes into the laminates. Fractographic observations revealed that the surface of carbon nanotube laminate (PEI/MWCNT/CF) presented a ductile behavior, and differences in the fracture aspects of the material compared to the traditional PEI/CF laminate have been observed.","composites; fullerenes; graphene; mechanical properties; microscopy; nanotubes","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","","","","Structural Integrity & Composites","","",""
"uuid:0c9f992c-7390-4d8f-aa6d-d89f0e7866a0","http://resolver.tudelft.nl/uuid:0c9f992c-7390-4d8f-aa6d-d89f0e7866a0","Electronic Properties of (Pseudo-) Two-Dimensional Materials","Janssen, V.A.E.C. (TU Delft QN/van der Zant Lab)","van der Zant, H.S.J. (promotor); van der Molen, S.J. (promotor); Delft University of Technology (degree granting institution)","2020","This thesis describes research into the interaction between electrons and various (pseudo) two-dimensional materials. This research is using two approaches: in Chapters 3 and 4 a low-energy electron microscope is used, and in Chapters 5 and 6 transport properties are studied. Chapter 1 introduces the concept of a two-dimensional material. First, the various kinds of such materials are illustrated. Secondly, the specific materials used in this thesis will be treated. We will see that two-dimensionality can be achieved in different ways: first of all top-down in a method where layers are peeled off a crystal until a single atomic layer remains. Secondly: bottom-up, in a method where a single layer is created from smaller components. Chapter 2 introduces the setup which was used for the measurements in Chapters 3 and 4. In these chapters, we will look at materials using electrons, in a low-energy electron microscope (LEEM). A regular microscope works by illuminating a sample with light. In a microscope, we observe bright and dark patches (corresponding to reflection and absorption of the light, respectively), as well as colors (corresponding to reflection and absorption of different wavelengths or energies of the light). We can also magnify objects using lenses. The LEEM works in a very comparable way, with the major difference that we do not use light (i.e. photons) but electrons to image the sample. An image is formed by electrons after interaction with the sample has taken place. This image can also be magnified, and contains bright and dark patches, from which the interaction of the material with the electrons can be established. Besides this, it is possible to change the electron energy in the setup, which makes it possible to measure the interaction at different energies. In the third Chapter we use the LEEM’s ability to measure the atomic orientation of thin layers of crystal. We look at graphene, a two-dimensional lattice of carbon atoms. This graphene was grown on a wafer. Contrary to peeling a crystal to atomically thin layers, this growth method is compatible with industrial processes, which require large slabs of graphene in predictable shapes. In developing these growing methods, it turns out to be difficult to grow large pieces of single-crystal material. With LEEM we look at differences in angular orientation in a layer of graphene. The motivation for this is that boundaries between such domains have a negative influence on the conductive properties of the material. In the fourth Chapter a method is extended to measure and visualize band structures in two-dimensional materials. We look specifically at molybdenum disulfide (MoS2) and hexagonal boron nitride (hBN). The method (scanning ARRES) rapidly scans the electron bream across the first Brillouin zone. This gives a complete image of the band structure of these materials at energies above the Fermi level plus work function. The fifth and sixth Chapters concern single layer superstructures built out of nanocrystals. The building blocks are lead selenide (PbSe) single crystals in the form of a truncated cube, with a diameter of about 5 nm. By allowing these crystals to organize on a fluid surface, a single layer of crystals emerges. These crystals bond covalently in the direction of the atomic lattice. The material which emerges from this process can have multiple shapes, in this thesis we study the square structure. In Chapter 5 we study the conductance properties of such a structure at room temperature, under the influence of an ionic-fluid gate. This gate makes is possible to achieve high charge densities in these structures. We measure high mobilities for these systems, in the order of 1 cm2/Vs. In the sixth Chapter these samples are cooled to approximately 4 K. Despite the high mobilities measured in Chapter 5, the dependence of the conductance with temperature shows that transport is dominated by a hopping process and not by band transport, at the length scale of these samples.","2D materials; low energy electron microscopy (LEEM); angleresolved reflected-electron spectroscopy (ARRES); nano-crystal supper lattices; transport; ionic-liquid gate","en","doctoral thesis","","978-90-8593-448-6","","","","","","","","","QN/van der Zant Lab","","",""
"uuid:706a49f8-f86d-49ef-aee8-22ab105b8175","http://resolver.tudelft.nl/uuid:706a49f8-f86d-49ef-aee8-22ab105b8175","The role of ppGpp in E. coli cell size control","Büke, F. (TU Delft BN/Greg Bokinsky Lab)","Tans, S.J. (promotor); Bokinsky, G.E. (promotor); Delft University of Technology (degree granting institution)","2020","Bacteria have been an integral part of human life since the ancient times either as cooperative tenants living in and around us or as constant threats to our health and wellbeing. Since prehistoric times we unknowingly used them as tools of fermentation and fought against them with haphazardly discovered natural remedies. Today, after more than 3 centuries since they were first observed with a microscope, our understanding of their functions has increased immensely along with our ability to alter it. We have discovered on a molecular level how life stores and transfers information, how this information is used to build biochemical machines with a myriad of functions, namely proteins, and how these proteins undertake their functions. Along with a better understanding came the ability to alter the biological information within DNA and to create new proteins that does not occur in nature.","Single cell; live cell microscopy; microfluidics; metabolism; regulation; E. coli; cell size; growth; ppGpp; Guanosine tetraphosphate","en","doctoral thesis","","978-94-6402-501-9","","","","","","","","","BN/Greg Bokinsky Lab","","",""
"uuid:2544d1be-5c42-4eea-b360-9e9273f4f218","http://resolver.tudelft.nl/uuid:2544d1be-5c42-4eea-b360-9e9273f4f218","Holographic wavefield imaging for surface reconstruction and 3d tomography","van Rooij, J. (TU Delft ImPhys/Computational Imaging)","Kalkman, J. (promotor); van Vliet, L.J. (copromotor); Delft University of Technology (degree granting institution)","2020","Optical imaging is the imaging of objects with visible light. It is a tool often used for diagnostic purposes, such as in biomedical and material sciences. Digital holography is an optical imaging technique that captures and images the amplitude as well as the phase (the complex amplitude) of the lightwave. An advantage is that the complex amplitude can be calculated in different propagation planes. The goal of this thesis is to use digital holography to image depth of a reflecting surface aswell asmake 3D images of biological samples, and to contribute to the theoretical understanding in this regard.","Holography; Tomography; Imaging; Interferometry; Metrology; Zebrafish; Microscopy; 3D Imaging; Biomedical imaging; Digital holography; Phase imaging; Polarization; Surface characterization; Talbot effect; Inverse problems; Optics: optical devices and systems","en","doctoral thesis","","978-94-6421-006-4","","","","","","","","","ImPhys/Computational Imaging","","",""
"uuid:dfdfb7e1-795b-4939-be5c-96da4efc21dd","http://resolver.tudelft.nl/uuid:dfdfb7e1-795b-4939-be5c-96da4efc21dd","Visualizing response to DNA damage in bacteria","Deb Roy, S. (TU Delft BN/Nynke Dekker Lab)","Dekker, N.H. (promotor); Delft University of Technology (degree granting institution)","2020","The basis of this thesis has been the curiosity, however modest, to understand how DNA replication happens in vivo, particularly during the onset of DNA damage and beyond. DNA damage is a recurring phenomenon, which a (bacterial) cell faces in its lifetime from the environment or even its inherent metabolism. While we understand much about replication in general from decades of research, our understanding is not comprehensive without understanding how replication is affected, when the cell is under DNA damage and/ or under repair. In terms of genome replication, the effects of DNA damage may be at the level of: a. Replisome components b. Accessory components of the replisome In this thesis and with a limited time span of a PhD research, I (along with my colleagues) have reported on one component each of the two categories stated above in the bacterial model Escherichia coli. In the former case, we have investigated the replicative helicase DnaB and in the latter case, the translesion DNA polymerase IV (Pol IV).","DNA damage; DNA repair; DNA replication; bacterial replisome; translesion polymerases; live cell imaging; single-molecule fluorescence microscopy","en","doctoral thesis","","978-90-8593-439-4","","","","","","","","","BN/Nynke Dekker Lab","","",""
"uuid:4345c365-efd6-49e1-975d-3e66028a8e53","http://resolver.tudelft.nl/uuid:4345c365-efd6-49e1-975d-3e66028a8e53","Smart optics against smart parasites: Towards point-of-care optical diagnosis of malaria and urogenital schistosomiasis","Agbana, T.E. (TU Delft Team Raf Van de Plas)","Vdovin, Gleb (promotor); Verhaegen, M.H.G. (promotor); Delft University of Technology (degree granting institution)","2020","Malaria remains an important cause of high morbidity and mortality worldwide. According to World Health Organisation (WHO) malaria report for 2017, malaria accounted for the death of 435,000 people. It is the leading cause of death among pregnant women and little children. 11% of maternal and 20% of under–five deaths are attributed to malaria every year. Malaria transmission is currently active in 95 countries putting the lives of 3.2 billion people at risk. 40% of the malaria related deaths are linked to Nigeria and the Democratic republic of the Congo. Since malaria symptoms are generally non-specific and usually overlap with the symptoms of other febrile illnesses, clinical diagnosis are typically presumptive and often results into high number of false positives which potentially lead to the abuse of antimalarial drugs. The consistent abuse of antimalarial drugs has produced the consequent effect of drug resistance which is a major concern in the current global malaria control and elimination efforts. The WHO therefore recommends that an effective malaria case management plan must be predicated on a standard parasite-based confirmatory diagnostic test. Conventional light microscopy is the recommended reference diagnostic standard prescribed by the World Health Organisation. This method is particularly of interest because it allows parasite specie differentiation, quantification of the parasite density in a given blood smear, high accuracy (although this depends on the expertise of the microscopist), low direct cost, visualization of different stages of the parasite development etc. While well-equipped laboratories for malaria diagnosis are commonly available in developed urban and peri-urban areas, low-resource settings of malaria endemicity usually have very limited options. The recommended standard microscopy is less accessible in resource-limited settings because of the following: lack of required technical skills, incessant power outages, lack of efficient maintenance capability, delayed diagnosis due to intense workload, inaccuracies due to manual counting of the parasites detected in the blood film etc. The inaccuracies of parasite density estimation eventually affects the accuracy and efficiency of the prescribed treatment which could have fatal consequences. A diagnostic process is termed inconclusive by the WHO until and unless a minimum of 100 measurement (microscopy examination of 100 high powered-fields) has been done on a prepared thick blood film. For a thin blood film which provides more details about the morphology of the parasite, an average of 800 measurement is required. This is an easy task for laboratory technologist in malaria non-endemic countries where an average of 120 malaria cases occur yearly. But for malaria endemic country where several thousand cases are reported daily, this is by no means a mean task as it demands full concentration, time, high expertise and experience. To realize current global effort to reduce the heavy malaria burden, the need for a reliable, efficient, accurate and automated point-of-care diagnostic tool cannot be overemphasized. The focus of this thesis work therefore, is to develop smart optical methods that alleviate the burden of manual microscopy by researching methods to optimise existing imaging modalities which can be integrated with smart algorithms for quick malaria parasite detection in infected patients. Aside malaria, schistosomiasis is the second most common parasitic diseases. Although it falls into the category of a Neglected Tropical Disease (NTD), 220.8 million people required preventive treatment in the year 2017 according to the World Health Organisation report. It is a disease of the poor and it is prevalent in tropical and subtropical areas and particularly common in communities where there is no access to clean drinking water and proper sanitation. 779 million people are at risk of contracting this disease which results into impaired growth and development, diminished physical fitness, bladder cancer and decreased neurocognitive abilities. Although safe and effective medication is widely available for treatment, accurate diagnostic techniques for schistosomiasis is hugely underdeveloped and remains a critical challenge. Intestinal and urogenital schistosomiasis are the two variants of this Neglected tropical disease but in this research, we focus on urogenital schistosomiasis (caused by S. haemtobium) because it is most prevalent among the population we worked with and also because it is easier to detect in urine. The diagnostic protocol for S. haemtobium prescribes urine filtration with WHO recommended standard membrane filters (with 12 μl pore size). Several critical measurements by an expert must be done to detect the targeted foreign bodies (parasite eggs) in the urine samples before a reliable conclusion can be made. Also for a confirmatory diagnosis, it is standard practice to examine different samples collected from the patient at different specific intervals. This is particularly recommended to increase the amount of sample analysis per patient thereby increasing the sensitivity of the test. Since this process involves the microscopy examination of filtered urine samples, it is also limited by the challenges already described for standard malaria microscopy. Although several antigen and antibody based rapid diagnostic test kits have been developed for both malaria and schistosomiasis, the reliability of the performance of these diagnostic test is still a major concern. This thesis is aimed at the development of reliable, robust, accurate, cost effective and easy-to-use point-of-care optical devices for quick diagnosis of malaria and urogenital disease in human samples. This thesis begins by looking at light microscopy with extended depth of field. Wavefront coding with adaptive optics and digital inline holography have been considered in this work. An optimal configuration that guarantees maximum resolution based on the coherence property of illuminating source and the specification of the imaging sensor is prescribed. In this system, interference of a plane and object wave at the detector plane generates a hologram from which the complex amplitude of the field in the object plane can be numerically reconstructed by solving an inverse source problem. This method is of practical interest particularly because unlike the conventional microscope, details in transparent biological samples can be retrieved since both amplitude and the phase of the field is reconstructed. It provides potential solution towards label-free diagnosis of parasitic diseases. Combined with flow cytometry and data-driven algorithms we applied this methodology to the development of rapid detection of S. haemtobium. A working prototype device with the potential to map the diseases has been developed and tested on the field. The system design takes into consideration practical field conditions such as ease-of-use, cost, harsh environmental conditions, erratic power outages, system robustness against dust and other artifacts. Feedbacks and results from the field are very promising. Leveraging on recent advances in cellphone and 3-D printing technologies we developed an automated cell-phone based microscope towards the realization of a rapid point-of-care diagnosis of malaria. The challenge here is to optimise the optical train of a low-cost commonly available cell-phone to detect malaria parasite with sufficient resolution. It was found that existing cell-phone based microscope could not resolve the 1 µm size malaria parasites because of the system optical aberration and the numerical aperture limit of the phone objectives. Although this method demonstrate the capability of the cell phone based microscope to image malaria parasite, however the achievable field of view is limited to 150 × 150 µm. This implies that over 600 measurement is needed for a conclusive diagnosis. We circumvent this limitation by the novel implementation of computer-assisted dry fluorescent microscopy. Using computational analysis of image containing large number of blood cells, we establish a robust statistics which provides reliable diagnostic recommendation. The technique was tested with in vitro and in vivo samples and has demonstrated its suitability for highly sensitive, robust and automated diagnostics of malaria. It requires minimal human intervention, uses simple sample preparation, provides high degree of independence of expert judgement, and has a potential for massive community screening for malaria control and elimination programs. The design specifications for the development of working prototypes presented in this thesis took into account feedbacks from diagnostic experts from the following non-governmental organisations: Doctors without Borders, Malaria Consortium, AMREF, Save the Children and Christian Aid (Nigeria). Also, our methodology was thoroughly validated by discussions and interactions with experts on the field (in Nigeria, Ivory Coast, Gabon, Uganda and Ghana) and with parasitologists, researchers and vaccine developers in the Netherlands, Spain, Ireland and Germany, leading to valuable new insights.”
It is our goal that the diagnostic methods and prototype presented in this thesis will be used to compliment the limitations of the existing diagnostic techniques.
In order to make crucibles from the local, non-refractory clays, a hitherto unknown ceramic-faience hybrid was used: A combination of clay and halophytic plant ash was mixed with silt into a paste, and this was used to construct the crucible. During firing, the flux would promote melting of the clays and probably prevent catastrophic failure of the crucibles. The resulting glassy groundmass – in which silt grains are embedded and partially dissolved – is rich in Al2O3 as well as in Na2O, K2O, CaO, MgO and Fe2O3.
It is likely that this technique of crucible manufacture was widespread in Late Prehistory in areas where no refractory clays were available.","3D-printing; Metallurgical ceramics; Micro CT; Microscopy; SEM-EDX; Thin sections","en","journal article","","","","","","","","","","","Geo-engineering","","",""
"uuid:0bc12ac5-057f-44d8-9d8a-9faf19475296","http://resolver.tudelft.nl/uuid:0bc12ac5-057f-44d8-9d8a-9faf19475296","Robust Sample Preparation of Large-Area In- and Out-of-Plane Cross Sections of Layered Materials with Ultramicrotomy","Cichocka, M.O. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft); Bolhuis, M. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft); van Heijst, S.E. (Kavli institute of nanoscience Delft); Conesa Boj, S. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft)","","2020","Layered materials (LMs) such as graphene or MoS2 have attracted a great deal of interest recently. These materials offer unique functionalities due to their structural anisotropy characterized by weak van der Waals bonds along the out-of-plane axis and covalent bonds in the in-plane direction. A central requirement to access the structural information on complex nanostructures built upon LMs is to control the relative orientation of each sample prior to their inspection, e.g., with transmission electron microscopy (TEM). However, developing sample preparation methods that result in large inspection areas and ensure full control over the sample orientation while avoiding damage during the transfer to the TEM grid is challenging. Here, we demonstrate the feasibility of deploying ultramicrotomy for the preparation of LM samples in TEM analyses. We show how ultramicrotomy leads to the reproducible large-scale production of both in-plane and out-of-plane cross sections, with bulk vertically oriented MoS2 and WS2 nanosheets as a proof of concept. The robustness of the prepared samples is subsequently verified by their characterization by means of both high-resolution TEM and Raman spectroscopy measurements. Our approach is fully general and should find applications for a wide range of materials as well as of techniques beyond TEM, thus paving the way to the systematic large-area mass-production of cross-sectional specimens for structural and compositional studies.","electron microscopy; in- and out-of-plane cross sections; layered materials; Raman spectroscopy; sample preparation; transmission electron microscopy characterization; ultramicrotomy","en","journal article","","","","","","","","","","","QN/Conesa-Boj Lab","","",""
"uuid:c791571a-aa13-45c3-8854-04c344f20078","http://resolver.tudelft.nl/uuid:c791571a-aa13-45c3-8854-04c344f20078","Understanding the influence of three-dimensional sidewall roughness on observed line-edge roughness in scanning electron microscopy images","van Kessel, L.C.P.M. (TU Delft ImPhys/Microscopy Instrumentation & Techniques); Huisman, T.A. (ASML); Hagen, Cornelis W. (Student TU Delft)","","2020","Background: Line-edge roughness (LER) is often measured from top-down critical dimension scanning electron microscope (CD-SEM) images. The true three-dimensional roughness profile of the sidewall is typically ignored in such analyses. Aim: We study the response of a CD-SEM to sidewall roughness (SWR) by simulation. Approach: We generate random rough lines and spaces, where the SWR is modeled by a known power spectral density. We then obtain corresponding CD-SEM images using a Monte Carlo electron scattering simulator. We find the measured LER from these images and compare it to the known input roughness. Results: For isolated lines, the SEM measures the outermost extrusion of the rough sidewall. The result is that the measured LER is up to a factor of 2 less than the true on-wafer roughness. The effect can be modeled by making a top-down projection of the rough edge. Our model for isolated lines works fairly well for a dense grating of lines and spaces as long as the trench width exceeds the line height. Conclusions: In order to obtain and compare accurate LER values, the projection effect of SWR needs to be taken into account.","line edge roughness; metrology; Monte Carlo methods; scanning electron microscopy; sidewall roughness","en","journal article","","","","","","","","","","","ImPhys/Microscopy Instrumentation & Techniques","","",""
"uuid:f46169f1-2773-4b68-afcd-0c71732c9cfa","http://resolver.tudelft.nl/uuid:f46169f1-2773-4b68-afcd-0c71732c9cfa","Retarding Field Integrated Fluorescence and Electron Microscope","Vos, Y. (TU Delft ImPhys/Microscopy Instrumentation & Techniques); Lane, R. (TU Delft ImPhys/Microscopy Instrumentation & Techniques); Peddie, Chris J. (Francis Crick Institute); Wolters, A.E. (University Medical Center Groningen); Hoogenboom, J.P. (TU Delft ImPhys/Microscopy Instrumentation & Techniques)","","2020","The authors present the application of a retarding field between the electron objective lens and sample in an integrated fluorescence and electron microscope. The retarding field enhances signal collection and signal strength in the electron microscope. This is beneficial for samples prepared for integrated fluorescence and electron microscopy as the amount of staining material added to enhance electron microscopy signal is typically lower compared to conventional samples in order to preserve fluorescence. We demonstrate signal enhancement through the applied retarding field for both 80-nm post-embedding immunolabeled sections and 100-nm in-resin preserved fluorescence sections. Moreover, we show that tuning the electron landing energy particularly improves imaging conditions for ultra-thin (50 nm) sections, where optimization of both retarding field and interaction volume contribute to the signal improvement. Finally, we show that our integrated retarding field setup allows landing energies down to a few electron volts with 0.3 eV dispersion, which opens new prospects for assessing electron beam induced damage by in situ quantification of the observed bleaching of the fluorescence following irradiation.","backscattered electron detection; correlative light and electron microscopy; electron beam induced damage; retarding field; SEM","en","journal article","","","","","","","","","","","ImPhys/Microscopy Instrumentation & Techniques","","",""
"uuid:a6cc796e-012c-4dd9-98f4-6bfda227c1da","http://resolver.tudelft.nl/uuid:a6cc796e-012c-4dd9-98f4-6bfda227c1da","Operando Transmission Electron Microscopy Study of All-Solid-State Battery Interface: Redistribution of Lithium among Interconnected Particles","Basak, S. (TU Delft RST/Storage of Electrochemical Energy; Forschungszentrum Jülich GmbH); Migunov, Vadim (Forschungszentrum Jülich GmbH); Lee, Q. (TU Delft Applied Sciences); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); Vijay, Ashwin (Student TU Delft); Ooms, F.G.B. (TU Delft RST/Technici Pool); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy); Kelder, E.M. (TU Delft RST/Storage of Electrochemical Energy); Arszelewska, Violetta (TU Delft RST/Storage of Electrochemical Energy)","","2020","With operando transmission electron microscopy visualizing the solid-solid electrode-electrolyte interface of silicon active particles and lithium oxide solid electrolyte as a model system, we show that (de)lithiation (battery cycling) does not require all particles to be in direct contact with electrolytes across length scales of a few hundred nanometers. A facile lithium redistribution that occurs between interconnected active particles indicates that lithium does not necessarily become isolated in individual particles due to loss of a direct contact. Our results have implications for the design of all-solid-state battery electrodes with improved capacity retention and cyclability. ©","(de)lithiation; all-solid-state batteries; electrode-electrolyte interface; electron microscopy; operando TEM","en","journal article","","","","","","","","","Applied Sciences","","RST/Storage of Electrochemical Energy","","",""
"uuid:a62a5889-1355-405f-8bf7-025073529b36","http://resolver.tudelft.nl/uuid:a62a5889-1355-405f-8bf7-025073529b36","Microstructure, precipitate and property evolution in cold-rolled Ti-V high strength low alloy steel","Zhang, Xukai (Rijksuniversiteit Groningen); Ioannidou, C. (TU Delft (OLD) MSE-1); ten Brink, Gert H. (Rijksuniversiteit Groningen); Navarro Lopez, A. (TU Delft (OLD) MSE-3); Wormann, Jan (Tata Steel); Campaniello, Jean (Tata Steel Europe Limited); Dalgliesh, Robert M. (Rutherford Appleton Laboratory); van Well, A.A. (TU Delft RID/Algemeen/Bedrijfsondersteuning); Offerman, S.E. (TU Delft (OLD) MSE-1); Kranendonk, Winfried (Tata Steel); Kooi, Bart J. (Rijksuniversiteit Groningen)","","2020","A cold-rolled Ti-V high strength low alloy (HSLA) steel was isothermally annealed at 650 °C and 700 °C for different times. A unique combination of techniques including visible light microscopy (VLM), transmission electron microscopy (TEM), matrix dissolution, small angle neutron scattering (SANS) and hardness measurement has been employed to investigate the evolution of microstructure, hardness and precipitate composition, size and volume fraction. Results show that recrystallization is completed after annealing 8 h at 650 °C and 30 min at 700 °C. Three types of precipitates were identified: large Ti(C,N), medium-size (Ti,V)(C,N) and small (Ti,V)C. The Ti/(Ti+V) atomic ratio in the (Ti,V)C precipitates decreases with increasing radius in the 1–15 nm range, which can be explained by the initial nucleation of a TiC-rich core. The average size of the (Ti,V)C precipitates increases, whereas the number density decreases during annealing. The volume fractions of the three types of precipitates were separately determined by the matrix dissolution method. The volume fractions of (Ti,V)C precipitates obtained by matrix dissolution are comparable even slightly more accurate than those obtained by SANS. The hardness first increases and then decreases when annealing at both temperatures, which can be correlated well with the observed microstructural and precipitate evolution.","High strength low alloy steel; Matrix dissolution; Precipitate; Small angle neutron scattering; Titanium‑vanadium-carbide; Transmission electron microscopy","en","journal article","","","","","","","","","","","(OLD) MSE-1","","",""
"uuid:1c5db92d-95c4-40e0-ba73-ab140f72c6cd","http://resolver.tudelft.nl/uuid:1c5db92d-95c4-40e0-ba73-ab140f72c6cd","High-Resolution Imaging of Intracellular Calcium Fluctuations Caused by Oscillating Microbubbles","Beekers, D.I. (Erasmus MC); Mastik, Frits (Erasmus MC); Beurskens, Robert (Erasmus MC); Tang, Phoei Ying (Erasmus MC); Vegter, Merel (Erasmus MC); van der Steen, A.F.W. (TU Delft ImPhys/Medical Imaging; Erasmus MC); de Jong, N. (TU Delft ImPhys/Medical Imaging; Erasmus MC); Verweij, M.D. (TU Delft ImPhys/Medical Imaging; Erasmus MC); Kooiman, Klazina (Erasmus MC)","","2020","Ultrasound insonification of microbubbles can locally enhance drug delivery, but the microbubble–cell interaction remains poorly understood. Because intracellular calcium (Cai 2+) is a key cellular regulator, unraveling the Cai 2+ fluctuations caused by an oscillating microbubble provides crucial insight into the underlying bio-effects. Therefore, we developed an optical imaging system at nanometer and nanosecond resolution that can resolve Cai 2+ fluctuations and microbubble oscillations. Using this system, we clearly distinguished three Cai 2+ uptake profiles upon sonoporation of endothelial cells, which strongly correlated with the microbubble oscillation amplitude, severity of sonoporation and opening of cell–cell contacts. We found a narrow operating range for viable drug delivery without lethal cell damage. Moreover, adjacent cells were affected by a calcium wave propagating at 15 μm/s. With the unique optical system, we unraveled the microbubble oscillation behavior required for drug delivery and Cai 2+ fluctuations, providing new insight into the microbubble–cell interaction to aid clinical translation.","Cell–cell contact opening; Confocal microscopy; Drug delivery; High-speed imaging; Intracellular calcium; Microbubbles; Sonoporation; Ultrasound","en","journal article","","","","","","","","","","","ImPhys/Medical Imaging","","",""
"uuid:03782203-f8f2-43c8-8ff3-8b40048d3ebe","http://resolver.tudelft.nl/uuid:03782203-f8f2-43c8-8ff3-8b40048d3ebe","Applicability study of pulsed laser beam welding on ferritic–martensitic ODS eurofer steel","Fu, J. (TU Delft (OLD) MSE-5); van Slingerland, J. (TU Delft (OLD) MSE-5; FOM Institute DIFFER - Dutch Institute for Fundamental Energy Research); Brouwer, J.C. (TU Delft (OLD) MSE-1); Bliznuk, Vitaliy (Universiteit Gent); Richardson, I.M. (TU Delft (OLD) MSE-5); Hermans, M.J.M. (TU Delft (OLD) MSE-5)","","2020","Pulsed laser beam welding was used successfully to join the oxide dispersion-strengthened (ODS) Eurofer steel. The joining was conducted with a laser power of 2500 W and a pulsed duration of 4 ms. With the filler material being used, a minor material loss and microvoids were observed in the joint. The microstructure of the fusion zone consists of dual phase elongated structures. The heat-affected zone has a width of around 0.06 mm with finer grains. The transmission electron microscopy observation reveals that nanoprecipitates are finely distributed in the fusion zone. The tensile strength, yield strength and elongation of the joint are slightly inferior to the base material. The fractography results reveal a typical ductile fracture. The experimental results indicate a reasonable joint from the perspective of both the microstructure and mechanical behaviour.","Electron microscopy; Laser welding; Mechanical properties; Microstructure; Oxide dispersion strengthened (ODS) alloy","en","journal article","","","","","","","","","","","(OLD) MSE-5","","",""
"uuid:0cf65718-1e97-4e24-bce8-776520110204","http://resolver.tudelft.nl/uuid:0cf65718-1e97-4e24-bce8-776520110204","Microscopy techniques for determining water–cement (w/c) ratio in hardened concrete: A round-robin assessment","Wong, H.S. (Imperial College London); Poole, A.B. (The Geological Society); Wells, B. (Conwy Valley Systems); Eden, M. (Sandberg LLP); Barnes, R. (The Concrete Society); Ferrari, J. (RSK); Fox, R. (The Geological Society); Yio, M.H.N. (Imperial College London); Copuroglu, Oguzhan (TU Delft Materials and Environment)","","2020","Water to cement (w/c) ratio is usually the most important parameter specified in concrete design and is sometimes the subject of dispute when a shortfall in concrete strength or durability is an issue. However, determination of w/c ratio in hardened concrete by testing is very difficult once the concrete has set. This paper presents the results from an inter-laboratory round-robin study organised by the Applied Petrography Group to evaluate and compare microscopy methods for measuring w/c ratio in hardened concrete. Five concrete prisms with w/c ratios ranging from 0.35 to 0.55, but otherwise identical in mix design were prepared independently and distributed to 11 participating petrographic laboratories across Europe. Participants used a range of methods routine to their laboratory and these are broadly divided into visual assessment, measurement of fluorescent intensity and quantitative backscattered electron microscopy. Some participants determined w/c ratio using more than one method or operator. Consequently, 100 individual w/c ratio determinations were collected, representing the largest study of its type ever undertaken. The majority (81%) of the results are accurate to within ± 0.1 of the target mix w/c ratios, 58% come to within ± 0.05 and 37% are within ± 0.025. The study shows that microscopy-based methods are more accurate and reliable compared to the BS 1881-124 physicochemical method for determining w/c ratio. The practical significance, potential sources of errors and limitations are discussed with the view to inform future applications.","Backscattered electron microscopy; Fluorescence microscopy; Image analysis; Microstructure; Petrography; Water/cement ratio","en","journal article","","","","","","","","","","","Materials and Environment","","",""
"uuid:d3a5e9cb-ab2c-43f6-b278-071b9086e7b6","http://resolver.tudelft.nl/uuid:d3a5e9cb-ab2c-43f6-b278-071b9086e7b6","Uptake and subcellular distribution of radiolabeled polymersomes for radiotherapy","Roobol, Stefan J. (Erasmus MC); Hartjes, Thomas A. (Erasmus MC); Slotman, Johan A. (Erasmus MC); de Kruijff, R.M. (TU Delft RST/Applied Radiation & Isotopes); Kanaar, R. (Erasmus MC); Houtsmuller, A.B. (Erasmus MC); Denkova, A.G. (TU Delft RST/Applied Radiation & Isotopes); van Royen, M.E. (Erasmus MC); Essers, J. (Erasmus MC)","","2020","Polymersomes have the potential to be applied in targeted alpha radionuclide therapy, while in addition preventing release of recoiling daughter isotopes. In this study, we investigated the cellular uptake, post uptake processing and intracellular localization of polymersomes. Methods: High-content microscopy was used to validate polymersome uptake kinetics. Confocal (live cell) microscopy was used to elucidate the uptake mechanism and DNA damage induction. Intracellular distribution of polymersomes in 3-D was determined using super-resolution microscopy. Results: We found that altering polymersome size and concentration affects the initial uptake and overall uptake capacity; uptake efficiency and eventual plateau levels varied between cell lines; and mitotic cells show increased uptake. Intracellular polymersomes were transported along microtubules in a fast and dynamic manner. Endocytic uptake of polymersomes was evidenced through co-localization with endocytic pathway components. Finally, we show the intracellular distribution of polymersomes in 3-D and DNA damage inducing capabilities of213Bi labeled polymersomes. Conclusion: Polymersome size and concentration affect the uptake efficiency, which also varies for different cell types. In addition, we present advanced assays to investigate uptake characteristics in detail, a necessity for optimization of nano-carriers. Moreover, by elucidating the uptake mechanism, as well as uptake extent and geometrical distribution of radiolabeled polymersomes we provide insight on how to improve polymersome design.","Live cell confocal microscopy; Nano-carriers; Polymersomes; Radionuclide therapy; Uptake","en","journal article","","","","","","","","","","","RST/Applied Radiation & Isotopes","","",""
"uuid:8ce53c93-3fbc-443d-9883-f3db64d59256","http://resolver.tudelft.nl/uuid:8ce53c93-3fbc-443d-9883-f3db64d59256","Beam displacement and blur caused by fast electron beam deflection","Zhang, L. (TU Delft ImPhys/Charged Particle Optics; Beihang University); Garming, M.W.H. (TU Delft ImPhys/Charged Particle Optics); Hoogenboom, J.P. (TU Delft ImPhys/Charged Particle Optics); Kruit, P. (TU Delft ImPhys/Charged Particle Optics)","","2020","Electrostatic beam blankers are an alternative to photo-emission sources for generating pulsed electron beams for Time-resolved Cathodoluminescence and Ultrafast Electron Microscopy. While the properties of beam blankers have been extensively investigated in the past for applications in lithography, characteristics such as the influence of blanking on imaging resolution have not been fully addressed. We derive general analytical expressions for the spot displacement and loss in resolution induced by deflecting the electron beam in a blanker. In particular, we analyze the sensitivity of both measures to how precise the conjugate focus is aligned in between the deflector plates. We then work out the specific case of a beam blanker driven by a linear voltage ramp as was used in recent studies by others and by us. The result shows that the spot displacement and focus blur can be reduced to the same order as the electron beam probe size, even when using a beam blanker of millimeter or larger scale dimensions. An interesting result is that, by the right choice of the focus position in the deflector, either the spot displacement from the stationary position can be minimized, or the blur can be made zero but not both at the same time. Our results can be used both to characterize existing beam blanker setups and to design novel blankers. This can further develop the field of time-resolved electron microscopy by making it easier to generate pulses with a typical duration of tens of picoseconds in a regular scanning electron microscope at high spatial resolution.","Cathodoluminescence; Electrostatic deflector; Fast beam blanker; Scanning electron microscopy; Ultrafast electron microscopy","en","journal article","","","","","","","","","","","ImPhys/Charged Particle Optics","","",""
"uuid:518a7071-a5d5-4f8f-973d-aa12e1809bac","http://resolver.tudelft.nl/uuid:518a7071-a5d5-4f8f-973d-aa12e1809bac","Accessing lithium−oxygen battery discharge products in their native environments via transmission electron microscopy grid electrode","Basak, Shibabrata (Student TU Delft; Forschungszentrum Jülich GmbH); Baaij, Siemen (Student TU Delft); Ganapathy, S. (TU Delft RID/TS/Instrumenten groep); George, Chandramohan (Imperial College London); Tempel, Hermann (Forschungszentrum Jülich GmbH); Kungl, Hans (Forschungszentrum Jülich GmbH); Kelder, E.M. (TU Delft RST/Storage of Electrochemical Energy); Zandbergen, H.W. (TU Delft QN/Zandbergen Lab); Wagemaker, M. (TU Delft RST/Storage of Electrochemical Energy); Eichel, Rüdiger A. (Forschungszentrum Jülich GmbH; Rheinisch-Westfälische Technische Hochschule)","","2020","High-fidelity and facile ex situ transmission electron microscopy (TEM) characterization of lithium−oxygen (Li−O2) batteries is still limited by challenges in preserving the native environment of Li−O2 discharge products. The extreme reactivity and moisture sensitivity of the discharge products means that they are quickly altered during sample retrieval from cycled batteries and transfer for TEM analysis, resulting in loss of original information. We here demonstrate that by using a TEM specimen grid directly in Li−O2 batteries as both support electrode and sample collector overlaid on a standard oxygen diffusion electrode, discharge products that are formed on the grid can be kept pristine.","Batteries; Carbon specimen grid; Electrodes; Electron microscopy; Li−O chemistries","en","journal article","","","","","","","","","","","RID/TS/Instrumenten groep","","",""
"uuid:8d5e7506-6d24-4af1-b2ca-efeda438d37a","http://resolver.tudelft.nl/uuid:8d5e7506-6d24-4af1-b2ca-efeda438d37a","High-Speed Super-Resolution Imaging Using Protein-Assisted DNA-PAINT","Filius, M. (TU Delft BN/Chirlmin Joo Lab; Kavli institute of nanoscience Delft); Cui, T.J. (TU Delft BN/Chirlmin Joo Lab; Kavli institute of nanoscience Delft); Ananth, A.N. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft; TNO); Docter, M.W. (TU Delft BN/Technici en Analisten; Kavli institute of nanoscience Delft); Hegge, Jorrit W. (Wageningen University & Research); van der Oost, John (Wageningen University & Research); Joo, C. (TU Delft BN/Chirlmin Joo Lab; Kavli institute of nanoscience Delft)","","2020","Super-resolution imaging allows for the visualization of cellular structures on a nanoscale level. DNA-PAINT (DNA point accumulation in nanoscale topology) is a super-resolution method that depends on the binding and unbinding of DNA imager strands. The current DNA-PAINT technique suffers from slow acquisition due to the low binding rate of the imager strands. Here we report on a method where imager strands are loaded into a protein, Argonaute (Ago), which allows for faster binding. Ago preorders the DNA imager strand into a helical conformation, allowing for 10 times faster target binding. Using a 2D DNA origami structure, we demonstrate that Ago-assisted DNA-PAINT (Ago-PAINT) can speed up the current DNA-PAINT technique by an order of magnitude, while maintaining the high spatial resolution. We envision this tool to be useful for super-resolution imaging and other techniques that rely on nucleic acid interactions.","Ago-PAINT; Argonaute; DNA origami; DNA-PAINT; single-molecule FRET; super-resolution microscopy","en","journal article","","","","","","","","","","","BN/Chirlmin Joo Lab","","",""
"uuid:ad06e3d5-3df1-433d-abbb-0fe46b8dc2bd","http://resolver.tudelft.nl/uuid:ad06e3d5-3df1-433d-abbb-0fe46b8dc2bd","Electron beam-induced deposition of platinum from Pt(CO)2Cl2 and Pt(CO)2Br2","Mahgoub, A.M.I.M. (TU Delft ImPhys/Microscopy Instrumentation & Techniques); Lu, Hang (University of Florida); Thorman, Rachel M. (Johns Hopkins University); Preradovic, Konstantin (University of Central Florida); Jurca, Titel (University of Central Florida); McElwee-White, Lisa (University of Florida); Fairbrother, Howard (Johns Hopkins University); Hagen, C.W. (TU Delft ImPhys/Microscopy Instrumentation & Techniques)","","2020","Two platinum precursors, Pt(CO)2Cl2 and Pt(CO)2Br2, were designed for focused electron beam-induced deposition (FEBID) with the aim of producing platinum deposits of higher purity than those deposited from commercially available precursors. In this work, we present the first deposition experiments in a scanning electron microscope (SEM), wherein series of pillars were successfully grown from both precursors. The growth of the pillars was studied as a function of the electron dose and compared to deposits grown from the commercially available precursor MeCpPtMe3. The composition of the deposits was determined using energy-dispersive X-ray spectroscopy (EDX) and compared to the composition of deposits from MeCpPtMe3, as well as deposits made in an ultrahigh-vacuum (UHV) environment. A slight increase in metal content and a higher growth rate are achieved in the SEM for deposits from Pt(CO)2Cl2 compared to MeCpPtMe3. However, deposits made from Pt(CO)2Br2 show slightly less metal content and a lower growth rate compared to MeCpPtMe3. With both Pt(CO)2Cl2 and Pt(CO)2Br2, a marked difference in composition was found between deposits made in the SEM and deposits made in UHV. In addition to Pt, the UHV deposits contained halogen species and little or no carbon, while the SEM deposits contained only small amounts of halogen species but high carbon content. Results from this study highlight the effect that deposition conditions can have on the composition of deposits created by FEBID.","energy-dispersive X-ray spectroscopy (EDX); focused electron beam-induced deposition (FEBID); nanofabrication; platinum precursors; scanning electron microscopy (SEM); thermogravimetric analysis (TGA)","en","journal article","","","","","","","","","","","ImPhys/Microscopy Instrumentation & Techniques","","",""
"uuid:0161174a-4915-480f-970d-77c70a992da9","http://resolver.tudelft.nl/uuid:0161174a-4915-480f-970d-77c70a992da9","An FtsZ-centric approach to divide gene-expressing liposomes","Noguera López, J. (TU Delft BN/Christophe Danelon Lab)","Danelon, C.J.A. (promotor); Dogterom, A.M. (promotor); Delft University of Technology (degree granting institution)","2019","The creation of artificial cells with the minimal set of components to exhibit self-maintenance, self-reproducibility and evolvability (in other words, to be considered alive) is one of the most exciting areas within the field of synthetic biology. Such entities, here called minimal cells, are constructed by either the top-down or bottom-up approach. The top-down approach attempts to realize a minimal cell starting from an already existing unicellular organism and stripping down non-essential genes. In the bottom-up approach, separate biochemicals, such as phospholipids, DNA and proteins are assembled from scratch to reconstitute cell-like functions. On the way to tackle this curiosity-driven building challenge, we also expect to learn more about the most fundamental processes that define a living cell.","synthetic biology; artificial cell; minimal cell; cell division; FtsZ; FtsA; ZipA; ZapA; Min system; liposome; PURE system; supported lipid bilayer; fluorescence microscopy","en","doctoral thesis","","978-90-8593-427-1","","","","","","","","","BN/Christophe Danelon Lab","","",""
"uuid:33bdc816-d18f-4f33-89fe-a9cd462efd32","http://resolver.tudelft.nl/uuid:33bdc816-d18f-4f33-89fe-a9cd462efd32","Optical field sampling for imaging and optical testing","Gong, H. (TU Delft Team Raf Van de Plas)","Vdovin, Gleb (promotor); Verhaegen, M.H.G. (promotor); Delft University of Technology (degree granting institution)","2019","This dissertation has mainly aimed at developing novel techniques, methodologies for measuring the optical field, specifically both the amplitude and phase distribution. Furthermore, we have attempted to extend their applications in the scope of optical imaging, including lensless/holographic imaging, quantitative phase imaging and the calibration for light-sheet microscopes.","Optics; Adaptive optics; Holography; Light sheet microscopy","en","doctoral thesis","","","","","","","","","","","Team Raf Van de Plas","","",""
"uuid:16e8c6f0-d2c4-47bd-b4e1-1564105c0a94","http://resolver.tudelft.nl/uuid:16e8c6f0-d2c4-47bd-b4e1-1564105c0a94","Imaging DNA nanostructures with advanced TEM techniques","Kabiri, Y. (TU Delft BN/Cees Dekker Lab)","Dekker, C. (promotor); Zandbergen, H.W. (promotor); Delft University of Technology (degree granting institution)","2019","The low contrast of biomolecules in TEM has been a great obstacle for their structure determination and hence to the understanding of their structure-function relation. Historically, single DNA strands remained one the most difficult classes of biomolecular specimens to image, due to low electron scattering strength of its constituent elements. The common practice was then to image them either when freely suspended (without any support) or shadow image them with negative staining technique. Those remedies are limited in terms of applicability to different DNA nanostructures as well as pose difficulties in sample preparation. For example, making the 2D DNA nanostructures freestanding would not be a viable solution for imaging them. This thesis provides a general study to tackle the challenges in imaging nucleic acids with TEM...","transmission electron microscopy; graphene; DNA nanostructures","en","doctoral thesis","","978-90-8593-4080","","","","","","","","","BN/Cees Dekker Lab","","",""
"uuid:c5c9f176-2f7e-47e9-a07b-5cb2988592fb","http://resolver.tudelft.nl/uuid:c5c9f176-2f7e-47e9-a07b-5cb2988592fb","Robustness of attractors in tapping mode atomic force microscopy","Chandrashekar, A. (TU Delft Dynamics of Micro and Nano Systems); Belardinelli, P. (TU Delft Dynamics of Micro and Nano Systems); Staufer, U. (TU Delft Micro and Nano Engineering); Alijani, F. (TU Delft Dynamics of Micro and Nano Systems)","","2019","In this work, we perform a comprehensive analysis of the robustness of attractors in tapping mode atomic force microscopy. The numerical model is based on cantilever dynamics driven in the Lennard–Jones potential. Pseudo-arc-length continuation and basins of attraction are utilized to obtain the frequency response and dynamical integrity of the attractors. The global bifurcation and response scenario maps for the system are developed by incorporating several local bifurcation loci in the excitation parameter space. Moreover, the map delineates various escape thresholds for different attractors present in the system. Our work unveils the properties of the cantilever oscillation in proximity to the sample surface, which is governed by the so-called in-contact attractor. The robustness of this attractor against operating parameters is quantified by means of integrity profiles. Our work provides a unique view into global dynamics in tapping mode atomic force microscopy and helps establishing an extended topological view of the system.","Atomic force microscopy; Basin erosion; Basins of attraction; Bifurcation chart; Dynamical integrity; In-contact attractor; Integrity profiles; Robustness; Tapping mode","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:e694eb6f-6253-44b8-b594-36228dca6311","http://resolver.tudelft.nl/uuid:e694eb6f-6253-44b8-b594-36228dca6311","Surface effects in simulations of scanning electron microscopy images","van Kessel, L.C.P.M. (TU Delft ImPhys/Charged Particle Optics); Hagen, C.W. (TU Delft ImPhys/Charged Particle Optics); Kruit, P. (TU Delft ImPhys/Charged Particle Optics)","Ukraintsev, Vladimir A. (editor); Adan, Ofer (editor)","2019","We have investigated the contributions of surface effects to Monte Carlo simulations of top-down scanning electron microscopy (SEM) images. The elastic and inelastic scattering mechanisms in typical simulations assume that the electron is deep in the bulk of the material. In this work, we correct the inelastic model for surface effects. We use a model for infinite flat surfaces, and apply it to non-flat, but smooth, geometries. Though this is a simplification, it captures most qualitative differences to the bulk model, including coupling to surface plasmons. We find that this correction leads to an increased SE signal near a feature's sidewall in low-voltage critical dimension SEM (CD-SEM). The effect is strongest for low beam energies. Due to some of the assumptions in our model, we are unable to quantitatively predict the extent by which the signal from the sidewall is enhanced. The enhancement of signal from the sidewall may be large enough to cause the measured edge position to shift significantly.","Monte Carlo simulation; Scanning electron microscopy; Surface plasmons","en","conference paper","SPIE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-09-26","","","ImPhys/Charged Particle Optics","","",""
"uuid:86a7d488-3b8e-4506-a91e-c89f129aa471","http://resolver.tudelft.nl/uuid:86a7d488-3b8e-4506-a91e-c89f129aa471","Surface effects in simulations of scanning electron microscopy images","van Kessel, L.C.P.M. (TU Delft ImPhys/Charged Particle Optics); Hagen, C.W. (TU Delft ImPhys/Charged Particle Optics); Kruit, P. (TU Delft ImPhys/Charged Particle Optics)","","2019","Background: Monte Carlo simulations of scanning electron microscopy (SEM) images ignore most surface effects, such as surface plasmons. Previous experiments have shown that surface plasmons play an important role in the emission of secondary electrons (SEs).Aim: We investigate the influence of incorporating surface plasmons into simulations of low-voltage critical dimension SEM (CD-SEM).Approach: We use a modified inelastic scattering model, derived for infinite flat surfaces, and apply it to nonflat, but smooth, geometries. This simplification captures most qualitative effects, including both surface plasmons and a reduced interaction with bulk plasmons near interfaces.Results: We find that the SE signal hardly changes when surface interactions are turned on for a perpendicularly incident beam. When the incident beam is perfectly parallel to a surface, the SE signal does significantly increase. However, the beam must be extremely close to the surface for this effect to be appreciable. An SEM is unable to produce a beam that is both narrow and parallel enough to be noticeably affected.Conclusions: The position of edges may appear shifted under specific circumstances. In realistic situations, it is unlikely to be a large effect.","Monte Carlo simulation; scanning electron microscopy; surface plasmons","en","journal article","","","","","","","","","","","ImPhys/Charged Particle Optics","","",""
"uuid:72420dc1-7c21-4539-a7ce-4ef7bf055dc1","http://resolver.tudelft.nl/uuid:72420dc1-7c21-4539-a7ce-4ef7bf055dc1","Fully convolutional architecture vs sliding-window CNN for corneal endothelium cell segmentation","Vigueras Guillén, J.P. (TU Delft ImPhys/Quantitative Imaging; Rotterdam Ophthalmic Institute); Sari, B. (TU Delft ImPhys/Quantitative Imaging); Goes, S.F. (TU Delft ImPhys/Quantitative Imaging); Lemij, Hans G. (Rotterdam Eye Hospital); van Rooij, Jeroen (Rotterdam Eye Hospital); Vermeer, K.A. (Rotterdam Ophthalmic Institute); van Vliet, L.J. (TU Delft ImPhys/Quantitative Imaging; TU Delft Applied Sciences)","","2019","Background
Corneal endothelium (CE) images provide valuable clinical information regarding the health state of the cornea. Computation of the clinical morphometric parameters requires the segmentation of endothelial cell images. Current techniques to image the endothelium in vivo deliver low quality images, which makes automatic segmentation a complicated task. Here, we present two convolutional neural networks (CNN) to segment CE images: a global fully convolutional approach based on U-net, and a local sliding-window network (SW-net). We propose to use probabilistic labels instead of binary, we evaluate a preprocessing method to enhance the contrast of images, and we introduce a postprocessing method based on Fourier analysis and watershed to convert the CNN output images into the final cell segmentation. Both methods are applied to 50 images acquired with an SP-1P Topcon specular microscope. Estimates are compared against a manual delineation made by a trained observer.
Results
U-net (AUC=0.9938) yields slightly sharper, clearer images than SW-net (AUC=0.9921). After postprocessing, U-net obtains a DICE=0.981 and a MHD=0.22 (modified Hausdorff distance), whereas SW-net yields a DICE=0.978 and a MHD=0.30. U-net generates a wrong cell segmentation in only 0.48% of the cells, versus 0.92% for the SW-net. U-net achieves statistically significant better precision and accuracy than both, Topcon and SW-net, for the estimates of three clinical parameters: cell density (ECD), polymegethism (CV), and pleomorphism (HEX). The mean relative error in U-net for the parameters is 0.4% in ECD, 2.8% in CV, and 1.3% in HEX. The computation time to segment an image and estimate the parameters is barely a few seconds.
Conclusions
Both methods presented here provide a statistically significant improvement over the state of the art. U-net has reached the smallest error rate. We suggest a segmentation refinement based on our previous work to further improve the performance.","Convolutional neural networks; U-net; Sliding-window CNN; Fourier analysis; Specular microscopy","en","journal article","","","","","","","","","Applied Sciences","","ImPhys/Quantitative Imaging","","",""
"uuid:5b4a2a42-614c-4517-81d8-c7dd01830683","http://resolver.tudelft.nl/uuid:5b4a2a42-614c-4517-81d8-c7dd01830683","Intercalating Electron Dyes for TEM Visualization of DNA at the Single-Molecule Level","Kabiri, Y. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Angelin, Alessandro (Karlsruhe Institut für Technologie); Ahmed, Ishtiaq (Karlsruhe Institut für Technologie); Mutlu, Hatice (Karlsruhe Institut für Technologie); Bauer, Jens (Karlsruhe Institut für Technologie); Niemeyer, Christof M. (Karlsruhe Institut für Technologie); Zandbergen, H.W. (TU Delft QN/Zandbergen Lab; Kavli institute of nanoscience Delft); Dekker, C. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft)","","2019","Staining compounds containing heavy elements (electron dyes) can facilitate the visualization of DNA and related biomolecules by using TEM. However, research into the synthesis and utilization of alternative electron dyes has been limited. Here, we report the synthesis of a novel DNA intercalator molecule, bis-acridine uranyl (BAU). NMR spectroscopy and MS confirmed the validity of the synthetic strategy and gel electrophoresis verified the binding of BAU to DNA. For TEM imaging of DNA, two-dimensional DNA origami nanostructures were used as a robust microscopy test object. By using scanning transmission electron microscopy (STEM) imaging, which is favored over conventional wide-field TEM for improved contrast, and therefore, quantitative image analysis, it is found that the synthesized BAU intercalator can render DNA visible, even at the single-molecule scale. For comparison, other staining compounds with a purported affinity towards DNA, such as dichloroplatinum, cisplatin, osmium tetroxide, and uranyl acetate, have been evaluated. The STEM contrast is discussed in terms of the DNA–dye association constants, number of dye molecules bound per base pair, and the electron-scattering capacity of the metal-containing ligands. These findings pave the way for the future development of electron dyes with specific DNA-binding motifs for high-resolution TEM imaging.","contrast agents; DNA; intercalation; scanning transmission electron microscopy; single-molecule studies","en","journal article","","","","","","","","","","","BN/Cees Dekker Lab","","",""
"uuid:cb6cfc37-1c3b-4297-a22b-a847e295061e","http://resolver.tudelft.nl/uuid:cb6cfc37-1c3b-4297-a22b-a847e295061e","Experimental setup for dynamic analysis of microand nano-mechanical systems in vacuum, gas, and liquid","van den Brink, Bram (Student TU Delft); Alijani, F. (TU Delft Dynamics of Micro and Nano Systems); Ghatkesar, M.K. (TU Delft Micro and Nano Engineering)","","2019","An experimental setup to perform dynamic analysis of a micro- and nano-mechanical system in vacuum, gas, and liquid is presented. The setup mainly consists of a piezoelectric excitation part and the chamber that can be either evacuated for vacuum, or filled with gas or water. The design of the piezoelectric actuator was based on a Langevin transducer. The chamber is made out of materials that can sustain: vacuum, variety of gases and different types of liquids (mild acids, alkalies, common alcohols and oils). All the experiments were performed on commercial cantilevers used for contact and tapping mode Atomic Force Microscopy (AFM) with stiffness 0.2 N/m and 48 N/m, respectively, in vacuum, air and water. The performance of the setup was evaluated by comparing the measured actuator response to a finite element model. The frequency responses of the two AFM cantilevers measured were compared to analytical equations. A vacuum level of 0.6 mbar was obtained. The setup has a bandwidth of 10-550 kHz in vacuum and air, and a bandwidth of 50-550 kHz in liquid. The dynamic responses of the cantilevers show good agreement with theory in all media.","AFM; Atomic force microscopy; Dynamics; Experimental modal analysis; Fluid; Liquid; Micro-cantilevers; Microsystems; Modal testing; Vacuum; Vibration; Vibration analysis","en","journal article","","","","","","","","","","","Dynamics of Micro and Nano Systems","","",""
"uuid:c1bce80e-6b8c-49ce-b03d-aec814cbb9a6","http://resolver.tudelft.nl/uuid:c1bce80e-6b8c-49ce-b03d-aec814cbb9a6","Circular Dichroism Measurement of Single Metal Nanoparticles Using Photothermal Imaging","Spaeth, Patrick (Universiteit Leiden); Adhikari, Subhasis (Universiteit Leiden); Le, Laurent (Universiteit Leiden); Jollans, Thomas (Universiteit Leiden); Pud, S. (Universiteit Leiden); Albrecht, Wiebke (Universiteit Leiden; Universiteit Antwerpen); Bauer, T.A. (TU Delft QN/Kuipers Lab; Kavli institute of nanoscience Delft); Caldarola, M. (TU Delft QN/Kuipers Lab; Kavli institute of nanoscience Delft); Kuipers, L. (TU Delft QN/Quantum Nanoscience); Orrit, Michel (Universiteit Leiden)","","2019","Circular dichroism (CD) spectroscopy is a powerful optical technique for the study of chiral materials and molecules. It gives access to an enantioselective signal based on the differential absorption of right and left circularly polarized light, usually obtained through polarization analysis of the light transmitted through a sample of interest. CD is routinely used to determine the secondary structure of proteins and their conformational state. However, CD signals are weak, limiting the use of this powerful technique to ensembles of many molecules. Here, we experimentally realize the concept of photothermal circular dichroism, a technique that combines the enantioselective signal from circular dichroism with the high sensitivity of photothermal microscopy, achieving a superior signal-to-noise ratio to detect chiral nano-objects. As a proof of principle, we studied the chiral response of single plasmonic nanostructures with CD in the visible range, demonstrating a signal-to-noise ratio better than 40 with only 30 ms integration time for these nanostructures. The high signal-to-noise ratio allows us to quantify the CD signal for individual nanoparticles. We show that we can distinguish relative absorption differences for right circularly and left circularly polarized light as small as gmin = 4 × 10-3 for a 30 ms integration time with our current experimental settings. The enhanced sensitivity of our technique extends CD studies to individual nano-objects and opens CD spectroscopy to numbers of molecules much lower than those in conventional experiments.","chirality; circular dichroism; dissymmetry factor; gold nanostructures; linear dichroism; Photothermal microscopy","en","journal article","","","","","","","","","","QN/Quantum Nanoscience","QN/Kuipers Lab","","",""
"uuid:76b8246f-c112-4291-b7e4-d99c355d7d2d","http://resolver.tudelft.nl/uuid:76b8246f-c112-4291-b7e4-d99c355d7d2d","Nanofabricated tips for device-based scanning tunneling microscopy","Leeuwenhoek, M. (TU Delft QN/Groeblacher Lab; Kavli institute of nanoscience Delft; Universiteit Leiden); Norte, R.A. (TU Delft QN/Groeblacher Lab; TU Delft Dynamics of Micro and Nano Systems; Kavli institute of nanoscience Delft); Bastiaans, Koen M. (Universiteit Leiden); Cho, Doohee (Universiteit Leiden); Battisti, Irene (Universiteit Leiden); Blanter, Y.M. (TU Delft QN/Blanter Group; Kavli institute of nanoscience Delft); Groeblacher, S. (TU Delft QN/Groeblacher Lab; Kavli institute of nanoscience Delft); Allan, Milan P. (Universiteit Leiden)","","2019","We report on the fabrication and performance of a new kind of tip for scanning tunneling microscopy. By fully incorporating a metallic tip on a silicon chip using modern micromachining and nanofabrication techniques, we realize so-called smart tips and show the possibility of device-based STM tips. Contrary to conventional etched metal wire tips, these can be integrated into lithographically defined electrical circuits. We describe a new fabrication method to create a defined apex on a silicon chip and experimentally demonstrate the high performance of the smart tips, both in stability and resolution. In situ tip preparation methods are possible and we verify that they can resolve the herringbone reconstruction and Friedel oscillations on Au(111) surfaces. We further present an overview of possible applications.","scanning tunneling microscopy; nanofabrication; device physics","en","journal article","","","","","","","","","","","QN/Groeblacher Lab","","",""
"uuid:48bee6f6-d245-46e6-997a-25312afda070","http://resolver.tudelft.nl/uuid:48bee6f6-d245-46e6-997a-25312afda070","Enhanced contrast acoustic-resolution photoacoustic microscopy using double-stage delay-multiply-and-sum beamformer for vasculature imaging","Mozaffarzadeh, M. (TU Delft ImPhys/Acoustical Wavefield Imaging); Varnosfaderani, Mehdi H.H. (Tarbiat Modares University); Sharma, Arunima (Nanyang Technological University); Pramanik, Manojit (Nanyang Technological University); de Jong, N. (TU Delft ImPhys/Acoustical Wavefield Imaging; Erasmus MC); Verweij, M.D. (TU Delft ImPhys/Acoustical Wavefield Imaging; Erasmus MC)","","2019","In acoustic-resolution photoacoustic microscopy (AR-PAM) systems, the lateral resolution in the focal zone of the ultrasound (US) transducer is determined by the numerical aperture (NA) of the transducer. To have a high lateral resolution, a large NA is used. However, the larger the NA, the smaller the depth of focus [DOF]. As a result, the lateral resolution is deteriorated at depths out of the focal region. The synthetic aperture focusing technique (SAFT) along with a beamformer can be used to improve the resolution outside the focal region. In this work, for image formation in AR-PAM, we propose the double-stage delay-multiply-and-sum (DS_DMAS) algorithm to be combined with SAFT. The proposed method is evaluated experimentally using hair targets and in vivo vasculature imaging. It is shown that DS_DMAS provides a higher resolution and contrast compared to other methods. For the B-mode images obtained using the hair phantom, the proposed method reduces the average noise level for all the depths by about 134%, 57% and 23%, compared to the original low- resolution, SAFT+DAS and SAFT+DMAS methods, respectively. All the results indicate that the proposed method can be an appropriate algorithm for image formation in AR-PAM systems.","acoustic-resolution photoacoustic microscopy; contrast enhancement; synthetic aperture focusing technique; vasculature imaging; virtual source","en","journal article","","","","","","","","","","","ImPhys/Acoustical Wavefield Imaging","","",""
"uuid:8679d6c3-13d0-4395-9903-9bd8804a8ca8","http://resolver.tudelft.nl/uuid:8679d6c3-13d0-4395-9903-9bd8804a8ca8","Movement dynamics of divisome proteins and PBP2x: FtsW in cells of Streptococcus pneumoniae","Perez, Amilcar J. (Indiana University - Purdue University); Cesbron, Yann (Newcastle University); Shaw, Sidney L. (Indiana University - Purdue University); Villicana, Jesus Bazan (Indiana University - Purdue University); Tsui, Ho Ching T. (Indiana University - Purdue University); Boersma, Michael J. (Indiana University - Purdue University); Ye, Ziyun A. (Indiana University - Purdue University); Tovpeko, Yanina (Indiana University - Purdue University); Dekker, C. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft)","","2019","Bacterial cell division and peptidoglycan (PG) synthesis are orchestrated by the coordinated dynamic movement of essential protein complexes. Recent studies show that bidirectional treadmilling of FtsZ filaments/bundles is tightly coupled to and limiting for both septal PG synthesis and septum closure in some bacteria, but not in others. Here we report the dynamics of FtsZ movement leading to septal and equatorial ring formation in the ovoid-shaped pathogen, Streptococcus pneumoniae. Conventional and single-molecule total internal reflection fluorescence microscopy (TIRFm) showed that nascent rings of FtsZ and its anchoring and stabilizing proteins FtsA and EzrA move out from mature septal rings coincident with MapZ rings early in cell division. This mode of continuous nascent ring movement contrasts with a failsafe streaming mechanism of FtsZ/FtsA/EzrA observed in a ΔmapZ mutant and another Streptococcus species. This analysis also provides several parameters of FtsZ treadmilling in nascent and mature rings, including treadmilling velocity in wild-type cells and ftsZ(GTPase) mutants, lifetimes of FtsZ subunits in filaments and of entire FtsZ filaments/bundles, and the processivity length of treadmilling of FtsZ filament/bundles. In addition, we delineated the motion of the septal PBP2x transpeptidase and its FtsW glycosyl transferase-binding partner relative to FtsZ treadmilling in S. pneumoniae cells. Five lines of evidence support the conclusion that movement of the bPBP2x:FtsW complex in septa depends on PG synthesis and not on FtsZ treadmilling. Together, these results support a model in which FtsZ dynamics and associations organize and distribute septal PG synthesis, but do not control its rate in S. pneumoniae.","FtsZ treadmilling; Microhole vertical imaging; Nascent ring formation; PBP2x:FtsW shared dynamics; TIRF microscopy","en","journal article","","","","","","","","2019-08-04","","","BN/Cees Dekker Lab","","",""
"uuid:5d7d3cb3-2112-4928-a9e0-87e01fd4594f","http://resolver.tudelft.nl/uuid:5d7d3cb3-2112-4928-a9e0-87e01fd4594f","Atomic-scale investigations of isothermally formed bainite microstructures in 51CrV4 spring steel","Goulas, C. (TU Delft (OLD) MSE-5; Material Innovation Institute (M2i)); Kumar, A. (TU Delft (OLD) MSE-3; Universiteit Gent; Max-Planck-Institut für Eisenforschung); Mecozzi, M.G. (TU Delft (OLD) MSE-3); Castro-Cerda, Felipe Manuel (Universidad de Santiago de Chile); Herbig, Michael (Max-Planck-Institut für Eisenforschung); Petrov, R.H. (TU Delft (OLD) MSE-3; Universiteit Gent); Sietsma, J. (TU Delft Materials Science and Engineering; TU Delft (OLD) MSE-3)","","2019","Atomic-scale investigation was performed on 51CrV4 steel, isothermally held at different temperatures within the bainitic temperature range. Transmission electron microscopy (TEM) analysis revealed three different morphologies: lower, upper, and inverse bainite. Atom Probe Tomography (APT) analysis of lower bainite revealed cementite particles, which showed no evidence of partitioning of substitutional elements; only carbon partitioned into cementite to the equilibrium value. Carbon in the bainitic ferrite was found to segregate at dislocations and to form Cottrell atmospheres. The concentration of carbon remaining in solution measured by APT was more than expected at the equilibrium. Upper bainite contained cementite as well. Chromium and manganese were found to redistribute at the cementite-austenite interface and the concentration of carbon in the ferritic matrix was found to be lower than the one measured in the case of lower bainite. After isothermal treatments close to the bainite start temperature, another austenite decomposition product was found at locations with high concentration of Mn and Cr, resembling inverse bainite. Site-specific APT analysis of the inverse bainite reveals significant partitioning of manganese and chromium at the carbides and at the ferrite/martensite interfaces, unlike what is found at isothermal transformation products at lower temperatures.","Atom probe tomography; Bainite; Spring steel; Transmission electron microscopy","en","journal article","","","","","","","","","","Materials Science and Engineering","(OLD) MSE-5","","",""
"uuid:02db7e4e-4e4e-41be-905e-98c9458b0a8e","http://resolver.tudelft.nl/uuid:02db7e4e-4e4e-41be-905e-98c9458b0a8e","Label-free volumetric quantitative imaging of human osteosarcoma cells by hyperspectral coherent anti-Stokes Raman scattering","Karuna, A. (TU Delft ImPhys/Quantitative Imaging; Cardiff University); Masia, Francesco (Cardiff University); Wiltshire, Marie (Cardiff University); Errington, Rachel (Cardiff University); Borri, Paola (Cardiff University); Langbein, Wolfgang (Cardiff University)","Periasamy, Ammasi (editor); So, Peter T. C. (editor); Konig, Karsten (editor)","2019","Quantitative determination of the chemical composition of unstained samples, non-invasively, with high three- dimensional spatio-temporal resolution, will accelerate progress in cell biology. The current state of the art in bioimaging is dominated by either chemically non-specific or invasive methods. In this work, we demonstrate label-free, non-invasive quantitative volumetric imaging of human osteosarcoma cells using coherent anti-Stokes Raman scattering microscopy. A data analysis method developed in-house was applied to represent the chemical composition of the cells as volumetric three-dimensional images indicating water, proteins, DNAP (mixture of DNA and proteins), and lipids, and to determine the dry masses of the organic components with picogram resolution.","CARS, label-free imaging; Coherent anti-Stokes Raman scattering microscopy; Coherent Raman scattering","en","conference paper","SPIE","","","","","Accepted Author Manuscript","","","","","ImPhys/Quantitative Imaging","","",""
"uuid:2e76e4b2-1c91-4230-ae8c-37fe95f945b3","http://resolver.tudelft.nl/uuid:2e76e4b2-1c91-4230-ae8c-37fe95f945b3","Automatic detection of the region of interest in corneal endothelium images using dense convolutional neural networks","Vigueras Guillén, J.P. (TU Delft ImPhys/Quantitative Imaging; Rotterdam Ophthalmic Institute); Lemij, Hans G. (Rotterdam Eye Hospital); Van Rooij, Jeroen (Rotterdam Eye Hospital); Vermeer, K.A. (TU Delft ImPhys/Quantitative Imaging; Rotterdam Ophthalmic Institute); van Vliet, L.J. (TU Delft ImPhys/Quantitative Imaging)","Angelini, Elsa D. (editor); Angelini, Elsa D. (editor); Angelini, Elsa D. (editor); Landman, Bennett A. (editor)","2019","In images of the corneal endothelium (CE) acquired by specular microscopy, endothelial cells are commonly only visible in a part of the image due to varying contrast, mainly caused by challenging imaging conditions as a result of a strongly curved endothelium. In order to estimate the morphometric parameters of the corneal endothelium, the analyses need to be restricted to trustworthy regions - the region of interest (ROI) - where individual cells are discernible. We developed an automatic method to find the ROI by Dense U-nets, a densely connected network of convolutional layers. We tested the method on a heterogeneous dataset of 140 images, which contains a large number of blurred, noisy, and/or out of focus images, where the selection of the ROI for automatic biomarker extraction is vital. By using edge images as input, which can be estimated after retraining the same network, Dense U-net detected the trustworthy areas with an accuracy of 98.94% and an area under the ROC curve (AUC) of 0.998, without being affected by the class imbalance (9:1 in our dataset). After applying the estimated ROI to the edge images, the mean absolute percentage error (MAPE) in the estimated endothelial parameters was 0.80% for ECD, 3.60% for CV, and 2.55% for HEX.","biomarkers; Dense U-net; fully CNN; segmentation; specular microscopy","en","conference paper","SPIE","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-12-15","","","ImPhys/Quantitative Imaging","","",""
"uuid:361f73a0-9c25-4e68-9671-9eed129df834","http://resolver.tudelft.nl/uuid:361f73a0-9c25-4e68-9671-9eed129df834","Combined Confocal Microscope and Brandaris 128 Ultra-High-Speed Camera","Beekers, D.I. (Erasmus MC); Lattwein, Kirby R. (Erasmus MC); Kouijzer, Joop J.P. (Erasmus MC); Langeveld, Simone A.G. (Erasmus MC); Vegter, M. (Erasmus MC); Beurskens, Robert (Erasmus MC); Mastik, F. (Erasmus MC); Verduyn Lunel, Rogier (Nikon, Amsterdam); van der Steen, A.F.W. (TU Delft ImPhys/Acoustical Wavefield Imaging; Erasmus MC); de Jong, N. (TU Delft ImPhys/Acoustical Wavefield Imaging; Erasmus MC)","","2019","Controlling microbubble-mediated drug delivery requires the underlying biological and physical mechanisms to be unraveled. To image both microbubble oscillation upon ultrasound insonification and the resulting cellular response, we developed an optical imaging system that can achieve the necessary nanosecond temporal and nanometer spatial resolutions. We coupled the Brandaris 128 ultra-high-speed camera (up to 25 million frames per second) to a custom-built Nikon A1R+ confocal microscope. The unique capabilities of this combined system are demonstrated with three experiments showing microbubble oscillation leading to either endothelial drug delivery, bacterial biofilm disruption, or structural changes in the microbubble coating. In conclusion, using this state-of-the-art optical imaging system, microbubble-mediated drug delivery can be studied with high temporal resolution to resolve microbubble oscillation and high spatial resolution and detector sensitivity to discern cellular response. Combining these two imaging technologies will substantially advance our knowledge on microbubble behavior and its role in drug delivery.","Bacteria; Confocal microscopy; Drug delivery; Fluorescence microscopy; High-speed imaging; Lipid coating; Microbubble; Sonoporation; Ultrasound; Ultrasound contrast agents","en","journal article","","","","","","","","","","","ImPhys/Acoustical Wavefield Imaging","","",""
"uuid:a72e21cc-656c-4ce5-bc25-236ce371eb9c","http://resolver.tudelft.nl/uuid:a72e21cc-656c-4ce5-bc25-236ce371eb9c","In situ study on fracture behaviour of white etching layers formed on rails","Kumar, A. (TU Delft (OLD) MSE-3); Saxena, A. K. (Max-Planck-Institut für Eisenforschung); Kirchlechner, C. (Max-Planck-Institut für Eisenforschung); Herbig, M. (Max-Planck-Institut für Eisenforschung); Brinkmann, S. (Max-Planck-Institut für Eisenforschung); Petrov, R.H. (TU Delft (OLD) MSE-3; Universiteit Gent); Sietsma, J. (TU Delft Materials Science and Engineering; TU Delft (OLD) MSE-3; Universiteit Gent)","","2019","Failure in engineering materials like steels is strongly affected by in-service deleterious alterations in their microstructure. White Etching Layers (WELs) are an example of such in-service alterations in the pearlitic microstructure at the rail surface. Cracks initiate in the rails due to delamination and fracture of these layers and propagate into the base material posing severe safety concerns. In this study, we investigate the microscale fracture behaviour of these WELs. We use in situ elastic-plastic fracture mechanics using J-integral to quantify the fracture toughness. Although usually assumed brittle, the fracture toughness of 21–25 MPa√m reveals a semi-brittle nature of WELs. Based on a comparison of the fracture toughness and critical defect size of WELs with the undeformed pearlitic steels, WELs are detrimental for rails. In the micro fracture tests, WELs show crack tip blunting, branching, and significant plasticity during crack growth due to their complex microstructure. The fracture behaviour of the WELs is governed by their microstructural constituents such as phases (martensite/austenite), grain size, dislocation density and carbon segregation to dislocations and grain boundaries. We observed dislocation annihilation in some martensitic grains in the WELs which also contributes to their fracture behaviour. Additionally, the strain-induced transformation from austenite to martensite affects the crack growth and fracture.","And atom probe tomography; APT; Austenite; EBSD; Elastic-plastic conditional fracture toughness; Elastic-plastic fracture mechanics; Electron Backscatter Diffraction; EPFM; Grain size and Kernel average misorientation; K; KAM; Martensite; TEM; Transmission electron microscopy; WEL; White etching layer","en","journal article","","","","","","Accepted Author Manuscript","","2020-09-10","","Materials Science and Engineering","(OLD) MSE-3","","",""
"uuid:ac57096e-5612-439c-8389-c7fa8d80c6c8","http://resolver.tudelft.nl/uuid:ac57096e-5612-439c-8389-c7fa8d80c6c8","Fading into the background: the dark space surrounding Vermeer’s Girl with a Pearl Earring","Vandivere, Abbie (Royal Picture Gallery Mauritshuis); van Loon, A.; Dore-Callewaert, T.W.J. (TU Delft (OLD) MSE-4); Haswell, Ralph (Shell Global Solutions International B.V.); Proaño Gaibor, Art Ness (Cultural Heritage Agency of the Netherlands); van Keulen, Henk (Cultural Heritage Agency of the Netherlands); Leonhardt, Emilien (Hirox Europe - Jyfel Corporation, Limonest); Dik, J. (TU Delft (OLD) MSE-4)","","2019","The background of Vermeer’s Girl with a Pearl Earring (c. 1665, Mauritshuis) has, until recently, been interpreted as a flat dark space. The painting was examined in 2018 as part of the research project The Girl in the Spotlight using a combination of micro- and macro-scale analytical techniques. The stratigraphy of the background was determined from samples mounted as cross-sections, and its material composition was analysed using electron microscopy and chromatographic techniques. The underlayer contains mainly charcoal black, and the glaze contains two organic colourants—indigo and weld—and a copper drier. Deterioration of the glaze has made features in the background difficult to discern with the naked eye. Complementary imaging techniques were able to visualise Vermeer’s signature, and the suggestion of folded fabric (possibly a curtain) on the right side of the painting. The distribution of the layer(s) in the background were imaged using: infrared reflectography (900–1100 nm), multi-scale optical coherence tomography scanning, macroscopic X-ray fluorescence and 3D digital microscopy. Vermeer applied the black underlayer vigorously with overlapping brushstrokes that varied in thickness. When he applied the glaze on top, it levelled out to make a smooth flat surface. The visual effect of the background contrasts the figure of the Girl and projects her forward in space, closer to the viewer.","17th century; Background; Focused ion beam-scanning transmission electron microscopy; Glaze; Indigo; Liquid chromatography; Macroscopic X-ray fluorescence imaging; Optical coherence tomography; Weld","en","journal article","","","","","","","","","","","(OLD) MSE-4","","",""
"uuid:715c4acd-4cd3-4888-87d1-93762d63cedd","http://resolver.tudelft.nl/uuid:715c4acd-4cd3-4888-87d1-93762d63cedd","Improvement of Production and Isolation of Human Neuraminidase-1 in Cellulo Crystals","Koiwai, Kotaro (High Energy Accelerator Research Organization); Tsukimoto, Jun (Tokushima University, Tokushima); Higashi, Tetsuya (Tokushima University, Tokushima); Mafuné, Fumitaka (University of Tokyo); Miyajima, Ken (University of Tokyo); Nakane, Takanori (University of Tokyo); Matsugaki, Naohiro (High Energy Accelerator Research Organization); Kato, Ryuichi (Graduate University for Advanced Studies (SOKENDAI); High Energy Accelerator Research Organization); Jakobi, A. (TU Delft BN/Arjen Jakobi Lab; EMBL Hamburg, Hamburg)","","2019","In cellulo crystallization is a developing technique to provide crystals for protein structure determination, particularly for proteins that are difficult to prepare by in vitro crystallization. This method has a key advantage: It requires neither a protein purification step nor a crystallization step. However, there is still no systematic strategy for improving the technique of in cellulo crystallization because the process occurs spontaneously. Here we report a protocol to produce and extract in cellulo crystals of human lysosomal neuraminidase-1 (NEU1) in human cultured cells. Overexpression of NEU1 protein by the retransfection of cells pretransfected with neu1-overexpressing plasmid improved the efficiency of NEU1 crystallization. Microscopic analysis revealed that NEU1 proteins were not crystallized in the lysosome but in the endoplasmic reticulum (ER). Screening of the buffer conditions used to extract crystals from cells further improved the crystal yield. The optimal pH was 7.0, which corresponds to the pH in the ER. Use of a high-yield flask with a large surface area also yielded more crystals. These optimizations enabled us to execute a serial femtosecond crystallography experiment with a sufficient number of crystals to generate a complete data set. Optimization of the in cellulo crystallization method was thus shown to be possible.","endoplasmic reticulum; human neuraminidase-1; In cellulo crystallization; protein overexpression; serial femtosecond crystallography; transmittance electron microscopy; X-ray free electron laser","en","journal article","","","","","","Accepted Author Manuscript","","2020-10-07","","","BN/Arjen Jakobi Lab","","",""
"uuid:0aa4f35c-2259-4f2e-8a6e-4ca81b809436","http://resolver.tudelft.nl/uuid:0aa4f35c-2259-4f2e-8a6e-4ca81b809436","Direct TEM observation of α/γ interface migration during cyclic partial phase transformations at intercritical temperatures in an Fe-0.1C −0.5Mn alloy","Nutter, J. (University of Sheffield); Farahani, H. (TU Delft Novel Aerospace Materials); Rainforth, W. M. (University of Sheffield); van der Zwaag, S. (TU Delft Novel Aerospace Materials)","","2019","The kinetic behaviour of austenite/ferrite interfaces in a low carbon – 0.5 mass% Mn containing steel during Cyclic Partial Phase Transformation (CPPT) experiments has been investigated using hot stage Transmission Electron Microscopy (TEM). Individual interfaces were observed to display behaviour typical of CPPT experiments as recorded in macroscopic dilatometry experiments and demonstrated i) the “normal”, ii) inverse transformations and iii) a stagnant stage in which the interface migrates at a very low velocity as a result of the interface passing through a Mn enriched zone due to the preceding transformation. The length of the stagnant stage determined from the TEM observations shows excellent agreement with that measured from dilatometry and kinetic modelling, whilst the distance migrated from the interface shows some disparities which are primarily attributed to differences in assumptions about grain geometry and nucleation. No special interface features were observed when the interface changed direction and passed through the previously Mn-enriched zones. General observations on the interaction of the transformation interface with microstructural features are also reported.","Austenite; Ferrite growth; In situ transmission electron microscopy; Phase transformations; Steels","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2020-01-31","","","Novel Aerospace Materials","","",""
"uuid:496f8230-d0ea-4a5f-9ffb-499e1f9197db","http://resolver.tudelft.nl/uuid:496f8230-d0ea-4a5f-9ffb-499e1f9197db","Investigating the possible origin of Raman bands in defective sp2/sp3 carbons below 900 cm−1: Phonon density of states or double resonance mechanism at play?","Pardanaud, Cedric (Aix Marseille Université); Cartry, Gilles (Aix Marseille Université); Lajaunie, Luc (University of Cadiz); Arenal, Raul (University of Zaragoza; Instituto de Ciencias de Materiales Aragón; ARAID Foundation); Buijnsters, J.G. (TU Delft Micro and Nano Engineering)","","2019","Multiwavelength Raman spectroscopy (325, 514, 633 nm) was used to analyze three different kinds of samples containing sp2 and sp3 carbons: chemical vapor deposited diamond films of varying microstructure, a plasma-enhanced chemical vapor deposited hydrogenated amorphous carbon film heated at 500 °C and highly oriented pyrolytic graphite exposed to a radio-frequent deuterium plasma. We found evidence that the lower part of the phonon density of states (PDOS) spectral region (300–900 cm−1) that rises when defects are introduced in crystals can give more information on the structure than expected. For example, the height of the PDOS, taken at 400 cm−1 and compared to the height of the G band, depends on the sp2 content, estimated by electron energy-loss spectroscopy. This ratio measured with 633 nm laser is more intense than with 514 nm laser. It is also correlated for diamond to the relative intensity ratio between the diamond band at 1332 cm−1 and the G band at ≈1500–1600 cm−1 when using 325 nm laser. Moreover, it is found that the shape of the PDOS of the exposed graphite samples is different when changing the wavelength of the laser used, giving evidence of a double resonance mechanism origin with the rise of the associated D3, D4 and D5 bands, which is not the case for a-C:H samples.","Raman microscopy; phonon density of states; double resonance mechanism; diamond; amorphous carbon; implanted graphite","en","journal article","","","","","","","","","","","Micro and Nano Engineering","","",""
"uuid:04bdaa74-7bf0-4166-ab02-420efdd90193","http://resolver.tudelft.nl/uuid:04bdaa74-7bf0-4166-ab02-420efdd90193","Comparison of three 3D scanning techniques for paintings, as applied to Vermeer’s ‘Girl with a Pearl Earring’","Elkhuizen, W.S. (TU Delft Mechatronic Design); Dore-Callewaert, T.W.J. (TU Delft (OLD) MSE-4); Leonhardt, Emilien (Hirox Europe/Jyfel Corporation); Vandivere, Abbie (Universiteit van Amsterdam); Song, Y. (TU Delft Mechatronic Design); Pont, S.C. (TU Delft Human Information Communication Design); Geraedts, Jo M.P. (TU Delft Mechatronic Design); Dik, J. (TU Delft (OLD) MSE-4)","","2019","A seventeenth-century canvas painting is usually comprised of varnish and (translucent) paint layers on a substrate. A viewer’s perception of a work of art can be affected by changes in and damages to these layers. Crack formation in the multi-layered stratigraphy of the painting is visible in the surface topology. Furthermore, the impact of mechanical abrasion, (photo)chemical processes and treatments can affect the topography of the surface and thereby its appearance. New technological advancements in non-invasive imaging allow for the documentation and visualisation of a painting’s 3D shape across larger segments or even the complete surface. In this manuscript we compare three 3D scanning techniques, which have been used to capture the surface topology of Girl with a Pearl Earring by Johannes Vermeer (c. 1665): a painting in the collection of the Mauritshuis, the Hague. These three techniques are: multi-scale optical coherence tomography, 3D scanning based on fringe-encoded stereo imaging (at two resolutions), and 3D digital microscopy. Additionally, scans were made of a reference target and compared to 3D data obtained with white-light confocal profilometry. The 3D data sets were aligned using a scale-invariant template matching algorithm, and compared on their ability to visualise topographical details of interest. Also the merits and limitations for the individual imaging techniques are discussed in-depth. We find that the 3D digital microscopy and the multi-scale optical coherence tomography offer the highest measurement accuracy and precision. However, the small field-of-view of these techniques, makes them relatively slow and thereby less viable solutions for capturing larger (areas of) paintings. For Girl with a Pearl Earring we find that the 3D data provides an unparalleled insight into the surface features of this painting, specifically related to ‘moating’ around impasto, the effects of paint consolidation in earlier restoration campaigns and aging, through visualisation of the crack pattern. Furthermore, the data sets provide a starting point for future documentation and monitoring of the surface topology changes over time. These scans were carried out as part of the research project ‘The Girl in the Spotlight’.","3D digital microscopy; 3D scanning; Cultural heritage; Image processing; Image registration; Optical coherence tomography; Painting; Topography; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Mechatronic Design","","",""
"uuid:addc45be-225e-4a52-acb6-59b3c967deb1","http://resolver.tudelft.nl/uuid:addc45be-225e-4a52-acb6-59b3c967deb1","Advancing single-molecule instrumentation through nanoscale optics, fabrication, and surface functionalization","Ha, S. (TU Delft BN/Nynke Dekker Lab)","Dekker, N.H. (promotor); Delft University of Technology (degree granting institution)","2018","This thesis describes developments in the single-molecule instrumentation, in particular optical torque wrench and DNA nanocurtains, with the goal of employing these techniques in studies of biomolecules and biomotors. Importantly, the use of single-crystal rutile titanium dioxide nanocylinders is suggested for optical torque wrench, enabling access to a larger torque-speed space and improvement in spatiotemporal resolution.","biophysics; single-molecule; nano-optics; nanofabrication; surface functionalization; optical tweezers; optical torque wrench; DNA nanocurtain; fluorescence microscopy","en","doctoral thesis","","978-90-8593-367-0","","","","Casimir PhD Series 2018-37","","2019-10-15","","","BN/Nynke Dekker Lab","","",""
"uuid:d8f88824-40cc-4358-b7a0-a2d932eb65f5","http://resolver.tudelft.nl/uuid:d8f88824-40cc-4358-b7a0-a2d932eb65f5","Physical and Computational Approaches to Aberration Correction In Fluorescence Microscopy","Wilding, D. (TU Delft Team Raf Van de Plas)","Verhaegen, M.H.G. (promotor); Van de Plas, Raf (copromotor); Delft University of Technology (degree granting institution)","2018","The goal of this thesis, called Physical and Computational Approaches to Aberration Correction In Fluorescence Microscopy, concerns itself with the development of new techniques to control adaptive fluorescence microscopes, so that they can adapt and image with increased resolution, contrast and speed inside complex three-dimensional biological samples.","adaptive optics; microscopy; fluorescence; deconvolution; optics; aberrations","en","doctoral thesis","","978-94-6233-996-5","","","","","","","","","Team Raf Van de Plas","","",""
"uuid:b6c599e8-077c-44f1-bb71-e731bcc7d81f","http://resolver.tudelft.nl/uuid:b6c599e8-077c-44f1-bb71-e731bcc7d81f","Aquaporin-2 trafficking: Studying cellular mechanisms with subcellular aspiration and cryo-electron microscopy","Pronk, J.W. (TU Delft BN/Arjen Jakobi Lab)","Engel, A.H. (promotor); Danelon, C.J.A. (copromotor); Delft University of Technology (degree granting institution)","2018","","Aquaporin-2; exocytosis; endocytosis; protein purification; membrane proteins; Fluid-FM; Atomic force microscopy; Electron microscopy; cryo-EM","en","doctoral thesis","","978-90-8593-360-1","","","","","","2020-09-05","","","BN/Arjen Jakobi Lab","","",""
"uuid:d3cfe1fc-5782-4315-9cd1-5c6209814595","http://resolver.tudelft.nl/uuid:d3cfe1fc-5782-4315-9cd1-5c6209814595","Magnetic adatoms as building blocks for quantum magnetism","Toskovic, R. (TU Delft QN/Otte Lab)","Otte, A. F. (promotor); van der Zant, H.S.J. (promotor); Delft University of Technology (degree granting institution)","2018","Physics at the level of an atom is dominated by laws of quantum mechanics. Often, this is entangled with a high complexity in behavior of the systems at that length scale. Unravelling the properties of a material at the atomic level is, therefore, a challenging task that easily supersedes current computational capabilities. A route to circumvent this problem is found in physical realization of simpler quantum systems that are representative of the complex quantum systems one is interested in. These simpler physical systems, unlike their more complex counterparts, can actually be measured and information about the complex system, otherwise inaccessible, gained. This thesis describes experimental work focusing mainly on the property of magnetism in spin chains. To mimic these complex systems, we employ a scanning tunneling microscope (STM) to build atomic chains on solid state surfaces and probe their magnetic properties. The intrinsic strength of STM in building and testing structures with single atom precision makes STM a great candidate for simulation of complex quantum systems. In addition to STM having a role of a quantum simulator, I present work supporting STM as a control device determining the very existence of the magnetic excitations of the atom it measures. Finally, I present experimental findings that suggest we are able to probe the magnetic excitations of the atom with subatomic resolution. In summary, this thesis work presents STM as a powerful probing and control tool for studies on quantum magnetism at the level of a single atom.","atomic magnetism; scanning tunneling microscopy; inelastic electron tunneling spectroscopy","en","doctoral thesis","","978-90-8593-347-2","","","","","","","","","QN/Otte Lab","","",""
"uuid:e2bb40dd-88be-4d5d-8e8c-f65f7c812a7e","http://resolver.tudelft.nl/uuid:e2bb40dd-88be-4d5d-8e8c-f65f7c812a7e","The use of infrared spectroscopy to determine product quality of carbonate-rich diatomite ores","Guatame-Garcia, Adriana (TU Delft Resource Engineering); Buxton, M.W.N. (TU Delft Resource Engineering)","","2018","Diatomite, a rock formed by the accumulation of opaline diatom frustules, is a preferred raw material for the manufacturing of filters. Its uniqueness relies on the high porosity and inertness of the frustules. The presence of carbonates in some diatomite ores hinders these properties. The~purpose of this study was to identify the type of carbonates and their association with the ore in a diatomite deposit, and to assess the suitability of determining the quality of the ore using techniques with potential for in-pit implementation. For this, run-of-mine samples were analysed using environmental scanning electron microscopy (ESEM) and infrared spectroscopy. The ESEM images showed that carbonate is present as cement and laminae. The infrared data revealed that the carbonate minerals correspond to aragonite and calcite, and that their occurrence is linked to the total amount of carbonate in the sample. By using a portable spectral instrument that uses diffuse reflectance, it was possible to classify the spectra of the ore samples based on the carbonate content. These results indicate that {infrared} technology could be used on-site for determining the quality of the ore, thus providing relevant information to assist the optimisation of mining and beneficiation~activities.","diatomite ore; opal; carbonate; environmental scanning electron microscopy (ESEM); infrared spectroscopy; OA-Fund TU Delft","en","journal article","","","","","","","","","","","Resource Engineering","","",""
"uuid:7691d797-8cee-4bb5-b532-2db63ebed21b","http://resolver.tudelft.nl/uuid:7691d797-8cee-4bb5-b532-2db63ebed21b","Comparing damage from low-velocity impact and quasi-static indentation in automotive carbon/epoxy and glass/polyamide-6 laminates","Spronk, S.W.F. (Universiteit Gent; SIM Program M3Strength); Kersemans, M. (Universiteit Gent); De Baerdemaeker, J.C.A. (Universiteit Gent); Gilabert, F. A. (Universiteit Gent; SIM Program M3Strength); Sevenois, R.D.B. (Universiteit Gent; SIM Program M3Strength); Garoz, D. (Universiteit Gent; SIM Program M3Strength); Kassapoglou, C. (TU Delft Aerospace Structures & Computational Mechanics); Van Paepegem, W. (Universiteit Gent)","","2018","The results of a low-velocity impact programme on both carbon/epoxy and glass/polyamide-6 composite laminates are compared to the results of quasi-static indentation. Cross-ply and quasi-isotropic stacking sequences are impacted and quasi-static indentation tests are performed up to the same maximum displacement. The response of the laminates to both test methods is compared in terms of force-displacement behaviour, dissipated energy and resulting damage. Significant differences between low-velocity impact and quasi-static indentation are found for both material systems. It is therefore concluded that the test methods cannot be interchanged for material characterisation.","Low-velocity impact; Optical microscopy; Polymer-matrix composites (PMCs); Quasi-static indentation; Ultrasonics","en","journal article","","","","","","","","2019-12-19","","","Aerospace Structures & Computational Mechanics","","",""
"uuid:cc76e95c-b82e-4555-9110-348ad9989705","http://resolver.tudelft.nl/uuid:cc76e95c-b82e-4555-9110-348ad9989705","SPAD imagers for super resolution microscopy","Antolović, I.M. (TU Delft (OLD)Applied Quantum Architectures)","Charbon-Iwasaki-Charbon, E. (promotor); Hoebe, R.A. (copromotor); Delft University of Technology (degree granting institution)","2018","The aim of this research is to explore the potential advantages of SPAD imagers used in microscopy. An ideal microscopy detector requires high sensitivity (high quantum efficiency QE or photon detection probability PDP), photon counting operation, low noise (dark current or dark count rate), timing resolution in the order of 100 ps, frame rate higher than 10 fps, a large enough pixel resolution and wavelength resolvability.","SPAD; microscopy; super resolution; fluorescence imaging; imagers; photon counting and image sensor","en","doctoral thesis","","","","","","Ivan Michel Antolović received his B.S. and M.S. degree (cum laude) in electrical engineering and information technology in 2010 and 2012 from University of Zagreb, Croatia. During his master, he started working with Hamamatsu’s multi-pixel photon counters (MPPC), mainly interested in detection of collagen and estrogen autofluorescence. He was awarded ""Josip Lončar"" Bronze Plaque for the best student of the field electronic and computing engineering. He enrolled to a PhD at the University of Zagreb while working as a firmware designer at Artronic d.o.o. Since 2013, he continued to pursue a PhD degree in single photon avalanche diode (SPAD) imagers at TU Delft. His interests include large format photon counting SPAD imagers and small format time correlated SPAD imagers for microscopy applications like localization super resolution, confocal and fluorescence lifetime. During his PhD, he worked in collaboration with EPFL, Leeuwenhoek Centre for Advanced Microscopy, Macquarie University, Weizmann Institute. He worked with companies like Leica, LFoundry, NXP, TowerJazz and Zeiss. He was awarded PicoQuant Young Investigator Award in 2016 and Else Kooi Award in 2018.","","2019-01-23","","","(OLD)Applied Quantum Architectures","","",""
"uuid:a4771e84-1f87-483f-8fce-c119df35b171","http://resolver.tudelft.nl/uuid:a4771e84-1f87-483f-8fce-c119df35b171","Interfacial force measurements using atomic force microscopy","Chu, L. (TU Delft OLD ChemE/Organic Materials and Interfaces)","Sudhölter, Ernst J. R. (promotor); Picken, S.J. (promotor); Delft University of Technology (degree granting institution)","2018","Atomic Force Microscopy (AFM) can not only image the topography of surfaces at atomic resolution, but can also measure accurately the different interaction forces, like repulsive, adhesive and lateral existing between an AFM tip and the sample surface. Based on AFM, various extended techniques have been developed such as colloidal probe AFM, single molecule AFM, bio-AFM, Kalvin probe AFM and lateral force AFM (LFM). Together,these make AFM a powerful tool to study the properties of surfaces and interfaces, which is of great importance for many different disciplines, e.g. surface chemistry, polymerchemistry and physics, solid-state physics, cell biology and medicine.","Atomic Force Microscopy (AFM); Force measurements; Graphene oxide; Silica","en","doctoral thesis","","978-94-6332-297-3","","","","","","","","","OLD ChemE/Organic Materials and Interfaces","","",""
"uuid:a8aac0bf-9dbc-47b9-8f2d-69c628d42780","http://resolver.tudelft.nl/uuid:a8aac0bf-9dbc-47b9-8f2d-69c628d42780","Adaptive optics plug-and-play setup for high-resolution microscopes with multi-actuator adaptive lens","Quintavalla, M. (CNR-Institute of Photonics and Nanotechnology); Pozzi, P. (TU Delft Team Raf Van de Plas); Verhaegen, M.H.G. (TU Delft Team Raf Van de Plas); Bijlsma, H.K. (TU Delft Team Raf Van de Plas); Verstraete, H.R.G.W. (TU Delft Team Raf Van de Plas); Bonora, S. (CNR-Institute of Photonics and Nanotechnology)","Periasamy, A. (editor); So, P.T.C. (editor); König, K. (editor); Xie, X.S. (editor)","2018","Adaptive Optics (AO) has revealed as a very promising technique for high-resolution microscopy, where the presence of optical aberrations can easily compromise the image quality. Typical AO systems however, are almost impossible to implement on commercial microscopes. We propose a simple approach by using a Multi-actuator Adaptive Lens (MAL) that can be inserted right after the objective and works in conjunction with an image optimization software allowing for a wavefront sensorless correction. We presented the results obtained on several commercial microscopes among which a confocal microscope, a fluorescence microscope, a light sheet microscope and a multiphoton microscope.","Adaptive lens; Adaptive optics; High-resolution microscopy; optimizaion","en","conference paper","SPIE","","","","","","","","","","Team Raf Van de Plas","","",""
"uuid:ed324a6b-d219-4f74-bc9e-bc0475ce84a9","http://resolver.tudelft.nl/uuid:ed324a6b-d219-4f74-bc9e-bc0475ce84a9","Corneal Endothelial Cell Segmentation by Classifier-driven Merging of Oversegmented Images","Vigueras Guillén, J.P. (TU Delft ImPhys/Quantitative Imaging; Rotterdam Ophthalmic Institute); Andrinopoulou, Eleni Rosalina (Erasmus MC); Engel, Angela (Rotterdam Ophthalmic Institute); Lemij, Hans G. (Rotterdam Eye Hospital); van Rooij, J. (Rotterdam Eye Hospital); Vermeer, K.A. (TU Delft ImPhys/Quantitative Imaging; Rotterdam Ophthalmic Institute); van Vliet, L.J. (TU Delft ImPhys/Quantitative Imaging; TU Delft Applied Sciences)","","2018","Corneal endothelium images obtained by in vivo specular microscopy provide important information to assess the health status of the cornea. Estimation of clinical parameters, such as cell density, polymegethism, and pleomorphism, requires accurate cell segmentation. State-of-the-art techniques to automatically segment the endothelium are error-prone when applied to images with low contrast and/or large variation in cell size. Here, we propose an automatic method to segment the endothelium. Starting with an oversegmented image comprised of superpixels obtained from a stochastic watershed segmentation, the proposed method uses intensity and shape information of the superpixels to identify and merge those that constitute a cell, using Support Vector Machines. We evaluated the automatic segmentation on a dataset of in vivo specular microscopy images (Topcon SP-1P), obtaining 95.8merged cells and 2.0the parameter estimation against the results of the vendor’s builtin software, obtaining a statistically significant better precision in all parameters and a similar or better accuracy. The parameter estimation was also evaluated on three other datasets from different imaging modalities (confocal microscopy, phasecontrast microscopy, and fluorescence confocal microscopy) and tissue types (ex vivo corneal endothelium and retinal pigment epithelium). In comparison with the estimates of the datasets’ authors, we achieved statistically significant better accuracy and precision in all parameters except pleomorphism, where a similar accuracy and precision were obtained.","confocal microscopy; Cornea; Image segmentation; In vivo; Merging; merging superpixels; Microscopy; Optical microscopy; Specular microscopy; stochastic watershed; Support vector machines; support vector machines","en","journal article","","","","","","","","","Applied Sciences","","ImPhys/Quantitative Imaging","","",""
"uuid:e8f8fdc5-37b6-4a58-bffb-3f8219c35ae8","http://resolver.tudelft.nl/uuid:e8f8fdc5-37b6-4a58-bffb-3f8219c35ae8","Use of digital micromirror devices as dynamic pinhole arrays for adaptive confocal fluorescence microscopy","Pozzi, P. (TU Delft Team Raf Van de Plas); Wilding, D. (TU Delft Team Raf Van de Plas); Soloviev, O.A. (TU Delft Team Raf Van de Plas; Flexible Optical B.V.; ITMO University); Vdovin, Gleb (TU Delft Team Raf Van de Plas; Flexible Optical B.V.; ITMO University); Verhaegen, M.H.G. (TU Delft Team Raf Van de Plas)","Douglass, Michael R. (editor); Lee, Benjamin L. (editor)","2018","In this work, we present a new confocal laser scanning microscope capable to perform sensorless wavefront optimization in real time. The device is a parallelized laser scanning microscope in which the excitation light is structured in a lattice of spots by a spatial light modulator, while a deformable mirror provides aberration correction and scanning. A binary DMD is positioned in an image plane of the detection optical path, acting as a dynamic array of reflective confocal pinholes, images by a high performance CMOS camera. A second camera detects images of the light rejected by the pinholes for sensorless aberration correction.","Adaptive optics; Confocal microscopy; Digital micromirror devices","en","conference paper","SPIE","","","","","","","","","","Team Raf Van de Plas","","",""
"uuid:6194a944-69ba-4633-90fd-d32d0a9c5cc8","http://resolver.tudelft.nl/uuid:6194a944-69ba-4633-90fd-d32d0a9c5cc8","Fluorescence imaging for whole slide scanning using LED-based color sequential illumination","van der Graaff, L. (TU Delft ImPhys/Computational Imaging); Boyaval, Fanny (Leiden University Medical Center); van Vliet, L.J. (TU Delft ImPhys/Computational Imaging); Stallinga, S. (TU Delft ImPhys/Imaging Physics)","Schelkens, P. (editor); Ibrahimi, T. (editor); Cristobal, G. (editor)","2018","In the field of pathology there is an ongoing transition to the use of Whole Slide Imaging (WSI) systems which scan tissue slides at intermediate resolution (0∼.25 μm) and high throughput (15mm2=min) to digital image files. Most scanners currently on the market are line-sensor based push-broom scanners for three-color (RGB) brightfield imaging. Adding the ability of fluorescence imaging opens up a wide range of possibilities to the field, in particular the use of specific molecular (proteins, genes) imaging techniques. We propose an extension to fluorescence imaging for a highly efficient WSI systems based on a line scanning technique using multi-color led epi-illumination. The use of multi-band dichroics eliminates the need for filter wheels or any other moving parts in the system, the use of color sequential illumination with leds enables imaging of multiple color channels with a single sensor. Our approach offers a solution to fluorescence WSI systems that is technologically robust and cost-effective. We present design details of a four-color led based epi-illumination with a quad-band dichroic filter optimized for leds. We provide a thorough analysis regarding the obtained optical and spectral efficiency. The primary throughput limitation is the minimum Signal-to-Noise-Ratio (SNR) given the available optical power in the illumination etendue, and indicates that a throughput on the order of 1000 lines/sec can be obtained.","Digital Pathology; Epi-illumination; Fluorescence Imaging; LED; Microscopy; Scanning; Whole Slide Imaging (WSI)","en","conference paper","Society of Photo-Optical Instrumentation Engineers","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2018-11-24","","ImPhys/Imaging Physics","ImPhys/Computational Imaging","","",""
"uuid:1317599d-25d0-448f-b3b7-2ab03466681d","http://resolver.tudelft.nl/uuid:1317599d-25d0-448f-b3b7-2ab03466681d","Concept and design of a beam blanker with integrated photoconductive switch for ultrafast electron microscopy","Weppelman, I.G.C. (TU Delft ImPhys/Charged Particle Optics); Moerland, R.J. (TU Delft ImPhys/Quantitative Imaging); Hoogenboom, J.P. (TU Delft ImPhys/Charged Particle Optics); Kruit, P. (TU Delft ImPhys/Charged Particle Optics)","","2018","We present a new method to create ultrashort electron pulses by integrating a photoconductive switch with an electrostatic deflector. This paper discusses the feasibility of such a system by analytical and numerical calculations. We argue that ultrafast electron pulses can be achieved for micrometer scale dimensions of the blanker, which are feasible with MEMS-based fabrication technology. According to basic models, the design presented in this paper is capable of generating 100 fs electron pulses with spatial resolutions of less than 10 nm. Our concept for an ultrafast beam blanker (UFB) may provide an attractive alternative to perform ultrafast electron microscopy, as it does not require modification of the microscope nor realignment between DC and pulsed mode of operation. Moreover, only low laser pulse energies are required. Due to its small dimensions the UFB can be inserted in the beam line of a commercial microscope via standard entry ports for blankers or variable apertures. The use of a photoconductive switch ensures minimal jitter between laser and electron pulses.","Beam blankers; Photoconductive switches; Scanning electron microscopy (SEM); Transmission electron microscopy (TEM); Ultrafast electron microscopy (UEM)","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2018-07-01","","","ImPhys/Charged Particle Optics","","",""
"uuid:be95f9ab-2b81-412d-bd84-99e0b92ef5be","http://resolver.tudelft.nl/uuid:be95f9ab-2b81-412d-bd84-99e0b92ef5be","VC-precipitation kinetics studied by Small-Angle Neutron Scattering in nano-steels","Ioannidou, C. (TU Delft (OLD) MSE-1); Arechabaleta Guenechea, Z. (TU Delft (OLD) MSE-1); Rijkenberg, Arjan (Tata Steel); Dalgliesh, Robert M. (ISIS, Rutherford Appleton Laboratory); van Well, A.A. (TU Delft RST/Neutron and Positron Methods in Materials); Offerman, S.E. (TU Delft (OLD) MSE-1)","","2018","Nanosteels are used in automotive applications to accomplish resource-efficiency while providing high-tech properties. Quantitative data and further understanding on the precipitation kinetics in Nanosteels can contribute to fulfil this goal. Small-Angle Neutron Scattering measurements are performed on a Fe-C-Mn-V steel, previously heat-treated in a dilatometer at 650°C for several holding times from seconds to 10 hours. The evolution of the precipitate volume fraction, size distribution and number density is calculated by fitting the experimental Small-Angle Neutron Scattering curves. The effect of phase transformation on precipitation kinetics is also discussed. Complementary Transmission Electron Microscopy, Scanning Electron Microscopy and Inductively Coupled Plasma Optical Emission Spectroscopy measurements are performed to support the Small-Angle Neutron Scattering data analysis.","Inductively Coupled Plasma Optical Emission Spectroscopy; Micro-Alloyed Steels; Precipitation Kinetics; Scanning Electron Microscopy; Small-Angle Neutron Scattering; Transmission Electron Microscopy; Vanadium Carbides","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2018-07-01","","","(OLD) MSE-1","","",""
"uuid:aa85ded7-4fe1-4461-b81e-bb145d7f46a7","http://resolver.tudelft.nl/uuid:aa85ded7-4fe1-4461-b81e-bb145d7f46a7","Light control of the nanoscale phase separation in heteroepitaxial nickelates","Mattoni, G. (TU Delft QN/Caviglia Lab); Manca, N. (TU Delft QN/Caviglia Lab); Hadjimichael, M. (University College London (UCL)); Zubko, P. (University College London (UCL)); van der Torren, J.H. (Universiteit Leiden); Yin, C. (Universiteit Leiden); Catalano, S. (University of Geneva); Gibert, M. (University of Geneva); Maccherozzi, F. (Diamond Light Source); Liu, Y. (Diamond Light Source); Dhesi, S.S. (Diamond Light Source); Caviglia, A. (TU Delft QN/Caviglia Lab)","","2018","Strongly correlated materials show unique solid-state phase transitions with rich nanoscale phenomenology that can be controlled by external stimuli. Particularly interesting is the case of light–matter interaction in the proximity of the metal–insulator transition of heteroepitaxial nickelates. In this work, we use near-infrared laser light in the high-intensity excitation regime to manipulate the nanoscale phase separation in NdNiO3. By tuning the laser intensity, we can reproducibly set the coverage of insulating nanodomains, which we image by photoemission electron microscopy, thus semipermanently configuring the material state. With the aid of transport measurements and finite element simulations, we identify two different timescales of thermal dynamics in the light–matter interaction: a steady-state and a fast transient local heating. These results open interesting perspectives for locally manipulating and reconfiguring electronic order at the nanoscale by optical means.","Conductivity; Critical phenomena; First order phase transitions; Metal-insulator transition; Microphase separation; Nucleation; ConductivityCritical phenomenaFirst order phase transitionsMetal-insulator transitionMicrophase separation; Heterostructures; Single crystal materials; Strongly correlated systems; terminal techniques; High-resolution electron microscopy; Photoexcitation; X-ray absorption spectroscopy; X-ray photoemission electron microscopy","en","journal article","","","","","","","","","","","QN/Caviglia Lab","","",""
"uuid:05a1f26d-6373-4d9e-84b0-f9605c712c30","http://resolver.tudelft.nl/uuid:05a1f26d-6373-4d9e-84b0-f9605c712c30","Nanoscale imaging of light-matter coupling inside metal-coated cavities with a pulsed electron beam","Moerland, R.J. (TU Delft ImPhys/Quantitative Imaging); Weppelman, I.G.C. (TU Delft ImPhys/Charged Particle Optics); Scotuzzi, M. (TU Delft ImPhys/Charged Particle Optics); Hoogenboom, J.P. (TU Delft ImPhys/Charged Particle Optics)","","2018","Many applications in (quantum) nanophotonics rely on controlling light-matter interaction through strong, nanoscale modification of the local density of states (LDOS). All-optical techniques probing emission dynamics in active media are commonly used to measure the LDOS and benchmark experimental performance against theoretical predictions. However, metal coatings needed to obtain strong LDOS modifications in, for instance, nanocavities, are incompatible with all-optical characterization. So far, no reliable method exists to validate theoretical predictions. Here, we use sub-nanosecond pulses of focused electrons to penetrate the metal and excite a buried active medium at precisely-defined locations inside sub-wavelength resonant nanocavities. We reveal the spatial layout of the spontaneous-emission decay dynamics inside the cavities with deep-subwavelength detail, directly mapping the LDOS. We show that emission enhancement converts to inhibition despite an increased number of modes, emphasizing the critical role of optimal emitter location. Our approach yields fundamental insight in dynamics at deep-subwavelength scales for a wide range of nano-optical systems.","cathodoluminescence; decay dynamics; electron microscopy; local density of states; nanocavities","en","journal article","","","","","","","","","","","ImPhys/Quantitative Imaging","","",""
"uuid:9b841513-b971-4c3e-8f3f-e0a7579a9190","http://resolver.tudelft.nl/uuid:9b841513-b971-4c3e-8f3f-e0a7579a9190","Practical guidelines for implementing adaptive optics in fluorescence microscopy","Wilding, D. (TU Delft Team Raf Van de Plas); Pozzi, P. (TU Delft Team Raf Van de Plas); Soloviev, O.A. (TU Delft Team Raf Van de Plas); Vdovin, Gleb (TU Delft Team Raf Van de Plas); Verhaegen, M.H.G. (TU Delft Team Raf Van de Plas)","Bifano, T.G. (editor); Kubby, J. (editor); Gigan, S. (editor)","2018","In life sciences, interest in the microscopic imaging of increasingly complex three dimensional samples, such as cell spheroids, zebrafish embryos, and in vivo applications in small animals, is growing quickly. Due to the increasing complexity of samples, more and more life scientists are considering the implementation of adaptive optics in their experimental setups. While several approaches to adaptive optics in microscopy have been reported, it is often difficult and confusing for the microscopist to choose from the array of techniques and equipment. In this poster presentation we offer a small guide to adaptive optics providing general guidelines for successful adaptive optics implementation.","Adaptive optics; fluorescence microscopy; wavefront sensing","en","conference paper","SPIE","","","","","","","","","","Team Raf Van de Plas","","",""
"uuid:efc9bccd-b867-4f05-9d89-560d06fa298b","http://resolver.tudelft.nl/uuid:efc9bccd-b867-4f05-9d89-560d06fa298b","Hybrid adaptive and computational light-sheet fluorescence microscopy","Wilding, D. (TU Delft Team Raf Van de Plas); Pozzi, P. (TU Delft Team Raf Van de Plas); Soloviev, O.A. (TU Delft Team Raf Van de Plas; Flexible Optical B.V.); Vdovin, Gleb (TU Delft Team Raf Van de Plas; Flexible Optical B.V.); Fiolka, Reto (University of Texas Southwestern); Verhaegen, M.H.G. (TU Delft Team Raf Van de Plas)","Bifano, T.G. (editor); Kubby, J. (editor); Gigan, S. (editor)","2018","The light-sheet fluorescence microscopy is an excellent tool for the investigation of large three dimensional microscopy samples at the cellular level, however, the ability to resolve features is strongly affected by the presence of scattering and aberrations. These effects are two fold in light-sheet microscopy, as the illumination path providing the optical sectioning and the fluorescence detection path are both affected by the aberrations in different ways. To overcome these difficulties, we have developed hybrid adaptive optical and computational microscopy techniques to remove the effect of the aberrations in both the excitation and the fluorescence paths of these microscopes.","Adaptive optics; deconvolution; light-sheet; microscopy","en","conference paper","SPIE","","","","","","","","","","Team Raf Van de Plas","","",""
"uuid:dd63017e-f364-4107-adad-f5b62187812c","http://resolver.tudelft.nl/uuid:dd63017e-f364-4107-adad-f5b62187812c","On the origin of amplitude reduction mechanism in tapping mode atomic force microscopy","Keyvani Janbahan, A. (TU Delft Computational Design and Mechanics; TNO); Sadeghian, Hamed (TNO; Eindhoven University of Technology); Goosen, J.F.L. (TU Delft Computational Design and Mechanics); van Keulen, A. (TU Delft Computational Design and Mechanics)","","2018","The origin of amplitude reduction in Tapping Mode Atomic Force Microscopy (TM-AFM) is typically attributed to the shift in resonance frequency of the cantilever due to the nonlinear tip-sample interactions. In this paper, we present a different insight into the same problem which, besides explaining the amplitude reduction mechanism, provides a simple reasoning for the relationship between tip-sample interactions and operation parameters (amplitude and frequency). The proposed formulation, which attributes the amplitude reduction to an interference between the tip-sample and dither force, only deals with the linear part of the system; however, it fully agrees with experimental results and numerical solutions of the full nonlinear model of TM-AFM.","Continuum mechanics; Intermolecular forces; Newtonian mechanics; Atomic force microscopy; Fourier analysis; Nanopatterning; Nonlinear dynamics","en","journal article","","","","","","","","2019-04-20","","","Computational Design and Mechanics","","",""
"uuid:793386a1-0981-4f4f-8ba5-cb3cd7bcf4f2","http://resolver.tudelft.nl/uuid:793386a1-0981-4f4f-8ba5-cb3cd7bcf4f2","Structure and collagen crimp patterns of functionally distinct equine tendons, revealed by quantitative polarised light microscopy (qPLM)","Spiesz, E.M. (TU Delft BN/Marie-Eve Aubin-Tam Lab; Queen Mary University of London); Thorpe, Chavaunne T. (Queen Mary University of London; Royal Veterinary College University of London); Thurner, Philipp J. (Technische Universität Wien); Screen, Hazel R.C. (Queen Mary University of London)","","2018","Structure-function relationships in tendons are directly influenced by the arrangement of collagen fibres. However, the details of such arrangements in functionally distinct tendons remain obscure. This study demonstrates the use of quantitative polarised light microscopy (qPLM) to identify structural differences in two major tendon compartments at the mesoscale: fascicles and interfascicular matrix (IFM). It contrasts functionally distinct positional and energy storing tendons, and considers changes with age. Of particular note, the technique facilitates the analysis of crimp parameters, in which cutting direction artefact can be accounted for and eliminated, enabling the first detailed analysis of crimp parameters across functionally distinct tendons. IFM shows lower birefringence (0.0013 ± 0.0001 [−]), as compared to fascicles (0.0044 ± 0.0005 [−]), indicating that the volume fraction of fibres must be substantially lower in the IFM. Interestingly, no evidence of distinct fibre directional dispersions between equine energy storing superficial digital flexor tendons (SDFTs) and positional common digital extensor tendons (CDETs) were noted, suggesting either more subtle structural differences between tendon types or changes focused in the non-collagenous components. By contrast, collagen crimp characteristics are strongly tendon type specific, indicating crimp specialisation is crucial in the respective mechanical function. SDFTs showed much finer crimp (21.1 ± 5.5 µm) than positional CDETs (135.4 ± 20.1 µm). Further, tendon crimp was finer in injured tendon, as compared to its healthy equivalents. Crimp angle differed strongly between tendon types as well, with average of 6.5 ± 1.4° in SDFTs and 13.1 ± 2.0° in CDETs, highlighting a substantially tighter crimp in the SDFT, likely contributing to its effective recoil capacity. Statement of Significance: This is the first study to quantify birefringence in fascicles and interfascicular matrix of functionally distinct energy storing and positional tendons. It adopts a novel method – quantitative polarised light microscopy (qPLM) to measure collagen crimp angle, avoiding artefacts related to the direction of histological sectioning, and provides the first direct comparison of crimp characteristics of functionally distinct tendons of various ages. A comparison of matched picrosirius red stained and unstained tendons sections identified non-homogenous staining effects, and leads us to recommend that only unstained sections are analysed in the quantitative manner. qPLM is successfully used to assess birefringence in soft tissue sections, offering a promising tool for investigating the structural arrangements of fibres in (soft) tissues and other composite materials.","Birefringence; Collagen crimp; Fascicles; Interfascicular matrix (endotenon); Quantitative polarised light microscopy (qPLM); Tendon","en","journal article","","","","","","","","","","","BN/Marie-Eve Aubin-Tam Lab","","",""
"uuid:f6aa3da3-27d6-400b-a3d6-b760a352f5d1","http://resolver.tudelft.nl/uuid:f6aa3da3-27d6-400b-a3d6-b760a352f5d1","Geometrically Enhanced Thermoelectric Effects in Graphene Nanoconstrictions","Harzheim, Achim (University of Oxford); Spiece, Jean (Lancaster University); Evangeli, Charalambos (University of Oxford; Lancaster University); McCann, Edward (Lancaster University); Falko, Vladimir (The University of Manchester); Sheng, Yuewen (University of Oxford); Warner, Jamie H. (University of Oxford); Briggs, G. Andrew D. (University of Oxford); Mol, Jan A. (University of Oxford); Gehring, P. (TU Delft QN/van der Zant Lab; University of Oxford; Kavli institute of nanoscience Delft); Kolosov, Oleg V. (Lancaster University)","","2018","The influence of nanostructuring and quantum confinement on the thermoelectric properties of materials has been extensively studied. While this has made possible multiple breakthroughs in the achievable figure of merit, classical confinement, and its effect on the local Seebeck coefficient has mostly been neglected, as has the Peltier effect in general due to the complexity of measuring small temperature gradients locally. Here we report that reducing the width of a graphene channel to 100 nm changes the Seebeck coefficient by orders of magnitude. Using a scanning thermal microscope allows us to probe the local temperature of electrically contacted graphene two-terminal devices or to locally heat the sample. We show that constrictions in mono- and bilayer graphene facilitate a spatially correlated gradient in the Seebeck and Peltier coefficient, as evidenced by the pronounced thermovoltage Vth and heating/cooling response TPeltier, respectively. This geometry dependent effect, which has not been reported previously in 2D materials, has important implications for measurements of patterned nanostructures in graphene and points to novel solutions for effective thermal management in electronic graphene devices or concepts for single material thermocouples.","graphene nanostructures; Peltier; scanning thermal microscopy; Seebeck; Thermoelectrics","en","journal article","","","","","","","","","","","QN/van der Zant Lab","","",""
"uuid:4fcb3989-184b-4c65-a65c-53cd26d6f31c","http://resolver.tudelft.nl/uuid:4fcb3989-184b-4c65-a65c-53cd26d6f31c","High-resolution characterization of the martensite-austenite constituent in a carbide-free bainitic steel","Hofer, Christina (Montan University of Leoben); Bliznuk, Vitaliy (Universiteit Gent); Verdiere, An (Universiteit Gent); Petrov, R.H. (TU Delft (OLD) MSE-3; Universiteit Gent); Winkelhofer, Florian (Voestalpine Stahl GmbH); Clemens, Helmut (Montan University of Leoben); Primig, Sophie (Montan University of Leoben; University of New South Wales)","","2018","The multiphase microstructure of carbide-free bainitic steels comprises bainitic ferrite laths, retained austenite with different morphologies, a minor fraction of carbides and so-called martensite-austenite areas, which partially transform during the last cooling step. While the other constituent received much attention, little is known about the structure of the martensite-austenite constituent in carbide-free bainitic steels. Thus, in this study, it was structurally and chemically investigated by high-resolution techniques such as transmission electron microscopy and atom probe tomography after preceded unambiguous identification by electron backscatter diffraction in conventional as well as transmission mode. The results, ranging from carbon segregation to cementite precipitation in the martensitic part, indicate strong auto-tempering during final cooling which is followed by aging. Also, some kind of structural modulation in the austenite belonging to the martensite-austenite areas was observed. Atom probe tomography revealed a heterogeneous carbon distribution, further supporting the findings by transmission electron microscopy.","Atom probe tomography; Carbide-free bainite; Martensite-austenite constituent; Structural modulation; Transmission electron microscopy","en","journal article","","","","","","Accepted Author Manuscript","","2020-07-17","","","(OLD) MSE-3","","",""
"uuid:727c8df5-f833-4d0c-94ea-dcc279a58390","http://resolver.tudelft.nl/uuid:727c8df5-f833-4d0c-94ea-dcc279a58390","The 2018 correlative microscopy techniques roadmap","Ando, Toshio (Kanazawa University); Bhamidimarri, Satya Prathyusha (Jacobs University Bremen); Brending, Niklas (Ionovation GmbH); Colin-York, H. (University of Oxford); Collinson, Lucy (Francis Crick Institute); De Jonge, Niels (Leibniz Institute for New Materials; Saarland University); De Pablo, P. J. (Campus de Cantoblanco; Universidad Autónoma de Madrid); Debroye, Elke (Katholieke Universiteit Leuven); Eggeling, Christian (Friedrich Schiller University Jena; Leibniz Institute for Photonic Technology (IPHT) Jena; University of Oxford); Franck, Christian (University of Wisconsin-Madison); Hoogenboom, J.P. (TU Delft ImPhys/Charged Particle Optics)","","2018","Developments in microscopy have been instrumental to progress in the life sciences, and many new techniques have been introduced and led to new discoveries throughout the last century. A wide and diverse range of methodologies is now available, including electron microscopy, atomic force microscopy, magnetic resonance imaging, small-angle x-ray scattering and multiple super-resolution fluorescence techniques, and each of these methods provides valuable read-outs to meet the demands set by the samples under study. Yet, the investigation of cell development requires a multi-parametric approach to address both the structure and spatio-temporal organization of organelles, and also the transduction of chemical signals and forces involved in cell-cell interactions. Although the microscopy technologies for observing each of these characteristics are well developed, none of them can offer read-out of all characteristics simultaneously, which limits the information content of a measurement. For example, while electron microscopy is able to disclose the structural layout of cells and the macromolecular arrangement of proteins, it cannot directly follow dynamics in living cells. The latter can be achieved with fluorescence microscopy which, however, requires labelling and lacks spatial resolution. A remedy is to combine and correlate different readouts from the same specimen, which opens new avenues to understand structure-function relations in biomedical research. At the same time, such correlative approaches pose new challenges concerning sample preparation, instrument stability, region of interest retrieval, and data analysis. Because the field of correlative microscopy is relatively young, the capabilities of the various approaches have yet to be fully explored, and uncertainties remain when considering the best choice of strategy and workflow for the correlative experiment. With this in mind, the Journal of Physics D: Applied Physics presents a special roadmap on the correlative microscopy techniques, giving a comprehensive overview from various leading scientists in this field, via a collection of multiple short viewpoints.","atomic force microscopy; correlative microscopy; electron microscopy; fluorescence microscopy; magnetic resonance imaging; super-resolution microscopy; x-ray microscopy","en","review","","","","","","","","","","","ImPhys/Charged Particle Optics","","",""
"uuid:ac2fd73a-d02a-40f6-943f-661e28653e2b","http://resolver.tudelft.nl/uuid:ac2fd73a-d02a-40f6-943f-661e28653e2b","An in-situ LSCM study on bainite Formation in a Fe-0.2C-1.5Mn-2.0Cr Alloy","Sainis, Salil (Student TU Delft); Farahani, H. (TU Delft (OLD) MSE-3; TU Delft Novel Aerospace Materials); Gamsjäger, Ernst (Montanuniversitaet Leoben); van der Zwaag, S. (TU Delft Novel Aerospace Materials; Tsinghua University)","","2018","Direct microscopic observation of the isothermal bainite evolution in terms of nucleation events, the location of the nuclei, as well as their growth is very valuable for the refinement of models predicting the kinetics of bainite transformation. To this aim, the microstructural evolution in a Fe-0.2C-1.5Mn-2.0Cr alloy during isothermal bainite formation at temperatures between 723 K and 923 K is monitored in situ using high temperature laser scanning confocal microscopy (LSCM). Both the nucleation and the growth kinetics of the bainitic plates are analyzed quantitatively. Bainitic plates are observed to nucleate on three different types of locations in the grain: at austenitic grain boundaries, on newly-formed bainite plates and at unspecific sites within the austenite grains. Grain boundary nucleation is observed to be the dominant nucleation mode at all transformation temperatures. The rate of nucleation is found to vary markedly between different austenite grains. The temperature dependence of the average bainite nucleation rate is in qualitative agreement with the classical nucleation theory. Analysis of plate growth reveals that also the lengthening rates of bainite plates differ strongly between different grains. However, the lengthening rates do not seem to be related to the type of nucleation site. Analysis of the temperature dependence of the growth rate shows that the lengthening rates at high temperatures are in line with a diffusional model when a growth barrier of 400 J mol–1 is considered.","Bainite; Growth; Kinetics; Microscopy; Nucleation; Phase transformation; Steel; OA-Fund TU Delft","en","journal article","","","","","","","","","","","(OLD) MSE-3","","",""
"uuid:571b0dcf-6e8e-4ff6-8096-df95c9e51f3d","http://resolver.tudelft.nl/uuid:571b0dcf-6e8e-4ff6-8096-df95c9e51f3d","Measuring surface charge: Why experimental characterization and molecular modeling should be coupled","Hartkamp, Remco (TU Delft Process and Energy); Biance, Anne-Laure (Université de Lyon); Fu, Li (Université de Lyon); Dufrêche, Jean François (CEA-CNRS-ENSCM-Université Montpellier); Bonhomme, Oriane (Université de Lyon); Joly, Laurent (Université de Lyon)","","2018","Surface charge controls many static and dynamic properties of soft matter and micro/nanofluidic systems, but its unambiguous measurement forms a challenge. Standard characterization methods typically probe an effective surface charge, which provides limited insight into the distribution and dynamics of charge across the interface, and which cannot predict consistently all surface-charge-governed properties. New experimental approaches provide local information on both structure and transport, but models are typically required to interpret raw data. Conversely, molecular dynamics simulations have helped showing the limits of standard models and developing more accurate ones, but their reliability is limited by the empirical interaction potentials they are usually based on. This review highlights recent developments and limitations in both experimental and computational research focusing on the liquid-solid interface. Based on recent studies, we make the case that coupling of experiments and simulations is pivotal to mitigate methodological shortcomings and address open problems pertaining to charged interfaces.","Surface charge; Electrical double layer; Zeta potential; Electrokinetics; Scanning probe microscopy; Spectroscopy; Molecular dynamic; Ab initio methods","en","journal article","","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2019-02-23","","Process and Energy","","","",""
"uuid:7edc3b20-75f2-4ef0-aa2d-3f6b21eb800b","http://resolver.tudelft.nl/uuid:7edc3b20-75f2-4ef0-aa2d-3f6b21eb800b","Improved Accuracy and Robustness of a Corneal Endothelial Cell Segmentation Method Based on Merging Superpixels","Vigueras Guillén, J.P. (TU Delft ImPhys/Quantitative Imaging; Rotterdam Ophthalmic Institute); Engel, Angela (Rotterdam Ophthalmic Institute); Lemij, Hans G. (Rotterdam Eye Hospital); van Rooij, Jeroen (Rotterdam Eye Hospital); Vermeer, K.A. (Rotterdam Ophthalmic Institute); van Vliet, L.J. (TU Delft ImPhys/Computational Imaging)","","2018","Clinical parameters related to the corneal endothelium can only be estimated by segmenting endothelial cell images. Specular microscopy is the current standard technique to image the endothelium, but its low SNR make the segmentation a complicated task. Recently, we proposed a method to segment such images by starting with an oversegmented image and merging the superpixels that constitute a cell. Here, we show how our merging method provides better results than optimizing the segmentation itself. Furthermore, our method can provide accurate results despite the degree of the initial oversegmentation, resulting into a precision and recall of 0.91 for the optimal oversegmentation.","Oversegmentation; Specular microscopy; Watershed","en","conference paper","Springer","","","","","Green Open Access added to TU Delft Institutional Repository ‘You share, we take care!’ – Taverne project https://www.openaccess.nl/en/you-share-we-take-care Otherwise as indicated in the copyright section: the publisher is the copyright holder of this work and the author uses the Dutch legislation to make this work public.","","2018-12-06","","","ImPhys/Quantitative Imaging","","",""
"uuid:695c7410-ac2d-4fee-879b-2501a0d72421","http://resolver.tudelft.nl/uuid:695c7410-ac2d-4fee-879b-2501a0d72421","Metal–Insulator Transitions in Heterostructures of Quantum Materials","Mattoni, G. (TU Delft QN/Caviglia Lab)","van der Zant, H.S.J. (promotor); Caviglia, A. (copromotor); Delft University of Technology (degree granting institution)","2017","This thesis is an experimental investigation of the physical properties of different transition metal oxide ultra-thin films. A common feature of these various materials and structures is that they exhibit a solid-state phase transition from a metallic to an insulating state, which is triggered upon changing sample composition, or by varying an external stimulus such as temperature, illumination or gas pressure. The experiments performed cover a broad spectrum of condensed matter, from material growth, structural characterisation and nanodevice fabrication to low-temperature magnetotransport, synchrotron microscopy and gas sensing.","Quantum materials; metal–insulator transitions; X-ray photoemission electron microscopy; low-temperature electronic transport; complex oxide heterostructures","en","doctoral thesis","","978-90-8593-330-4","","","","Casimir PhD series 2017-46","","2018-12-18","","","QN/Caviglia Lab","","",""
"uuid:4fbe1ab0-a14f-455a-bebe-56a5d37de45c","http://resolver.tudelft.nl/uuid:4fbe1ab0-a14f-455a-bebe-56a5d37de45c","Correlating 3D light to 3D electron microscopy for systems biology","Collinson, LM (Francis Crick Institute); Carroll, E.C.M. (TU Delft ImPhys/Charged Particle Optics); Hoogenboom, J.P. (TU Delft ImPhys/Charged Particle Optics)","","2017","Whilst a ‘resolution revolution’ has taken place at the macromolecular scale in both electron microscopy and light microscopy, a ‘volume revolution’ has taken place at the tissue and organism level in both imaging modalities. At both ends of the scale – resolution and volume – there are concerted efforts to link the information from light and electron microscopes through correlative workflows to link structure to function. Here, we consider the status and potential of correlative imaging in the volume domain (3D CLEM).","Correlative microscopy; 3D CLEM; Large scale imaging; Volume electron microscopy; Ultrastructure; Systems biology","en","journal article","","","","","","","","2018-09-01","","","ImPhys/Charged Particle Optics","","",""
"uuid:e4e1c530-252d-44d2-9df3-66e2ce5e52cc","http://resolver.tudelft.nl/uuid:e4e1c530-252d-44d2-9df3-66e2ce5e52cc","Strain-Dependent Edge Structures in MoS2 Layers","Tinoco Rivas, M. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft); Maduro, L.A. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft); Masaki, Mukai (JEOL Ltd., Japan); Okunishi, Eiji (JEOL Ltd., Japan); Conesa Boj, S. (TU Delft QN/Conesa-Boj Lab; Kavli institute of nanoscience Delft)","","2017","Edge structures are low-dimensional defects unavoidable in layered materials of the transition metal dichalcogenides (TMD) family. Among the various types of such structures, the armchair (AC) and zigzag (ZZ) edge types are the most common. It has been predicted that the presence of intrinsic strain localized along these edges structures can have direct implications for the customization of their electronic properties. However, pinning down the relation between local structure and electronic properties at these edges is challenging. Here, we quantify the local strain field that arises at the edges of MoS2 flakes by combining aberration-corrected transmission electron microscopy (TEM) with the geometrical-phase analysis (GPA) method. We also provide further insight on the possible effects of such edge strain on the resulting electronic behavior by means of electron energy loss spectroscopy (EELS) measurements. Our results reveal that the two-dominant edge structures, ZZ and AC, induce the formation of different amounts of localized strain fields. We also show that by varying the free edge curvature from concave to convex, compressive strain turns into tensile strain. These results pave the way toward the customization of edge structures in MoS2, which can be used to engineer the properties of layered materials and thus contribute to the optimization of the next generation of atomic-scale electronic devices built upon them.","aberration-corrected transmission electron microscopy; edge structures; electron energy loss spectroscopy; strain; Transition-metal dichalcogenides","en","journal article","","","","","","","","","","","QN/Conesa-Boj Lab","","",""
"uuid:e011fb5f-d2cf-4f1f-905d-d2f1e82630a2","http://resolver.tudelft.nl/uuid:e011fb5f-d2cf-4f1f-905d-d2f1e82630a2","Single-molecule approaches to unravel the mechanism of SMC proteins","Eeftens, J.M. (TU Delft BN/Cees Dekker Lab)","Dekker, C. (promotor); Delft University of Technology (degree granting institution)","2017","Every cell deals with the challenge of organising its DNA. First, the DNA needs to be compacted in size by several orders of magnitude. For example, in each human cell, 2 meters of DNA need to fit inside a micron-sized cell nucleus. Second, the DNA needs to stay accessible for cellular processes such as transcription and replication. To achieve these goals, cells are assisted by proteins that organise the DNA by locally bending the DNA, wrapping DNA around them, or by making DNA loops. A prime example are the Structural Maintenance of Chromosomes (SMC) family of proteins,which is known to be essential for DNA organisation. In eukaryotes, the SMC complex cohesin is responsible for keeping sister-chromatids together until the cell is ready to divide. Without cohesin, division might occur prematurely, leading to unevenly divided DNA. The SMC complex condensin is responsible for compacting the DNA into mitotic chromosomes. Indeed, without condensin, the DNA does not formproperly organised chromosomes. This thesis describes a series of experiments that aim to understand the molecular mechanism of these SMC proteins.","SMC proteins; cohesin; condensin; single-molecule biophysics; magnetic tweezers; DNA curtains; atomic force microscopy","en","doctoral thesis","","978-90-8593-320-5","","","","Casimir PhD Series, Delft-Leiden 2017-36","","","","","BN/Cees Dekker Lab","","",""
"uuid:e2f5a2d2-7e79-4049-9031-6924d7ec0f22","http://resolver.tudelft.nl/uuid:e2f5a2d2-7e79-4049-9031-6924d7ec0f22","High resolution resist-free lithography in the SEM","Hari, S. (TU Delft ImPhys/Charged Particle Optics)","Kruit, P. (promotor); Hagen, C.W. (copromotor); Delft University of Technology (degree granting institution)","2017","Focussed Electron Beam Induced Processing is a high resolution direct-write nanopatterning technique. Its ability to fabricate sub-10 nm structures together with its versatility and ease of use, in that it is resist-free and implementable inside a Scanning Electron Microscope, make it attractive for a variety of applications in nanofabrication. FEBIP comprises two complementary techniques: Electron Beam Induced Deposition and Electron Beam Induced Etching. In EBID (EBIE), the electron beam is scanned in the presence of a precursor gas that has been let into the chamber of the SEM. The precursor molecules adsorbed onto the sample surface are dissociated by the electron beam, as well as by secondary and backscattered electrons that are generated at the surface by the interaction of the electron beam with the sample. The nonvolatile dissociation product forms a deposit (etch) on the surface, while the volatile products are pumped out. A pattern can thus be deposited (etched) by merely scanning the beam in the presence of the precursor. As the secondary electrons are lower in energy (< 50 eV), they contribute more significantly to the dissociation than the higher energy backscattered or primary electrons. At the outset therefore, the resolution in EBID is limited by the emission radius of the secondary electrons, which can be as low as a few nanometres. The fabrication of lines as little as 3 nm wide on bulk silicon attests to the high resolution patterning capability of EBID, which in turn makes it potentially attractive for lithography. The development of a laboratory nanofabrication technique into a viable alternative for lithography, however, requires several criteria to be met.","Lithography; Nanofabrication; Electron microscopy; high resolution; Electron beams","en","doctoral thesis","","978-94-6299-752-3","","","","","","","","","ImPhys/Charged Particle Optics","","",""
"uuid:a95c0f70-e05f-4ff7-9587-444911b810cf","http://resolver.tudelft.nl/uuid:a95c0f70-e05f-4ff7-9587-444911b810cf","Distortion of DNA Origami on Graphene Imaged with Advanced TEM Techniques","Kabiri, Y. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); Ananth, A.N. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft); van der Torre, J. (TU Delft BN/Technici en Analisten; Kavli institute of nanoscience Delft); Katan, A.J. (TU Delft QN/Afdelingsbureau; Kavli institute of nanoscience Delft); Hong, Jin Yong (Massachusetts Institute of Technology); Malladi, S.R.K. (TU Delft QN/Zandbergen Lab; Kavli institute of nanoscience Delft); Kong, Jing (Massachusetts Institute of Technology); Zandbergen, H.W. (TU Delft QN/Zandbergen Lab; Kavli institute of nanoscience Delft); Dekker, C. (TU Delft BN/Cees Dekker Lab; Kavli institute of nanoscience Delft)","","2017","While graphene may appear to be the ultimate support membrane for transmission electron microscopy (TEM) imaging of DNA nanostructures, very little is known if it poses an advantage over conventional carbon supports in terms of resolution and contrast. Microscopic investigations are carried out on DNA origami nanoplates that are supported onto freestanding graphene, using advanced TEM techniques, including a new dark-field technique that is recently developed in our lab. TEM images of stained and unstained DNA origami are presented with high contrast on both graphene and amorphous carbon membranes. On graphene, the images of the origami plates show severe unwanted distortions, where the rectangular shape of the nanoplates is significantly distorted. From a number of comparative control experiments, it is demonstrated that neither staining agents, nor screening ions, nor the level of electron-beam irradiation cause this distortion. Instead, it is suggested that origami nanoplates are distorted due to hydrophobic interaction of the DNA bases with graphene upon adsorption of the DNA origami nanoplates.","DNA origami; graphene; nanostructures; transmission electron microscopy","en","journal article","","","","","","","","2018-06-16","","","BN/Cees Dekker Lab","","",""
"uuid:1686e932-2df7-41df-80af-643d5a34fb2f","http://resolver.tudelft.nl/uuid:1686e932-2df7-41df-80af-643d5a34fb2f","Conformance Control in Heterogeneous Oil Reservoirs with Polymer Gels and Nano-Spheres","Lenchenkov, N. (TU Delft Reservoir Engineering; TU Delft Lab Geoscience and Engineering)","van Kruijsdijk, C.P.J.W. (promotor); Delft University of Technology (degree granting institution)","2017","In many oil fields, water is injected into a reservoir to displace oil to the production wells. During the injection process, oil is pushed by water towards production wells which have a lower pressure than the rest of the reservoir. If the reservoir is homogeneous, then a good sweep efficiency of the water flood process is expected. However, most oil reservoirs are stratified and that creates a permeability contrast along the whole height. High permeable layers take most of the injected water resulting in lower sweep efficiency of the other layers. The water breaks through the high permeable zones, significantly increasing the water cut of the produced fluid. Excessive produced water has to be treated in surface facilities which increase the costs of the extraction process. Another disadvantage of the low sweep of a reservoir is a significant amount of remaining oil behind the displacement front...","polymers; conformance control; improved oil recovery; nano-spheres; cross-linked polymers; flow in porous media; electron microscopy; dynamic light scattering","en","doctoral thesis","","978-94-6233-668-1","","","","","","","","","Reservoir Engineering","","",""
"uuid:342da318-4a11-4989-8c79-df0f0a11468f","http://resolver.tudelft.nl/uuid:342da318-4a11-4989-8c79-df0f0a11468f","Electronic Transport in Helium Beam Modified Graphene and Ballistic Josephson Junctions","Nanda, G. (TU Delft QN/Kavli Nanolab Delft)","Vandersypen, L.M.K. (promotor); Alkemade, P.F.A. (copromotor); Delft University of Technology (degree granting institution)","2017","This thesis describes the capabilities of the helium ion microscope (HIM) and that of graphene to explore fundamental physics and novel applications. While graphene offers superior electronic properties, the helium ion microscope allows us to combine imaging and modification of materials at the nanoscale. We used the capabilities of HIM to grow 3D-AFM probes, which can be used in the critical dimension semiconductor metrology. Moreover, we studied the ion-material interactions, needed to enable the fabrication of functional graphene nanoribbons. Similarly, we used the superior electronic properties of graphene to make ballistic Josephson junctions and studied the current-phase relation (CPR) of these junctions.
The core of this thesis is focused on the fabrication and electronic characterization of He+ beam modified graphene, He+ beam etched graphene nanoribbons, and graphene-based Josephson junctions (JJs). The graphene devices were prepared by a new polymer-free transfer ""van der Waals pick-up"" technique. The fabricated devices comprise graphene encapsulated in hexagonal boron nitride (BN) and contacted along the edge by either a normalmetal (Cr/Au) or by a superconductor. The encapsulation in BN keeps the graphene clean and the edge contacting technique provides transparent interfaces. The thesis is divided into two main topics. In particular, the first three studies are dedicated to the research based on the helium ion microscope, and the next three are dedicated to the research based on boron nitride encapsulated graphene Josephson junctions.","helium ion microscopy; graphene; beam-induced deposition; ion-induced defects; graphene nanoribbons; Josephson junctions; current-phase relation; superconducting quantum interference devices (SQUIDs)","en","doctoral thesis","","978-90-8593-295-6","","","","","","","","","QN/Kavli Nanolab Delft","","",""
"uuid:66553334-94e2-4b82-8a94-8286cc72cf09","http://resolver.tudelft.nl/uuid:66553334-94e2-4b82-8a94-8286cc72cf09","Making Better Batteries: Following Electrochemistry at the Nano Scale with Electron Microscopy","Basak, S. (TU Delft QN/Zandbergen Lab)","Zandbergen, H.W. (promotor); Delft University of Technology (degree granting institution)","2017","With the focus in automobile industry to switch from petroleum-based vehicles to all electric vehicles, the increasing demand on harvesting energy from renewable sources for a safer and greener future and the ever-increasing demand of the portable electronics systems, the need for better batteries is eminent. The ultimate aim of battery research is to develop a low cost, light and small battery that can deliver high-capacity and/or high power. Lithium and sodium batteries are the frontrunners in achieving this ultimate battery. A macro battery is composed of thousands of millions of nanoparticles. Thus, to prepare a better battery we must determine the respective effects of electrode nanoparticle size, shape, structure, grain–grain boundary, defects and doping on the battery performance. To do so electrode nanoparticles need to be probed at the nano-scale to find out the correlation between their morphology, structure and chemical properties and their evolution due to the battery charging-discharging with battery performance. In this thesis we have utilized the unique capability of electron microscope to resolve the microstructural and chemical information at the (sub)nanometer scale to probe the electrode nanoparticles for making better batteries.","Li-ion battery; Li-O2 battery; electrochemistry; transmission electron microscopy; In-situ; MEMS","en","doctoral thesis","","978-90-8593-293-2","","","","Casimir PhD series, Delft-Leiden 2017-09","","2019-10-02","","","QN/Zandbergen Lab","","",""
"uuid:23580f57-9ed1-4fa3-95ad-b8fe7cc8ba05","http://resolver.tudelft.nl/uuid:23580f57-9ed1-4fa3-95ad-b8fe7cc8ba05","Time-lapse microscopy study of noise in development","Gritti, N. (TU Delft BN/Sander Tans Lab)","Tans, S.J. (promotor); van Zon, J.S. (copromotor); Delft University of Technology (degree granting institution)","2017","","developmental biology; systems biology; microfabrication; fluorescence microscopy; timelapse microscopy","en","doctoral thesis","","978-94-92323-13-2","","","","","","2019-04-01","","","BN/Sander Tans Lab","","",""
"uuid:0df3296c-9a60-4243-b677-30507c5b38ea","http://resolver.tudelft.nl/uuid:0df3296c-9a60-4243-b677-30507c5b38ea","SPAD imagers for super resolution localization microscopy enable analysis of fast fluorophore blinking","Antolović, I.M. (TU Delft (OLD)Applied Quantum Architectures); Burri, S. (Swiss Federal Institute of Technology); Bruschini, Claudio (Swiss Federal Institute of Technology); Hoebe, Ron A. (Universiteit van Amsterdam); Charbon-Iwasaki-Charbon, E. (TU Delft (OLD)Applied Quantum Architectures; TU Delft OLD QCD/Charbon Lab; Swiss Federal Institute of Technology)","","2017","sCMOS imagers are currently utilized (replacing EMCCD imagers) to increase the acquisition speed in super resolution localization microscopy. Single-photon avalanche diode (SPAD) imagers feature frame rates per bit depth comparable to or higher than sCMOS imagers, while generating microsecond 1-bit-frames without readout noise, thus paving the way to in-depth time-resolved image analysis. High timing resolution can also be exploited to explore fluorescent dye blinking and other photophysical properties, which can be used for dye optimization. We present the methodology for the blinking analysis of fluorescent dyes on experimental data. Furthermore, the recent use of microlenses has enabled a substantial increase of SPAD imager overall sensitivity (12-fold in our case), reaching satisfactory values for sensitivity-critical applications. This has allowed us to record the first super resolution localization microscopy results obtained with a SPAD imager, with a localization uncertainty of 20 nm and a resolution of 80 nm.","Electrical and electronic engineering; Imaging and sensing; Super-resolution microscopy","en","journal article","","","","","","","","","","","(OLD)Applied Quantum Architectures","","",""
"uuid:b0bbecda-c6e6-45e4-925b-d2b3bcd23f5a","http://resolver.tudelft.nl/uuid:b0bbecda-c6e6-45e4-925b-d2b3bcd23f5a","Automated sub-5 nm image registration in integrated correlative fluorescence and electron microscopy using cathodoluminescence pointers","Haring, M.T. (TU Delft ImPhys/Charged Particle Optics); Liv Hamarat, N. (TU Delft ImPhys/Charged Particle Optics); Zonnevylle, A.C. (TU Delft ImPhys/Charged Particle Optics); Narvaez Gonzalez, A.C. (TU Delft ImPhys/Charged Particle Optics); Voortman, L.M. (Delmic); Kruit, P. (TU Delft ImPhys/Charged Particle Optics); Hoogenboom, J.P. (TU Delft ImPhys/Charged Particle Optics)","","2017","In the biological sciences, data from fluorescence and electron microscopy is correlated to allow fluorescence biomolecule identification within the cellular ultrastructure and/or ultrastructural analysis following live-cell imaging. High-accuracy (sub-100 nm) image overlay requires the addition of fiducial markers, which makes overlay accuracy dependent on the number of fiducials present in the region of interest. Here, we report an automated method for light-electron image overlay at high accuracy, i.e. below 5 nm. Our method relies on direct visualization of the electron beam position in the fluorescence detection channel using cathodoluminescence pointers. We show that image overlay using cathodoluminescence pointers corrects for image distortions, is independent of user interpretation, and does not require fiducials, allowing image correlation with molecular precision anywhere on a sample.","Fluorescence imaging; Microscopy; OA-Fund TU Delft","en","journal article","","","","","","","","","","","ImPhys/Charged Particle Optics","","",""
"uuid:c11002b2-f033-44ac-bba9-fb3d32283d51","http://resolver.tudelft.nl/uuid:c11002b2-f033-44ac-bba9-fb3d32283d51","In-situ Transmission Electron Microscopy Studies on Graphene","Vicarelli, L. (TU Delft QN/Zandbergen Lab)","Zandbergen, H.W. (promotor); Delft University of Technology (degree granting institution)","2017","","In-situ; transmission electron microscopy; graphene; nanoribbons; direct sculpting; self-healing; MEMS heater; electron holography","en","doctoral thesis","","978-90-8593-286-4","","","","Casimir PhD Series, Delft-Leiden 2017-1 This research was financially supported by ERC: project 267922, ""NemlnTEM""","","","","","QN/Zandbergen Lab","","",""
"uuid:1b0732a2-f1ad-40c1-93c6-19d21320b4b2","http://resolver.tudelft.nl/uuid:1b0732a2-f1ad-40c1-93c6-19d21320b4b2","On the effect of flat energy directors thickness on heat generation during ultrasonic welding of thermoplastic composites","Palardy, G. (TU Delft Structural Integrity & Composites); Villegas, I.F. (TU Delft Structural Integrity & Composites)","","2017","This paper presents a detailed experimental assessment of the effect of the thickness of flat energy directors (ED) on heat generation at the interface during ultrasonic welding. Power and displacement data showed clear differences caused by the change of thickness, related to heat concentration at the weld line during the process. The extent of the heat-affected zone was assessed by welding specimens without consolidation at different stages of the process. It was confirmed through optical microscopy that heat is generated at the interface and transferred to the bulk adherends earlier in the process for thinner ED. The analysis of their fracture surface under optimum welding conditions revealed signs of matrix degradation, leading to less consistent quality, likely due to faster heat generation rate in both the ED and the substrates, and incidentally, higher temperatures surrounding the energy director.","energy directors; mechanical properties; optical microscopy; plastic ultrasonic welding; Thermoplastic composites","en","journal article","","","","","","","","","","","Structural Integrity & Composites","","",""
"uuid:9959c6cd-fc78-4754-92fe-dcfdffaa270b","http://resolver.tudelft.nl/uuid:9959c6cd-fc78-4754-92fe-dcfdffaa270b","Dealing with uncertainty in material characterization of concrete by education","de Rooij, Mario R. (TNO); França de Mendonça Filho, F. (TNO)","Çopuroğlu, Oğuzhan (editor)","2017","In this article a trip is taken to characterize concrete through its hardened cement paste based on its microstructure. Optical microscopy is coupled to advanced image analysis, as well as statistical data analysis to characterize heterogeneous concrete material. The article takes a closer look at the determination of the w/c ratio through the analysis of capillary porosity by using image analysis. It is shown that accurate analysis does not shown identical results in the w/c ratio even for standard reference samples with known w/c ratio. In the article it is shown that this is not because the techniques we use to determine the w/c ratios are not accurate enough, but much more because of the local variation in the microstructure. The attention shifts with this article from the analysis technique to what we actually observe in the microstructure. Hopefully this will change our thinking about the distribution in local microstructure variation and will help to set off more research towards the capturing and modelling of these local microstructure variation into consequences at a more structural level.","water-cement ratio; microscopy; thin section; image analysis; microstructure distribution","en","conference paper","Delft University of Technology","","","","","","","","","","","","",""
"uuid:8bb206bb-9b79-43b7-9465-e54921986c51","http://resolver.tudelft.nl/uuid:8bb206bb-9b79-43b7-9465-e54921986c51","Atom-counting in High Resolution Electron Microscopy: TEM or STEM – That's the question","Gonnissen, J. (Universiteit Antwerpen); De Backer, A. (Universiteit Antwerpen); den Dekker, A.J. (TU Delft Team Michel Verhaegen; Universiteit Antwerpen); Sijbers, J. (Universiteit Antwerpen); Van Aert, S. (Universiteit Antwerpen)","","2017","In this work, a recently developed quantitative approach based on the principles of detection theory is used in order to determine the possibilities and limitations of High Resolution Scanning Transmission Electron Microscopy (HR STEM) and HR TEM for atom-counting. So far, HR STEM has been shown to be an appropriate imaging mode to count the number of atoms in a projected atomic column. Recently, it has been demonstrated that HR TEM, when using negative spherical aberration imaging, is suitable for atom-counting as well. The capabilities of both imaging techniques are investigated and compared using the probability of error as a criterion. It is shown that for the same incoming electron dose, HR STEM outperforms HR TEM under common practice standards, i.e. when the decision is based on the probability function of the peak intensities in HR TEM and of the scattering cross-sections in HR STEM. If the atom-counting decision is based on the joint probability function of the image pixel values, the dependence of all image pixel intensities as a function of thickness should be known accurately. Under this assumption, the probability of error may decrease significantly for atom-counting in HR TEM and may, in theory, become lower as compared to HR STEM under the predicted optimal experimental settings. However, the commonly used standard for atom-counting in HR STEM leads to a high performance and has been shown to work in practice.","Data processing/image processing; Electron microscope design and characterisation; High-resolution (scanning) transmission electron microscopy (HR (S)TEM)","en","journal article","","","","","","Accepted Author Manuscript","","2019-03-06","","","Team Michel Verhaegen","","",""
"uuid:b3d6a711-2a43-49a9-8de3-0fd800c4f266","http://resolver.tudelft.nl/uuid:b3d6a711-2a43-49a9-8de3-0fd800c4f266","Measuring plasticity with orientation contrast microscopy in aluminium 6061-T4","Ghodrat, S. (TU Delft (OLD) MSE-1); Riemslag, A.C. (TU Delft (OLD) MSE-5); Kestens, L.A.I. (TU Delft (OLD) MSE-1; Universiteit Gent)","","2017","Orientation contrast microscopy (i.e., electron backscattered diffraction, EBSD) was employed to monitor the plastic strain in loaded tensile samples of aluminium alloy Al6061 in T4 condition. The kernel average misorientation (KAM) is known to be an appropriate parameter in orientation contrast microscopy which has the potential to characterise the plastic strain by monitoring the local misorientations. This technique was applied here to gauge the extent of the plastic zone around a fatigue crack. To establish the magnitude of strain (which can be identified by the KAM parameter), a series of tensile samples were strained in the range of 1% to 25%. KAM maps were compared, and the average misorientations were related to the tensile strain values. The KAM distribution functions for all the strained samples were derived from a large scanned area. In addition, Vickers microhardness tests were conducted for these series of samples. This allowed the comparison of the mesoscopic plastic strain measured by Vickers microhardness with the micro plastic strain locally obtained by KAM. Noise was observed in the average KAM values up to a plastic strain of 1.5%. For the plastic strain exceeding 1.5%, noise no longer dominates the KAM map, and a positive—though not linear—correspondence between plastic strain and KAM was observed. The observed plastic zone at the tip of the fatigue crack by micro-Vickers hardness measurements was about an order of magnitude higher than the plastic zone observed on the KAM maps. In view of the calibration of KAM values on the tensile samples, it could be concluded that in the larger area of the plastic zone, the strain did not exceed the critical value of 1.5%","orientation contrast microscopy; electron backscattered diffraction (EBSD); kernel average misorientation (KAM); aluminium; plastic zone; OA-Fund TU Delft","en","journal article","","","","","","","","","","","(OLD) MSE-1","","",""
"uuid:82be4414-055e-4a24-bd02-fd996f06e4c5","http://resolver.tudelft.nl/uuid:82be4414-055e-4a24-bd02-fd996f06e4c5","Improved corrosion resistance of aluminum brazing sheet by a post-brazing heat treatment","Norouzi Afshar, F. (Material Innovation Institute (M2i); Kavli institute of nanoscience Delft); Tichelaar, F.D. (TU Delft QN/Zandbergen Lab; Kavli institute of nanoscience Delft); Glenn, A. M. (CSIRO, Mineral Resources); Taheri, P. (TU Delft (OLD) MSE-6); Sababi, M. (TU Delft (OLD) MSE-6); Terryn, H.A. (TU Delft (OLD) MSE-1; Vrije Universiteit Brussel); Mol, J.M.C. (TU Delft (OLD) MSE-6)","","2017","This work studies the influence of the microstructure on the corrosion mechanism and susceptibility of as-brazed aluminum sheet. Various microstructures are obtained using postbrazing heat treatments developed to enhance the corrosion resistance of an AA4xxx/AA3xxx brazing sheet. The heat treatment results in a decrease of the matrix Si content within the heat affected zone and an increase of the Si fraction in the α-Al(Fe-Mn)Si phase. Additionally, the effect of the heat treatment on the local electrochemical activity and corrosion properties are studied. The results indicate that the corrosion susceptibility of the as-brazed structure is diminished resulting from of an amendment of the local electrochemical behavior of the outer clad surface after the post-brazing heat treatment. Moreover, the potential difference between the clad material and core is increased, resulting in an improved sacrificial cathodic protection of the core by the re-solidified clad layer.","Aluminum alloy; Heat treatment; Kelvin probe; Microstructure; Transmission electron microscopy","en","journal article","","","","","","Accepted Author Manuscript","","2017-03-31","","","QN/Zandbergen Lab","","",""
"uuid:e42a5e3e-d554-43fb-9047-d322f9f12156","http://resolver.tudelft.nl/uuid:e42a5e3e-d554-43fb-9047-d322f9f12156","Characterization of Contrast Agent Microbubbles for Ultrasound Imaging and Therapy Research","Mulvana, Helen (University of Glasgow); Browning, Richard J. (University of Oxford); Luan, Ying (King’s College London); de Jong, N. (TU Delft ImPhys/Acoustical Wavefield Imaging; Erasmus MC); Tang, Meng-Xing (University of Oxford; Imperial College London); Eckersley, Robert J. (King’s College London); Stride, Eleanor (University of Oxford)","","2017","","Acoustic microscopy and imaging; medical imaging; therapeutics; ultrasound contrast agents (UCAs)","en","journal article","","","","","","","","","","","ImPhys/Acoustical Wavefield Imaging","","",""
"uuid:adf56cdb-84be-4f38-b8fc-fad3e04acad9","http://resolver.tudelft.nl/uuid:adf56cdb-84be-4f38-b8fc-fad3e04acad9","Nonlinear dynamics for estimating the tip radius in atomic force microscopy","Rull Trinidad, E. (TU Delft Micro and Nano Engineering); Gribnau, T.W.; Belardinelli, P. (TU Delft Dynamics of Micro and Nano Systems); Staufer, U. (TU Delft Micro and Nano Engineering); Alijani, F. (TU Delft Dynamics of Micro and Nano Systems)","","2017","The accuracy of measurements in Amplitude Modulation Atomic Force Microscopy (AFM) is directly related to the geometry of the tip. The AFM tip is characterized by its radius of curvature, which could suffer from alterations due to repetitive mechanical contact with the surface. An estimation of the tip change would allow the user to assess the quality during imaging. In this work, we introduce a method for tip radius evaluation based on the nonlinear dynamic response of the AFM cantilever. A nonlinear fitting procedure is used to match several curves with softening nonlinearity in the noncontact regime. By performing measurements in this regime, we are able to maximize the influence of the tip radius on the AFM probe response, and this can be exploited to estimate with good accuracy the AFM tip radius.","Atomic force microscopy; Nonlinear dynamics; Intermolecular forces; Van der Waals forces; Equipment and apparatus","en","journal article","","","","","","","","2018-09-21","","","Micro and Nano Engineering","","",""
"uuid:99097a4e-529e-466c-ab6d-6ed5c06a85a1","http://resolver.tudelft.nl/uuid:99097a4e-529e-466c-ab6d-6ed5c06a85a1","Deep sub-wavelength metrology for advanced defect classification","van der Walle, P (TNO); Kramer, E. (TNO); van der Donck, J.C.J. (TNO); Mulckhuyse, W (TNO); Nijsten, L. (TNO); Bernal Arango, F.A. (TU Delft QN/Kuipers Lab; TNO); de Jong, A. (TNO); van Zeijl, E. (TNO); Spruit, H. E.T. (TNO); van den Berg, J.H. (TNO); Nanda, G. (TU Delft QN/Kavli Nanolab Delft); van Langen-Suurling, A.K. (TU Delft QN/Kavli Nanolab Delft; Kavli institute of nanoscience Delft); Alkemade, P.F.A. (TU Delft QN/Kavli Nanolab Delft; Kavli institute of nanoscience Delft); Pereira, S.F. (TU Delft ImPhys/Optics); Maas, D.J. (TNO)","Lehmann, Peter (editor); Osten, Wolfgang (editor); Albertazzi Gonçalves, Armando (editor)","2017","Particle defects are important contributors to yield loss in semi-conductor manufacturing. Particles need to be detected and characterized in order to determine and eliminate their root cause. We have conceived a process flow for advanced defect classification (ADC) that distinguishes three consecutive steps; detection, review and classification. For defect detection, TNO has developed the Rapid Nano (RN3) particle scanner, which illuminates the sample from nine azimuth angles. The RN3 is capable of detecting 42 nm Latex Sphere Equivalent (LSE) particles on XXX-flat Silicon wafers. For each sample, the lower detection limit (LDL) can be verified by an analysis of the speckle signal, which originates from the surface roughness of the substrate. In detection-mode (RN3.1), the signal from all illumination angles is added. In review-mode (RN3.9), the signals from all nine arms are recorded individually and analyzed in order to retrieve additional information on the shape and size of deep sub-wavelength defects. This paper presents experimental and modelling results on the extraction of shape information from the RN3.9 multi-azimuth signal such as aspect ratio, skewness, and orientation of test defects. Both modeling and experimental work confirm that the RN3.9 signal contains detailed defect shape information. After review by RN3.9, defects are coarsely classified, yielding a purified Defect-of-Interest (DoI) list for further analysis on slower metrology tools, such as SEM, AFM or HIM, that provide more detailed review data and further classification. Purifying the DoI list via optical metrology with RN3.9 will make inspection time on slower review tools more efficient.","advanced defect classification; dark field microscopy; defect detection; defect review; latex sphere equivalent; Particle contamination; semiconductor; speckle","en","conference paper","SPIE","","","","","","","","","","QN/Kuipers Lab","","",""
"uuid:ff69215c-eaf4-40dc-8083-0a27f48b45b3","http://resolver.tudelft.nl/uuid:ff69215c-eaf4-40dc-8083-0a27f48b45b3","Mimicking an Atomically Thin ""Vacuum Spacer"" to Measure the Hamaker Constant between Graphene Oxide and Silica","Chu, L. (TU Delft OLD ChemE/Organic Materials and Interfaces); Korobko, A.V. (TU Delft OLD ChemE/Organic Materials and Interfaces); Cao, A. (TU Delft OLD ChemE/Organic Materials and Interfaces); Sachdeva, S. (TU Delft OLD ChemE/Organic Materials and Interfaces); Liu, Z. (TU Delft OLD ChemE/Organic Materials and Interfaces); de Smet, L.C.P.M. (TU Delft OLD ChemE/Organic Materials and Interfaces); Sudhölter, Ernst J. R. (TU Delft OLD ChemE/Organic Materials and Interfaces); Picken, S.J. (TU Delft ChemE/Advanced Soft Matter); Besseling, N.A.M. (TU Delft OLD ChemE/Organic Materials and Interfaces)","","2017","The Hamaker constant between graphene oxide and silica, which quantifies the strength of van der Waals forces is determined, by mimicking a “vacuum spacer” in an atomic force microscopyforce study. It is demonstrated that, a 2D spacer is expected to yield an accurately defined separation, owing to the high atom density and strength in planar direction compared with other dimensional spacers.
3+-doped Y3Al5O12 (YAG) phosphors, they have been coated with a carbon layer by chemical vapor deposition and subsequently heat-treated at high temperature under N2 atmosphere. Luminescence of the carbon coated YAG:Ce3+ phosphors has been investigated as a function of heat-treatment at 1500 and 1650 °C. The 540 nm emission intensity of C@YAG:Ce3+ is the highest when heated at 1650 °C, while a blue emission at 400-420 nm is observed when heated at 1500 °C but not at 1650 °C. It is verified by X-ray diffraction (XRD) that the intriguing luminescence changes are induced by the formation of new phases in C@YAG:Ce3+-1500 °C, which disappear in C@YAG:Ce3+-1650 °C. In order to understand the mechanisms responsible for the enhancement of YAG:Ce3+ emission and the presence of the blue emission observed for C@YAG:Ce3+ -1500 °C, the samples have been investigated by a combination of several electron microscopy techniques, such as HRTEM, SEM-CL, and SEM-EDS. This local and cross-sectional analysis clearly reveals a gradual transformation of phase and morphology in heated C@YAG:Ce3+ phosphors, which is related to a reaction between C and YAG:Ce3+ in N2 atmosphere. Through reaction between the carbon layer and YAG host materials, the emission colour of the phosphors can be modified from yellow, white, and then back to yellow under UV excitation as a function of heat-treatment in N2 atmosphere.","Carbon coating; Cathodoluminescence; Electron microscopy; Heat treatment; Luminescence; Phosphors; YAG:Ce","en","journal article","","","","","","","","","","","ChemE/Product and Process Engineering","","",""
"uuid:8290cac7-9662-4235-9be9-397dc5667156","http://resolver.tudelft.nl/uuid:8290cac7-9662-4235-9be9-397dc5667156","Determination of early warning signs for photocatalytic degradation of titanium white oil paints by means of surface analysis","van Driel, B.A. (TU Delft (OLD) MSE-4; Rijksmuseum; Cultural Heritage Agency of the Netherlands); Wezendonk, T.A. (TU Delft ChemE/Catalysis Engineering); van den Berg, K. J. (Cultural Heritage Agency of the Netherlands); Kooyman, P.J. (TU Delft ChemE/Catalysis Engineering); Gascon, Jorge (TU Delft ChemE/Catalysis Engineering); Dik, J. (TU Delft (OLD) MSE-4)","","2017","Titanium white (TiO2) has been widely used as a pigment in the 20th century. However, its most photocatalytic form (anatase) can cause severe degradation of the oil paint in which it is contained. UV light initiates TiO2-photocatalyzed processes in the paint film, degrading the oil binder into volatile components resulting in chalking of the paint. This will eventually lead to severe changes in the appearance of a painting. To date, limited examples of degraded works of art containing titanium white are known due to the relatively short existence of the paintings in question and the slow progress of the degradation process. However, UV light will inevitably cause degradation of paint in works of art containing photocatalytic titanium white.In this work, a method to detect early warning signs of photocatalytic degradation of unvarnished oil paint is proposed, using atomic force microscopy (AFM) and X-ray photoelectron spectroscopy (XPS). Consequently, a four-stage degradation model was developed through in-depth study of TiO2-containing paint films in various stages of degradation. The XPS surface analysis proved very valuable for detecting early warning signs of paint degradation, whereas the AFM results provide additional confirmation and are in good agreement with bulk gloss reduction.","Atomic force microscopy; Degradation; Oil paint; Photocatalysis; Titanium dioxide white; X-ray photoelectron spectroscopy","en","journal article","","","","","","Accepted Author Manuscript","","2019-02-01","","","(OLD) MSE-4","","",""
"uuid:dddf46b1-eca8-4a0e-8f07-c7c1fcedc03e","http://resolver.tudelft.nl/uuid:dddf46b1-eca8-4a0e-8f07-c7c1fcedc03e","Non-iterative phase retrieval by phase modulation through a single parameter","Konijnenberg, A.P. (TU Delft ImPhys/Optics); Coene, W.M.J.M. (TU Delft ImPhys/Optics); Urbach, Paul (TU Delft ImPhys/Optics)","","2016","We report on a novel non-iterative phase retrieval method with which the complex-valued transmission function of an object can be retrieved with a non-iterative computation, with a limited number of intensity measurements. The measurements are taken in either real space or Fourier space, and for each measurement the phase in its dual space is modulated according to a single optical parameter. The requirement found for the phase modulation function is a general one, which therefore allows for plenty of customization in this method. It is shown that quantitative Zernike phase contrast imaging is one special case of this general method. With simulations we investigate the sampling requirements for a microscopy setup and for a Coherent Diffraction Imaging (CDI) setup.","Phase retrieval; Coherent diffractive imaging; Phase microscopy; Computational imaging","en","journal article","","","","","","","","","","","ImPhys/Optics","","",""
"uuid:a11c6c6a-9fdb-4b7d-8c64-48ddaebc9d57","http://resolver.tudelft.nl/uuid:a11c6c6a-9fdb-4b7d-8c64-48ddaebc9d57","Transmission electron imaging in the Delft multibeam scanning electron microscope 1","Ren, Y. (TU Delft ImPhys/Charged Particle Optics); Kruit, P. (TU Delft ImPhys/Charged Particle Optics)","","2016","Our group is developing a multibeam scanning electron microscope (SEM) with 196 beams in order to increase the throughput of SEM. Three imaging systems using, respectively, transmission electron detection, secondary electron detection, and backscatter electron detection are designed in order to make it as versatile as a single beam SEM. This paper focuses on the realization of the transmission electron imaging system, which is motivated by biologists' interest in the particular contrast this can give. A thin sample is placed on fluorescent material which converts the transmitted electrons to photons. Then, the 196 photon beams are focused with a large magnification onto a camera via a high quality optical microscope integrated inside the vacuum chamber. Intensities of the transmission beams are retrieved from the camera images and constructed to form each beam's image using an off line image processing program. Experimental results prove the working principle of transmission electron imaging and show that details of 10-20 nm in images of biological specimen are visible. Problems encountered in the results are discussed and plans for future improvements are suggested.","Cameras; Scanning electron microscopy; Image detection systems; Electron beams; Image processing","en","journal article","","","","","","","","2017-10-27","","","ImPhys/Charged Particle Optics","","",""
"uuid:7d87bc5b-cf6d-4d73-a870-7da2c4c0d7de","http://resolver.tudelft.nl/uuid:7d87bc5b-cf6d-4d73-a870-7da2c4c0d7de","Radial polarization and beam shaping to sharpen the electric field in focus","Ushakova, K. (TU Delft ImPhys/Optics)","Urbach, Paul (promotor); Pereira, S.F. (copromotor); Delft University of Technology (degree granting institution)","2016","","Amplitude; phase; Polarization modulation; Atomic force microscopy; anisotropic medium; diffractive optics; direct laser writing; Finite Element Method; focused radially polarized light; Lagrange multiplyer rule; Lithography; metallic grating; near UV light","en","doctoral thesis","","978-94-028-0364-8","","","","","","","","","ImPhys/Optics","","",""
"uuid:30fe9aa3-1250-4470-99b1-6d3990d81bb8","http://resolver.tudelft.nl/uuid:30fe9aa3-1250-4470-99b1-6d3990d81bb8","Towards high resolution operando electron microscopy of a working catalyst","Puspitasari, I. (TU Delft ChemE/Catalysis Engineering)","Kapteijn, F. (promotor); Kooyman, P.J. (promotor); Delft University of Technology (degree granting institution)","2016","The objectives of this PhD project are to address the challenges of in-situ TEM and introduce a new generation of in-situ TEM equipment. In Chapter 2 the in-situ TEM facilities are introduced, focusing on the nanoreactor that has gone through quite some development stages during this project. Several types of in-situ TEM nanoreactors were fabricated using Microelectromechanical Systems (MEMS) technology, which enables miniaturisation of the complete catalytic reactor (reactor column, heating system and gas system). The different generations of nanoreactors are the glued nanoreactor (GNR), the wafer bonded","transmission electron microscopy; in-situ and operando experiments; catalysis","en","doctoral thesis","","978-94-028-0322-8","","","","","","","","","ChemE/Catalysis Engineering","","",""
"uuid:9a7eddec-5e49-4268-bbcd-5f58977b3f11","http://resolver.tudelft.nl/uuid:9a7eddec-5e49-4268-bbcd-5f58977b3f11","In vivo investigations of E. coli chromosomal replication using single-molecule imaging","Tiruvadi Krishnan, S. (TU Delft BN/Nynke Dekker Lab)","Dekker, N.H. (promotor); Delft University of Technology (degree granting institution)","2016","All living organisms pass on their genetic information to their offspring in the form of DNA or RNA molecules by duplicating them across generations. In the bacteria, their genes are packed in long chains of DNA molecules or chromosomes. One of the widely studied model organisms, Escherichia coli, replicates its circular chromosome in two directions starting from an origin region of chromosome with independent replication complexes or replisomes simultaneously synthesizing the daughter chromosomes. DNA replication is an important process of the E. coli life cycle because of occurrence of small errors in its mechanism will affect the cell’s normal state larger. Much of our current knowledge about the dynamics of replisome complex has been obtained from in vitro experiments. However, the natural environment of the cell is considerably different from that of in vitro solutions.","DNA replication; E. coli; chromosomal engineering; single-molecule; epi-fluorescence microscopy; microfluidics; photo-activable fluorescence microscopy; in vivo stoichiometry","en","doctoral thesis","","978-90-8593-267-3","","","","Casimir Ph.D. Series, 2016-23","","","","","BN/Nynke Dekker Lab","","",""
"uuid:58cb48c6-ab85-4a0c-ac56-dedfd9b940c7","http://resolver.tudelft.nl/uuid:58cb48c6-ab85-4a0c-ac56-dedfd9b940c7","Photon-Counting Arrays for Time-Resolved Imaging","Antolović, I.M. (TU Delft (OLD)Applied Quantum Architectures); Burri, S; Hoebe, RA; Maruyama, Yuki (Jet Propulsion Lab, Pasadena, CA); Bruschini, C; Charbon-Iwasaki-Charbon, E. (TU Delft (OLD)Applied Quantum Architectures; Swiss Federal Institute of Technology)","","2016","The paper presents a camera comprising 512 × 128 pixels capable of single-photon detection and gating with a maximum frame rate of 156 kfps. The photon capture is performed through a gated single-photon avalanche diode that generates a digital pulse upon photon detection and through a digital one-bit counter. Gray levels are obtained through multiple counting and accumulation, while time-resolved imaging is achieved through a 4-ns gating window controlled with subnanosecond accuracy by a field-programmable gate array. The sensor, which is equipped with microlenses to enhance its effective fill factor, was electro-optically characterized in terms of sensitivity and uniformity. Several examples of capture of fast events are shown to demonstrate the suitability of the approach.","single-photon avalanche diode; SPAD; fluorescence; fluorescence lifetime imaging microscopy; FLIM; fluorescence correlation spectroscopy; FCS; OA-Fund TU Delft","en","journal article","","","","","","","","","","","(OLD)Applied Quantum Architectures","","",""
"uuid:359eb283-4e67-4daf-8907-3057bdb70067","http://resolver.tudelft.nl/uuid:359eb283-4e67-4daf-8907-3057bdb70067","Microstructural Changes in Bitumen at the onset of Damage-healing","Nahar, S.N. (TU Delft Pavement Engineering); Schmets, A.J.M. (TU Delft Pavement Engineering); Kasbergen, C. (TU Delft Pavement Engineering); Schitter, G (Technische Universität Wien); Scarpas, Athanasios (TU Delft Pavement Engineering)","","2016","Self-healing of bitumen is a property that positively contributes to the sustainability, maintenance requirements and cost effectiveness of asphalt pavements. Ideally one would like to design an asphalt mix with a well-defined healing potential. Although substantial research efforts have been dedicated to the healing mechanism in bitumen, complete understanding of the fundamental mechanisms that govern the property of healing is still lacking. Here we investigate the manifestation of damage and healing of bitumen at the microstructural level. Three distinct bitumen grades are subjected to mechanical loading conditions, and the damage is investigated at the microstructural level by atomic force microscopy combined with finite element simulations. One of the bituminous phases appears to display visible signs of cracks, which are found to (partly) disappear at moderate temperature changes. Simulations of mechanical loading of experimentally derived finite element meshes are corresponding well with these experimental observations. Moreover, the simulations provide a measure of mechanical response, i.e. stiffness, of the material as a function of strain level. From this it is found that the microstructural cracks lead to diminished structural response properties, whereas after healing these properties are partly recovered. The experimental observations, together with the simulations, support earlier ideas that relate the phenomenon of self-healing in bitumen to their rheological property of thixotropy. Moreover, the work presented hints that the property of self-healing is governed by processes at the microstructural length scale.","Bitumen; Atomic Force Microscopy (AFM); micro-crack; microstructure; thixotropy","en","conference paper","","","","","","","","","","","Pavement Engineering","","",""
"uuid:8f3046ac-2fa3-494e-b6fb-4c6b78ac1783","http://resolver.tudelft.nl/uuid:8f3046ac-2fa3-494e-b6fb-4c6b78ac1783","Challenging the stress: A single-cell study of the Dps response","De Martino, M. (TU Delft BN/Anne Meyer Lab)","Tans, S.J. (promotor); Meyer, A.S. (copromotor); Delft University of Technology (degree granting institution)","2016","","Bacterial stress response; Dps response; single cell analysis; time-lapse fluorescence microscopy; agarose pad; microfluidics","en","doctoral thesis","","978.90.8593.255.0","","","","","","","","","BN/Anne Meyer Lab","","",""
"uuid:d886d683-c4dc-4e56-97ce-ae4964909175","http://resolver.tudelft.nl/uuid:d886d683-c4dc-4e56-97ce-ae4964909175","Spatial conductivity mapping of unprotected and capped black phosphorus using microwave microscopy","de Visser, P.J. (TU Delft QN/Klapwijk Lab; University of Geneva); Chua, Rebekah (National University of Singapore; Student TU Delft); Island, J.O. (TU Delft QN/van der Zant Lab); Finkel, M. (TU Delft QN/Klapwijk Lab; Moscow State Pedagogical University); Katan, A.J. (TU Delft QN/Afdelingsbureau); Thierschmann, R. (TU Delft QN/Klapwijk Lab); van der Zant, H.S.J. (TU Delft QN/van der Zant Lab); Klapwijk, T.M. (TU Delft QN/Klapwijk Lab; Moscow State Pedagogical University)","","2016","Thin layers of black phosphorus present an ideal combination of a 2Dmaterial with a tunable direct bandgap and high carrier mobility. However the material suffers from degradation in ambient conditions due to an oxidation reaction which involves water, oxygen and light. Wehave measured the spatial profile of the conductivity on flakes of black phosphorus as a function of time using scanning microwave impedance microscopy. Amicrowave excitation (3 GHz) allows to image a conducting sample even when covered with a dielectric layer. Weobserve that on bare black phosphorus, the conductivity changes drastically over the whole surface within a day. Wedemonstrate that the degradation process is slowed down considerably by covering the material with a 10 nmlayer of hafnium oxide. It is stable for more than a week, opening up a route towards stable black phosphorus devices in which the high dielectric constant of hafnium oxide can be exploited. Covering black phosphorus with a 15 nmboron nitride flake changes the degradation process qualitatively, it is dominated by the edges of the flake indicating a diffusive process and happens on the scale of days.","Black phosphorus; Boron nitride; Conductivity; Degradation; Hafnium oxide; Microwave impedance microscopy","en","journal article","","","","","","","","","","","QN/Klapwijk Lab","","",""
"uuid:1419ea05-6acf-4bbb-a260-f4bc5a0681ed","http://resolver.tudelft.nl/uuid:1419ea05-6acf-4bbb-a260-f4bc5a0681ed","Revealing the dark side of Portlandite Clusters in cement paste by circular polarization microscopy","Copuroglu, O.","","2016","Plane and crossed polarization are the two standard light modes in polarized light microscopy that are widely used to characterize crystalline and amorphous phases in cement-based materials. However, the use of the crossed polarized light mode has been found to be restrictive for studying birefringent phases quantitatively due to the extinction phenomenon that arises depending on the crystal orientation. This paper introduces circular polarization microscopy as an alternative technique to overcome the extinction problem during the examination of cementitious materials’ microstructure with optical microscopy. In order to evaluate the feasibility of this technique, selected optical and micromorphological features of portlandite clusters were investigated in cement paste. Image analysis results showed that compared to the conventional crossed polarization technique, circular polarization offers significant advantages when portlandite quantification is of interest, and it stands out as a promising low-cost alternative to backscattered electron microscopy.","portlandite; circular polarization; image analysis; concrete microscopy; characterization; optical microscopy; OA-Fund TU Delft","en","journal article","MDPI","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:670c70ff-f9f0-4cdb-aa4d-b661e7117354","http://resolver.tudelft.nl/uuid:670c70ff-f9f0-4cdb-aa4d-b661e7117354","Phase-Separation Characteristics of Bitumen and their Relation to Damage-Healing","Nahar, S.N.","Scarpas, A. (promotor); Schitter, G. (promotor)","2016","During the service life of flexible asphalt pavements, asphalt concrete degrades due to traffic loading and environmental conditions like temperature, rain, oxidation, ultraviolet-radiation from the sun. All these environmental factors have adverse effects on the performance of bitumen, which is the binder of asphalt concrete. They are known to cause ageing and eventually lead to hardening of bitumen. As a result, ravelling (i.e. release of stones from asphalt concrete) and cracking are observed as main distress mechanisms in asphalt concrete. These distress phenomena reduce the life span of the asphalt pavement, necessitate frequent maintenance and eventually complete replacement of the asphalt. Innovative solutions with a focus on better binder properties can improve this situation. Bituminous materials with improved properties can make the rate of deterioration slower and may offer fast, efficient and cost effective repair methods. Self-healing is a desirable property in this respect, which can improve the service life as well as reduce the maintenance cost of the roads. Bitumen is self-healing by nature. Micro-cracks that occur in bitumen during service may heal at rest and the early stages of cracking are self-repairable. But the knowledge on the mechanism of damage, healing and also the fundamental properties of bitumen is inadequate. The aim of the thesis is to understand the phase-separation characteristics of bitumen at the microstructural level and their relation to damage and healing processes within the material. Atomic Force Microscopy (AFM) has been used to investigate the bitumen morphology, phase-separation and mechanical response properties at nano to micro meter length scale. From the AFM investigation, microstructure is found to be a unique fingerprint of the bitumen type. Typical bitumen microstructure possesses a two-phase morphology: the domains (i.e. bee-structures) and the matrix phase. Chemical composition of bitumen is the key parameter which influences the microstructure properties, while wax and asphaltene fractions are responsible for most of the structuring observed. The wax component has been found to induce the phase separation of bituminous materials. Temperature during construction of asphalt and its change during the service life influence the properties of bitumen to a great extent. Thus, the influence of environmental conditions like temperature, thermal history and humidity on bitumen microstructure have been investigated. From the temperature and thermal history study, hysteresis in microstructure properties of bitumens between heating and cooling cycles has been observed. The rate of cooling of the material influenced the microstructure properties. Besides, high humidity conditions can be detrimental to bitumen performance as it can introduce regions of heterogeneous properties within the material. The mechanical response properties of bitumen at the fundamental length scale have been investigated. The mechanical property maps of modulus and AFM probe-sample adhesion force of the individual phases of bitumen at the microstructural level are obtained using a special mode of AFM. The domains are found stiffer than the matrix phase, whereas the matrix phase has shown greater adhesion property. These individual phase properties have been used and a mechanics based approach has been followed to derive the composite modulus property of bitumen. With the change of temperature, changes in the mechanical properties of the individual phases and the subsequent composite response of the material are observed. Microstructural change at the onset of crack formation in bitumen has been probed during mechanical loading. After application of tensile load, micro-cracks or crazes tend to originate at the interface between the phases and localize in the domain phase- leading to a significant microstructural change. By allowing rest periods or moderate thermal changes, re-arrangement of the microstructures are observed; resulting in disappearance of cracks. The extent of blending between reclaimed binder and the fresh bitumen in the case of recycling of asphalt has been investigated. It is proposed that the degree of interaction between the binders depends on the temperature and the mixing time of the materials in the recycling process. During the process of ageing, bitumen is hardened and the adhesion property deteriorates. For service life extension of asphalt pavement, additives (i.e. rejuvenators) are used to improve the adhesion of the aged bitumen and to decrease the viscosity of the binder. This process of rejuvenation has been probed at the microstructural level. The addition of rejuvenators to the aged bitumen has shown property restoring performance from both the rheological data and microstructural properties of the binders. Understanding the micro-scale material properties can help to understand the long term macro-scale material response properties. The research presented in this thesis will guide to a better understanding of the material response in relation to both environmental and mechanical changes at microstructural level. The microscale assessment of bitumens is a step forward towards associating the observed structures with the material's mechanical response properties.","bitumen; healing; microstructure; phase-separation; Atomic Force Microscopy","en","doctoral thesis","","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:86d1dfa4-f4b5-496c-b822-5f6fa6631b46","http://resolver.tudelft.nl/uuid:86d1dfa4-f4b5-496c-b822-5f6fa6631b46","Subnanometer-accuracy optical distance ruler based on fluorescence quenching by transparent conductors","Moerland, R.J.; Hoogenboom, J.P.","","2016","Available data: Complex refractive index of Indium Tin Oxide, http://dx.doi.org/10.4121/uuid:59febf27-a532-4ac9-8ec0-29d4195b2c8c Transparent conductive oxides (TCOs), such as the well-known indium-tin oxide, find widespread use in modern (nano)technological applications because of their unique combination of negligible optical absorption and good electric conductivity. We, however, show that despite the near-zero imaginary part of the refractive index that is responsible for the material’s transparency, TCOs drastically quench optical emitters when the emitter is within 10 nm from the TCO. Our results reveal that the pure near-field nature of this dissipation makes for an exquisite short-range optical ruler. Previous quenching-based optical rulers, based on interactions with plasmonic or graphene materials, have allowed measuring distances in the 20–100 nm range. Distances below 20 nm have, however, been hard to assess due to poor photon yields or weak absolute variations. We show that TCO-based rulers close this gap, allowing distance measurements with far-field optics in the 1–10 nm distance range with deep subnanometer sensitivity.","fluorescence microscopy; transparent conductive coatings; height measurements; OA-Fund TU Delft","en","journal article","Optical Society of America","","","","","","","","Applied Sciences","ImPhys/Imaging Physics","","","",""
"uuid:8174e3e8-8ca0-4d0d-a5fa-413d872a09fb","http://resolver.tudelft.nl/uuid:8174e3e8-8ca0-4d0d-a5fa-413d872a09fb","Contact mechanics of highly porous oxide nanoparticle agglomerates","Fabre, A. (TU Delft ChemE/Product and Process Engineering); Salameh, S. (TU Delft ChemE/Product and Process Engineering); Colombi Ciacchi, Lucio (University of Bremen); Kreutzer, M.T. (TU Delft ChemE/Chemical Engineering); van Ommen, J.R. (TU Delft ChemE/Product and Process Engineering)","","2016","Efficient nanopowder processing requires knowledge of the powder’s mechanical properties. Due to the large surface area to volume ratio, nanoparticles experience relatively strong attractive interactions, leading to the formation of micron-size porous structures called agglomerates. Significant effort has been directed towards the development of models and experimental procedures to estimate the elasticity of porous objects such as nanoparticle agglomerates; however, none of the existing models has been validated for solid fractions below 0.1. Here, we measure the elasticity of titania (TiO2, 22 nm), alumina (Al2O3, 8 nm), and silica (SiO2, 16 nm) nanopowder agglomerates by Atomic Force Microscopy, using a 3.75 μm glass colloid for the stress–strain experiments. Three sample preparations with varying degree of powder manipulation are assessed. The measured Young’s moduli are in the same order of magnitude as those predicted by the model of Kendall et al., thus validating it for the estimation of the Young’s modulus of structures with porosity above 90 %.","Young’s modulus; Porous agglomerates; Atomic force microscopy; Oxide particles; Nanoparticles; Particle characterization; Instrumentation","en","journal article","","","","","","","","","","ChemE/Chemical Engineering","ChemE/Product and Process Engineering","","",""
"uuid:2da20d68-0f58-4443-a0f7-9dfbb3941785","http://resolver.tudelft.nl/uuid:2da20d68-0f58-4443-a0f7-9dfbb3941785","Enhancing re-detection efficacy of defects on blank wafers using stealth fiducial markers","Bouwens, MAJ (Kavli institute of nanoscience Delft; TNO); Maas, D (TNO); van der Donck, JCJ (TNO); Alkemade, P.F.A. (TU Delft QN/Kavli Nanolab Delft; Kavli institute of nanoscience Delft); van der Walle, P (TNO)","","2016","To qualify tools of semiconductor manufacturing, particles unintentionally deposited in these tools are character-ized using blank wafers. With fast optical inspection tools one can quickly localize these particle defects. An ex-ample is TNO's Rapid Nano, which operates in optical darkfield. The next step is defect review for further defectcharacterization. When the blank wafers are transferred to another tool, e.g. a SEM or an AFM the absolute defectposition information is lost. Therefore, the re-detection of the defects in the review tool is time consuming. Toenhance the re-detection speed, afiducial marker system can be used that couples the coordinates of the fastinspection tool to the coordinates of the characterization (review) tool.In this work such afiducial marker system was designed and validated. The influences of the height and the com-position of thefiducial markers on the performance of the marker system were investigated usingfinite elementanalysis (by COMSOL) and experiments. The optimizedfiducial markers are very visible in opticalbrightfield andin SEM, while almost invisible (“stealth”) in optical darkfield. These properties make the markers both easily vis-ible and accurately localizable in the characterization tools. The stealthfiducialmarker system was fabricatedandvalidated by re-detecting programmed test defects on a blank wafer. The experimental results are compared to aMonte Carlo simulation that takes into account the uncertainties in the coordinate transformation and localiza-tion of the test defects.Our results show that afiducial marker system greatly enhances the re-detection efficacy of defects on blank wa-fers. Using thefiducial marker system, 100% of the test defects were re-detected in SEM and AFM. A single7×7μm2SEM image suffices to meet the ITRS requirement for particles as small as 70 nm in diameter.","Defect (re-)detection; Particle inspection; Fiducial marker; Dark field microscopy; Defect review; Semiconductor manufacturing","en","journal article","","","","","","Harvest","","2018-01-20","","","QN/Kavli Nanolab Delft","","",""
"uuid:0d3a0695-3eb6-4da3-a341-e022df2c8629","http://resolver.tudelft.nl/uuid:0d3a0695-3eb6-4da3-a341-e022df2c8629","Light-sheet optimization for microscopy","Wilding, D. (TU Delft Team Raf Van de Plas); Pozzi, P. (TU Delft Team Raf Van de Plas); Soloviev, O.A. (TU Delft Team Raf Van de Plas; Flexible Optical B.V.); Vdovin, Gleb (TU Delft Team Raf Van de Plas; Flexible Optical B.V.); Verhaegen, M.H.G. (TU Delft Team Raf Van de Plas)","Bifano, Thomas G. (editor); Kubby, Joel (editor); Gigan, Sylvain (editor)","2016","Aberrations, scattering and absorption degrade the performance light-sheet fluorescence microscopes (LSFM). An adaptive optics system to correct for these artefacts and to optimize the light-sheet illumination is presented. This system allows a higher axial resolution to be recovered over the field-of-view of the detection objective. It is standard selective plane illumination microscope (SPIM) configuration modified with the addition of a spatial light modulator (SLM) and a third objective for the detection of transmitted light. Optimization protocols use this transmission light allowing the extension the depth-of-field and correction of aberrations whilst retaining a thin optical section.","Adaptive optics; imaging; microscopy; light-sheet microscopy; optimization","en","conference paper","SPIE","","","","","","","","","","Team Raf Van de Plas","","",""
"uuid:503ef7de-a2a4-4204-ba7b-288a60348b5e","http://resolver.tudelft.nl/uuid:503ef7de-a2a4-4204-ba7b-288a60348b5e","Adaptive optics in digital micromirror based confocal microscopy","Pozzi, P. (TU Delft Team Raf Van de Plas); Wilding, D. (TU Delft Team Raf Van de Plas); Soloviev, O.A. (TU Delft Team Raf Van de Plas; Flexible Optical B.V.); Vdovin, Gleb (TU Delft Team Raf Van de Plas; Flexible Optical B.V.); Verhaegen, M.H.G. (TU Delft Team Raf Van de Plas)","Bifano, Thomas G. (editor); Kubby, Joel (editor); Gigan, Sylvain (editor)","2016","This proceeding reports early results in the development of a new technique for adaptive optics in confocal microscopy. The term adaptive optics refers to the branch of optics in which an active element in the optical system is used to correct inhomogeneities in the media through which light propagates. In its most classical form, mostly used in astronomical imaging, adaptive optics is achieved through a closed loop in which the actuators of a deformable mirror are driven by a wavefront sensor. This approach is severely limited in fluorescence microscopy, as the use of a wavefront sensor requires the presence of a bright, point like source in the field of view, a condition rarely satisfied in microscopy samples. Previously reported approaches to adaptive optics in fluorescence microscopy are therefore limited to the inclusion of fluorescent microspheres in the sample, to use as bright stars for wavefront sensors, or time consuming sensorless optimization procedures, requiring several seconds of optimization before the acquisition of a single image.
We propose an alternative approach to the problem, implementing sensorless adaptive optics in a Programmable array microscope. A programmable array microscope is a microscope based on a digital micromirror device, in which the single elements of the micromirror act both as point sources and pinholes.","Confocal Microscopy; Digital Micromirror Device; Wavefront Optimization; Fluorescence","en","conference paper","SPIE","","","","","","","","","","Team Raf Van de Plas","","",""
"uuid:9ddaee73-b3ec-496f-b332-f0cb3ae0c2bf","http://resolver.tudelft.nl/uuid:9ddaee73-b3ec-496f-b332-f0cb3ae0c2bf","Adaptive optics for confocal laser scanning microscopy with adjustable pinhole","Yoo, H.W. (Technische Universität Wien); van Royen, M.E. (Erasmus MC); van Cappellen, WA (Erasmus MC); Houtsmuller, AB (Erasmus MC); Verhaegen, M.H.G. (TU Delft Team Raf Van de Plas); Schitter, G (Technische Universität Wien)","Popp, Jürgen (editor); Tuchin, Valery V. (editor); Matthews, Dennis L. (editor); Pavone, Francesco S. (editor)","2016","The pinhole plays an important role in confocal laser scanning microscopy (CLSM) for adaptive optics (AO) as well as in imaging, where the size of the pinhole denotes a trade-off between out-of-focus rejection and wavefront distortion. This contribution proposes an AO system for a commercial CLSM with an adjustable square pinhole to cope with such a trade-off. The proposed adjustable pinhole enables to calibrate the AO system and to evaluate the imaging performance. Experimental results with fluorescence beads on the coverslip and at a depth of 40 μm in the human hepatocellular carcinoma cell spheroid demonstrate that the proposed AO system can improve the image quality by the proposed calibration method. The proposed pinhole intensity ratio also indicates the image improvement by the AO correction in intensity as well as resolution.","Adaptive Optics; Square Adjustable Pinhole; Confocal Laser Scanning Microscopy; Pinhole Intensity Ratio","en","conference paper","SPIE","","","","","","","","","","Team Raf Van de Plas","","",""
"uuid:0053dbac-e722-4864-a482-4d3430ec380a","http://resolver.tudelft.nl/uuid:0053dbac-e722-4864-a482-4d3430ec380a","Time-resolved cathodoluminescence microscopy with sub-nanosecond beam blanking for direct evaluation of the local density of states","Moerland, R.J. (TU Delft ImPhys/Quantitative Imaging); Weppelman, I.G.C. (TU Delft ImPhys/Charged Particle Optics); Garming, M.W.H. (TU Delft ImPhys/Charged Particle Optics); Kruit, P. (TU Delft ImPhys/Charged Particle Optics); Hoogenboom, J.P. (TU Delft ImPhys/Charged Particle Optics)","","2016","We show cathodoluminescence-based time-resolved electron beam spectroscopy in order to directly probe the spontaneous emission decay rate that is modified by the local density of states in a nanoscale environment. In contrast to dedicated laser-triggered electron-microscopy setups, we use commercial hardware in a standard SEM, which allows us to easily switch from pulsed to continuous operation of the SEM. Electron pulses of 80–90 ps duration are generated by conjugate blanking of a high-brightness electron beam, which allows probing emitters within a large range of decay rates. Moreover, we simultaneously attain a resolution better than λ/10, which ensures details at deep-subwavelength scales can be retrieved. As a proof-of-principle, we employ the pulsed electron beam to spatially measure excited-state lifetime modifications in a phosphor material across the edge of an aluminum half-plane, coated on top of the phosphor. The measured emission dynamics can be directly related to the structure of the sample by recording photon arrival histograms together with the secondary-electron signal. Our results show that time-resolved electron cathodoluminescence spectroscopy is a powerful tool of choice for nanophotonics, within reach of a large audience.","Cathodoluminescence; Luminescence; Quantum electrodynamics; Microscopy; Subwavelength structures; nanostructures; OA-Fund TU Delft","en","journal article","","","","","","","","","","","ImPhys/Quantitative Imaging","","",""
"uuid:0725c102-df2b-4d41-9616-0562cc0ca11b","http://resolver.tudelft.nl/uuid:0725c102-df2b-4d41-9616-0562cc0ca11b","Detecting and locating light atoms from high-resolution STEM images: The quest for a single optimal design","Gonnissen, J. (Universiteit Antwerpen); De Backer, A. (Universiteit Antwerpen); den Dekker, A.J. (TU Delft Team Michel Verhaegen; Universiteit Antwerpen); Sijbers, J. (Universiteit Antwerpen); Van Aert, S. (Universiteit Antwerpen)","","2016","In the present paper, the optimal detector design is investigated for both detecting and locating light atoms from high resolution scanning transmission electron microscopy (HR STEM) images. The principles of detection theory are used to quantify the probability of error for the detection of light atoms from HR STEM images. To determine the optimal experiment design for locating light atoms, use is made of the so-called Cramér–Rao Lower Bound (CRLB). It is investigated if a single optimal design can be found for both the detection and location problem of light atoms. Furthermore, the incoming electron dose is optimised for both research goals and it is shown that picometre range precision is feasible for the estimation of the atom positions when using an appropriate incoming electron dose under the optimal detector settings to detect light atoms.","Data processing/image processing; Electron microscope design and characterisation; High-resolution scanning transmission electron microscopy (HR STEM)","en","journal article","","","","","","Accepted Author Manuscript","","2018-09-03","","","Team Michel Verhaegen","","",""
"uuid:6016a04b-3a45-440b-a456-c43513d659e3","http://resolver.tudelft.nl/uuid:6016a04b-3a45-440b-a456-c43513d659e3","Advanced electron crystallography through model-based imaging","Van Aert, Sandra (Universiteit Antwerpen); De Backer, Annick (Universiteit Antwerpen); Martinez, Gerardo T. (Universiteit Antwerpen); den Dekker, A.J. (TU Delft Team Michel Verhaegen; Universiteit Antwerpen); Van Dyck, Dirk (Universiteit Antwerpen); Bals, Sara (Universiteit Antwerpen); Van Tendeloo, Gustaaf (Universiteit Antwerpen)","","2016","The increasing need for precise determination of the atomic arrangement of non-periodic structures in materials design and the control of nanostructures explains the growing interest in quantitative transmission electron microscopy. The aim is to extract precise and accurate numbers for unknown structure parameters including atomic positions, chemical concentrations and atomic numbers. For this purpose, statistical parameter estimation theory has been shown to provide reliable results. In this theory, observations are considered purely as data planes, from which structure parameters have to be determined using a parametric model describing the images. As such, the positions of atom columns can be measured with a precision of the order of a few picometres, even though the resolution of the electron microscope is still one or two orders of magnitude larger. Moreover, small differences in average atomic number, which cannot be distinguished visually, can be quantified using high-angle annular dark-field scanning transmission electron microscopy images. In addition, this theory allows one to measure compositional changes at interfaces, to count atoms with single-atom sensitivity, and to reconstruct atomic structures in three dimensions. This feature article brings the reader up to date, summarizing the underlying theory and highlighting some of the recent applications of quantitative model-based transmisson electron microscopy.","experimental design; quantitative analysis; statistical parameter estimation; structure refinement; transmission electron microscopy","en","journal article","","","","","","","","","","","Team Michel Verhaegen","","",""
"uuid:89734711-942d-442a-af4d-9b7b51ba58ac","http://resolver.tudelft.nl/uuid:89734711-942d-442a-af4d-9b7b51ba58ac","Non-contact distance measurement and profilometry using thermal near-field radiation towards a high resolution inspection and metrology solution","Bijster, R.J.F. (TU Delft Computational Design and Mechanics); Sadeghian Marnani, H. (TU Delft Computational Design and Mechanics; TNO); van Keulen, A. (TU Delft Computational Design and Mechanics)","Sanchez, M.I. (editor); Ukraintsev, V.A. (editor)","2016","Optical near-field technologies such as solid immersion lenses and hyperlenses are candidate solutions for high resolution and high throughput wafer inspection and metrology for the next technology nodes. Besides sub-diffraction limited optical performance, these concepts share the necessity of extreme proximity to the sample at distances that are measured in tens of nanometers. For the instrument this poses two major challenges: 1) how to measure the distance to the sample? and 2) how to position accurately and at high speed? For the first challenge near-field thermal radiation is proposed as a mechanism for an integrated distance sensor (patent pending). This sensor is realized by making a sensitive calorimeter (accuracy of 2:31nW root sum squared). When used for distance measurement an equivalent uncertainty of 1nm can be achieved for distances smaller than 100 nm. By scanning the distance sensor over the sample, thermal profilometry is realized, which can be used to inspect surfaces in a non-intrusive and non-contact way. This reduces wear of the probe and minimizes the likelihood of damaging the sample.","thermal microscopy; near field; high resolution inspection","en","conference paper","SPIE","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:8b1caf25-3a3d-4008-a341-aae1ff4df574","http://resolver.tudelft.nl/uuid:8b1caf25-3a3d-4008-a341-aae1ff4df574","Sensitivity of secondary electron yields and SEM images to scattering parameters in MC simulations","Verduin, T. (TU Delft ImPhys/Charged Particle Optics); Lokhorst, S.R. (TU Delft ImPhys/Charged Particle Optics); Hagen, C.W. (TU Delft ImPhys/Charged Particle Optics); Kruit, P. (TU Delft ImPhys/Charged Particle Optics)","","2016","In the simulation of secondary electron yields (SEY) and secondary electron microscopy (SEM) images, there is always the question: are we using the correct scattering cross-sections?. The three scattering processes of interest are quasi-elastic phonon scattering, elastic Mott scattering and inelastic scattering using the dielectric function model. We have artificially scaled the scattering cross-sections, such that the probability for events associated with a particular model is either increased or decreased. The influence of this adjustment on the calculated SEYs and simulated SEM images is then evaluated. At first we have investigated the influence on the calculated SEY of pure and infinitely thick silicon. We have observed that the influence of the acoustic phonon scattering cross-sections is seen all the way up to the incident primary electron energy of 10 keV. We have extended the analysis to the simulation of SEM images of three dimensional rough lines of PMMA located on a silicon substrate. We conclude that the scaling of the scattering cross-sections affects the contrast of the SEM images, but not the roughness characterization of the lines, i.e. the 3σ of the line edge roughness (LER), correlation length and roughness exponent.","Electron-matter interaction; Line edge roughness; Monte-Carlo simulation; Scanning electron microscopy; Secondary electron yield","en","journal article","","","","","","Accepted Author Manuscript","","2018-05-13","","","ImPhys/Charged Particle Optics","","",""
"uuid:6ece9485-720f-48b6-a383-ae998664e9ff","http://resolver.tudelft.nl/uuid:6ece9485-720f-48b6-a383-ae998664e9ff","Development of a DMD-based fluorescence microscope","Chakrova, N.; Rieger, B.; Stallinga, S.","","2015","We present a versatile fluorescence microscope, built by complementing a conventional fluorescence microscope with a digital micro-mirror device (DMD) in the illumination path. Arbitrary patterns can be created on the DMD and projected onto the sample. This patterned illumination can be used to improve lateral and axial resolution over the resolution of a wide-field microscope, as well as to reduce the illumination dose. Different illumination patterns require different reconstruction strategies and result in an image quality similar to confocal or structured illumination microscopy. We focus on the optical design and characterization of a DMD-based microscope. Estimation of the optical quality of the microscope has been carried out by measuring the modulation transfer function from edge profiles. We have obtained optically sectioned images by applying multi-spot illumination patterns followed by digital pinholing. The sectioning capabilities of our DMD-based microscope were estimated from the dependence of the signal-to-background and signalto-noise ratios on the pitch of the projected multi-spot patterns and the size of the digital pinhole. In addition, we provide an outlook on the use of pseudo-random illumination patterns for achieving both sectioning and resolution enhancement","fluorescence microscopy; digital micro-mirror device; sectioning; digital pinholing","en","conference paper","SPIE","","","","","","","","Applied Sciences","ImPhys/Imaging Physics","","","",""
"uuid:0239f9cc-0df8-44c7-981e-0403ae3189c3","http://resolver.tudelft.nl/uuid:0239f9cc-0df8-44c7-981e-0403ae3189c3","Helium ion beam induced growth of hammerhead AFM probes","Nanda, G.; Van Veldhoven, E.; Maas, D.; Sadeghian, H.; Alkemade, P.F.A.","","2015","The authors report the direct-write growth of hammerhead atomic force microscope(AFM) probes by He+beam induced deposition of platinum-carbon. In order to grow a thin nanoneedle on top of a conventional AFM probe, the authors move a focused He+beam during exposure to a PtC precursor gas. In the final growth stage, a perpendicular movement of the beam results in the required three-dimensional (hammerhead) shape. The diameter of the needle depends on the ion beam dose, beam dwell time, and speed of the beam movement. A nanoneedle radius below 10?nm and a hammerhead smaller than 35?nm have been achieved. This fabrication process is robust and enables precise control over the three-dimensions of the hammerhead AFM probe. Finally, the authors test the capabilities of the fabricated AFM probes for two-dimensional metrology of sidewall angles and line-edge roughness of trenches and shark-fins in silicon.","atomic force microscopy; ion beams; atomic force microscopes; silicon; semiconductor growth","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:7bd691be-6ae1-4852-8c83-fe77c4ff7f7c","http://resolver.tudelft.nl/uuid:7bd691be-6ae1-4852-8c83-fe77c4ff7f7c","Heteronanocrystal Transformations through In-situ Transmission Electron Microscopy","Yalcin, A.O.","Zandbergen, H.W. (promotor)","2015","Synthesis of nanocrystals (NCs) and heteronanocrystals (HNCs) has developed very fast particularly in the last decade. Nowadays, various structures and different morphologies of NCs and HNCs can be prepared with a very narrow size distribution. The nanoscale dimensions of these crystals lead to interesting phenomena (e.g. electronic properties) that are not found in their bulk counterparts. Therefore, NC and HNC research is now a hot topic in materials science and applied physics. Despite having interesting properties, the stability of NCs and HNCs is an issue outside the solution in which they are suspended. This raises a concern for their potential applications. Regarding their thermal stability, a number of studies have been reported showing morphological as well as chemical transformations with changing temperature. In this thesis, the focus is on in-situ heat induced transformations of HNCs and detailed characterization of these transformations through advanced transmission electron microscopy (TEM) techniques. Two processes were observed through in-situ heat treatment of the CdSe–CdS–ZnS core–multishell system: diffusion and simultaneous evaporation of different species. The structure evolves into a CdxZn1?xSe–CdyZn1?yS core–shell system through Zn inner diffusion and simultaneous partial evaporation of Cd and S. A more complex transformation is a temperature induced reconfiguration in the FexO/CoFe2O4 core/shell system. In this case, the FexO diffuses out of the core location and segregates at the outside of the CoFe2O4 shell. At the same time, the CoFe2O4 shell shrinks and fills the core volume. Co diffusion into the FexO structure is also observed with heating. Furthermore, in-situ heating studies of the CdSe-PbSe dumbbell system (a CdSe nanorod with PbSe NC tips) have shown a novel epitaxial nanowire growth process. Upon heating, PbSe starts to grow into CdSe with simultaneous Cd evaporation. This process proceeds as a cation exchange mechanism, whereby Pb is replacing Cd in CdSe. The crystal structure changes epitaxially at the same time from hexagonal wurtzite (CdSe) to cubic rock-salt (PbSe). Considering that the initial phases of CdSe and PbSe domains are solid and the final evaporation of Cd into the vapor phase, this novel epitaxial growth process is named solid–solid–vapor (SSV) growth. Observations reported in this thesis clearly show that transformations of HNCs upon heat treatment can differ significantly from one another. These studies can be regarded as first reference points when these HNC systems are considered to be implemented in possible future applications and for future in-situ heat treatment studies of HNCs.","In-situ Transmission Electron Microscopy; Heteronanocrystals; Thermal Evolution","en","doctoral thesis","","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:10b2fb31-9352-4527-a0dd-7bded605196b","http://resolver.tudelft.nl/uuid:10b2fb31-9352-4527-a0dd-7bded605196b","In situ transmission electron microscopy investigations of electromigration in metals","Kozlova, T.","Zandbergen, H.W. (promotor)","2015","Electromigration is a process in which a metallic contact line is thinned by passing a current through it; which occurs due to a gradual displacement of atoms, ultimately leading to destruction of the wire. Despite the active investigations on electromigration for over fifty years, until now there is no general theory of the process and many open questions in understanding this process at a fundamental level still remain. In order to understand the material transport under an applied electric field, many parameters should be considered: size of the structure, material, temperature, stress, microstructure (size of the grains, type of grain boundaries), and surface effects. In this thesis, transmission electron microscopy (TEM) together with in situ electrical/heating measurements (which require special MEMS chips and TEM holders) was used for investigating electromigration in thin metallic (Pt, Pd–Pt alloy) nanobridges. This technique allows obtaining information about material under an applied electric field at atomic level, helping in understanding the process dynamics. In our experiments morphological transformations were recorded in real time at the nanoscale, along with the corresponding I–V while passing an electric current. By using scanning transmission electron microscopy (STEM) imaging with high angle annular dark ?eld (HAADF) detector, electromigration in Pd–Pt alloy nanobridges was shown to be quite different from the pure elements Pt and Pd. The electromigration of Pt and Pd is very similar: after recrystallization (also observed in Pd–Pt alloy), the bridge gradually becomes narrower and breaks. In case of alloy, the outer shape of the bridge is maintained while the material transport from the cathode to the anode is guided by the direction of electric field and is fully reversible. Material transport corresponds to the electron-wind force, indicating a negative effective charge. This principle of controllable reversible material transport in Pd–Pt alloy with the change in field polarity can be implemented in memristor applications. The material properties under both dynamic conditions, i.e. heating and electric current passage, were investigated in situ. We found increased resistivity in Pt nanobridges and correlated the variation in resistance with morphological changes while heating the polycrystalline Pt films. During electromigration experiments, the bridge resistance changes due to two processes, Joule heating and electromigration. By measuring the resistance variation upon heating the substrate, these processes can be decoupled. This study also yielded the importance of surrounding temperature on the nanobridge: Despite the same tendency of material transport from the cathode to anode, higher temperature of the surroundings enhances the nanobridge breakage. The higher temperature adds potential energy to the atoms so they can easily overcome the crystal lattice energy barrier and leave their original positions. In general, besides describing the failure due to electromigration extensively in this thesis, the scope of expanding these in situ heating/electrical measurements in the TEM to other studies has been presented. For instance, developed system can be used in molecule electronic device studies of electrical properties of nanoparticles or molecules in combination with morphological changes visualizations at atomic level. It can be also implemented in memory-switching devices investigations or in one of the hot topics of lithium-ion batteries.","electromigration; Transmission Electron Microscopy; in situ","en","doctoral thesis","","","","","","","","","Applied Sciences","Quantum Nanoscience","","","",""
"uuid:c4ba486f-8948-44c9-8bc9-b9177147a0f1","http://resolver.tudelft.nl/uuid:c4ba486f-8948-44c9-8bc9-b9177147a0f1","Single emitter localization analysis in the presence of background","Stallinga, S.","","2015","Localization microscopy for imaging at the nano-scale relies on the quality of fitting the emitter positions from the measured light spots. The type and magnitude of the noise in the detection process, the background light level and the Point Spread Function model that is used in the fit are of paramount importance for the precision and accuracy of the fit. We present several developments on the computational methods and performance limits of single emitter localization, targeting specifically these three aspects.","localization microscopy; single molecule; maximum likelihood estimation; Cramer Rao lower bound; background","en","conference paper","SPIE","","","","","","","","Applied Sciences","ImPhys/Imaging Physics","","","",""
"uuid:b9548433-b619-435a-807e-a86289c218c6","http://resolver.tudelft.nl/uuid:b9548433-b619-435a-807e-a86289c218c6","Co-Orientation: Quantifying Simultaneous Co-Localization and Orientational Alignment of Filaments in Light Microscopy","Nieuwenhuizen, R.P.J.; Nahidiazar, L.; Manders, E.M.M.; Jalink, K.; Stallinga, S.; Rieger, B.","","2015","Co-localization analysis is a widely used tool to seek evidence for functional interactions between molecules in different color channels in microscopic images. Here we extend the basic co-localization analysis by including the orientations of the structures on which the molecules reside. We refer to the combination of co-localization of molecules and orientational alignment of the structures on which they reside as co-orientation. Because the orientation varies with the length scale at which it is evaluated, we consider this scale as a separate informative dimension in the analysis. Additionally we introduce a data driven method for testing the statistical significance of the co-orientation and provide a method for visualizing the local co-orientation strength in images. We demonstrate our methods on simulated localization microscopy data of filamentous structures, as well as experimental images of similar structures acquired with localization microscopy in different color channels. We also show that in cultured primary HUVEC endothelial cells, filaments of the intermediate filament vimentin run close to and parallel with microtubuli. In contrast, no co-orientation was found between keratin and actin filaments. Co-orientation between vimentin and tubulin was also observed in an endothelial cell line, albeit to a lesser extent, but not in 3T3 fibroblasts. These data therefore suggest that microtubuli functionally interact with the vimentin network in a cell-type specific manner.","vimentin; tubulins; keratins; endothelial cells; fibroblasts; cytoskeleton; fluorescence microscopy; Fourier analysis; OA-Fund TU Delft","en","journal article","Public Library of Science","","","","","","","","Applied Sciences","ImPhys/Imaging Physics","","","",""
"uuid:3c2110da-f9a2-49ad-ad73-68c14db69799","http://resolver.tudelft.nl/uuid:3c2110da-f9a2-49ad-ad73-68c14db69799","Looking at glass from a different angle: New insights into fracture patterns through transmitted light microscopy","Van der Velde, O.; Copuroglu, O.; Veer, F.A.","","2015","This paper shows the benefit of using transmitted light microscopy together with a Z-scanning software in fractographical analyses of glass. The strength of glass is largely dependent on processes that happen at the microscale. In this research, 52 plates were fractured in a biaxial tensile test. These were divided into five categories according to their fracture pattern. 6 plates were examined with a polarised light microscope and photographed with the Z-axis scanning function. This revealed fracture markings that are barely visible with the naked eye and overlooked when only performing a microscopic analysis of the fracture surface. This led to the conclusion that transmitted light microscopy on glass’ fracture pattern is a valuable addition in glass fractography. It gives the researcher an overview of all fracture markings and flaws in one image. This can be used as a guide to find the fracture origin and it gives new information on the crack propagation and local failure processes.","glass; microscopy; fractography","en","conference paper","Delft University of Technology","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:dc2964e9-2dea-4499-afa2-aae2f968c683","http://resolver.tudelft.nl/uuid:dc2964e9-2dea-4499-afa2-aae2f968c683","Quantitative Localization Microscopy: Effects of Photophysics and Labeling Stoichiometry","Nieuwenhuizen, R.P.J.; Bates, M.; Szymborska, A.; Lidke, K.A.; Rieger, B.; Stallinga, S.","","2015","Quantification in localization microscopy with reversibly switchable fluorophores is severely hampered by the unknown number of switching cycles a fluorophore undergoes and the unknown stoichiometry of fluorophores on a marker such as an antibody. We overcome this problem by measuring the average number of localizations per fluorophore, or generally per fluorescently labeled site from the build-up of spatial image correlation during acquisition. To this end we employ a model for the interplay between the statistics of activation, bleaching, and labeling stoichiometry. We validated our method using single fluorophore labeled DNA oligomers and multiple-labeled neutravidin tetramers where we find a counting error of less than 17% without any calibration of transition rates. Furthermore, we demonstrated our quantification method on nanobody- and antibody-labeled biological specimens.","stoichiometry; bleaching; fluorescence imaging; photons; absorption spectroscopy; fluorescence microscopy; oligomers; glass; OA-Fund TU Delft","en","journal article","Public Library of Science","","","","","","","","Applied Sciences","ImPhys/Imaging Physics","","","",""
"uuid:07f3165a-573b-4cca-a7fc-38f5f03e37d0","http://resolver.tudelft.nl/uuid:07f3165a-573b-4cca-a7fc-38f5f03e37d0","Optomechatronics Design and Control for Confocal Laser Scanning Microscopy","Yoo, H.W.","Verhaegen, M.H.G. (promotor); Schitter, G. (promotor)","2015","Confocal laser scanning microscopy (CLSM) is considered as one of the major advancements in microscopy in the last century and is widely accepted as a 3D fluorescence imaging tool for biological studies. For the emerging biological questions CLSM requires fast imaging to detect rapid biological processes and aberration-corrected imaging to localize the targeted biomolecule precisely through optical disturbances by specimen. In this thesis, optomechatronics design and control are discussed for improving this temporal and spatial resolution of CLSM to respond the needs in biological research. To improve temporal resolution of CLSM imaging, the scanning speed has to be improved. For galvanometer scanners as the most popular scanner of commercial CLSM, iterative learning control (ILC) is proposed to achieve a high speed, linear, and accurate bidirectional scanning control. Two stable inversion methods of zero phase shifts and phase fitting by input delays are used for designing stable ILCs enabling a wide control bandwidth. Experimental results verify the benefits of ILCs allowing a faster scanning over 2000 lines per second with high accuracy without a phase lag and a gain mismatch, achieving up to a 73 times smaller root mean square (RMS) error than a conventional feedback controller. Although the encoder measurements follow the reference signal by the developed ILC, actual beam trajectories can have errors at high scanning rates due to non-collocation sensing by the encoder. A transformation-based iterative learning control is proposed to improve the accuracy of fast beam scanning with the non-collocated galvanometer scanner. The proposed ILC is extended from the previous ILC design by adding a reference transformation filter, which is based on the transfer functions between the mirror and the encoder. An error analysis in theory shows that the proposed ILC can reduce the error of the actual mirror angle, especially for the image scanning applications. Experimental results with the proposed transformation based ILC show up to 7.5 times better beam accuracy as compared to the previous ILC. To improve spatial resolution in CLSM, the spherical aberrations induced by coverslip thickness mismatch have to be corrected. An automated adjustment of the coverslip correction collar is proposed to compensate for the spherical aberrations by means of motorization of the collar with a correction algorithms. An axial image model is derived to suppress noise of the measured axial image and to analyze of the influence of the spherical aberrations by the coverslip thickness mismatch. To search for the best correction collar adjustment, axial scans of the coverslip reflection are recorded, processed, and evaluated by correction quality measures such as the maximum intensity, sharpness, and entropy. The benefits of the proposed automated correction are demonstrated with various coverslips with biological specimens. The Imaging examples illustrate the improved resolution with sharp and accurate multicolor images of the confocal microscope. For the general aberration correction in the deep tissue imaging, an adaptive optics (AO) is developed for the commercial CLSM to verify its concept. The AO system consists of a piezoelectric deformable mirror and a Shack Hartmann wavefront sensor (SH-WFS), which measures the wavefront of the fluorescence from the specimen. The wavefront sensor is equipped with an adjustable pinhole for confocal wavefront sensing (CWFS) to confine the optical thickness of wavefront measurements. Using the adjustable pinhole, a referencing method of the SH-WFS and the evaluation of the AO correction quality, pinhole intensity ratio, are proposed. Experimental results with fluorescence beads on the coverslip and 40?m deep in a sphere cell cluster show that the developed AO system and proposed algorithms with adjustable pinhole can improve the measured full width at half maximum (FWHM). The proposed pinhole intensity ratio using the adjustable pinhole can also show the improvement of imaging quality by the proposed AO. For CWFS, a small pinhole is desirable for rejecting out-of-focus light while it can degrade the wavefront measurement qualities. A wavefront reconstruction technique is proposed to recover the degraded phase information by the finite size of pinhole. The aberration modification by the pinhole can be modeled as a 2D convolution of the pupil function in complex domain, i.e. phase and intensity of the beam. Based on the Fresnel approximation, the 2D deconvolution problem can be simplified to the 1D deconvolution, which also allows retrieval from multiple measurements by diversified pinhole sizes. With the verified model by experimental results, the simulation results of various pinhole sizes show that the distortion of the output pupil functions by the finite pinhole can be recovered by the proposed retrieval technique, reducing the RMS phase error up to 46 %. The proposed retrieval technique is evaluated for arbitrary aberrations generated from statistics of the wavefront measurements of a biological specimen. Simulation results show that about the wavefront errors level with 3 airy unit (AU) can be achieved by the recovery algorithm and the pupil measurement with 1.5 AU pinhole, allowing an accurate wavefront sensing with higher optical sectioning ability.","Confocal Laser Scanning Microscopy; Iterative Learning Control; Galvanometer Scanner; Coverslip Correction Collar; Adaptive Optics; Confocal Wavefront Sensing","en","doctoral thesis","","","","","","","","2015-08-31","Mechanical, Maritime and Materials Engineering","Delft Center for Systems and Control","","","",""
"uuid:30d7155a-b8b3-4b7a-93d5-f63407fd86fc","http://resolver.tudelft.nl/uuid:30d7155a-b8b3-4b7a-93d5-f63407fd86fc","A Singular Perspective on Chromosomal Replication: Studying Life Cells by Employing a Multi-disciplinary Approach","Moolman, M.C.","Dekker, N.H. (promotor)","2015","Investigating DNA replication in live E. coli cells using fluorescence microscopy and microfluidics.","microscopy; microfabrication; replication; cells","en","doctoral thesis","","","","","","","","","Applied Sciences","Bionanoscience","","","",""
"uuid:01395c2e-d0bb-44c7-9565-0502447e57a4","http://resolver.tudelft.nl/uuid:01395c2e-d0bb-44c7-9565-0502447e57a4","Quantitative Superresolution Microscopy Reveals Differences in Nuclear DNA Organization of Multiple Myeloma and Monoclonal Gammopathy of Undetermined Significance","Sathitruangsak, C.; Righolt, C.H.; Klewes, L.; Tammur, P.; Ilus, T.; Tamm, A.; Punab, M.; Olujohungbe, A.; Mai, S.","","2015","The mammalian nucleus has a distinct substructure that cannot be visualized directly by conventional microscopy. In this study, the organization of the DNA within the nucleus of multiple myeloma (MM) cells, their precursor cells (monoclonal gammopathy of undetermined significance; MGUS) and control lymphocytes of the representative patients is visualized and quantified by superresolution microscopy. Three-dimensional structured illumination microscopy (3D-SIM) increases the spatial resolution beyond the limits of conventional widefield fluorescence microscopy. 3D-SIM reveals new insights into the nuclear architecture of cancer as we show for the first time that it resolves organizational differences in intranuclear DNA organization of myeloma cells in MGUS and in MM patients. In addition, we report a significant increase in nuclear submicron DNA structure and structure of the DNA-free space in myeloma nuclei compared to normal lymphocyte nuclei. Our study provides previously unknown details of the nanoscopic DNA architecture of interphase nuclei of the normal lymphocytes, MGUS and MM cells. This study opens new avenues to understanding the disease progression from MGUS to M","3D structured illumination microscopy; DNA nano structure; multiple myeloma; monoclonal gammopathy of undertermined significance","en","journal article","Wiley","","","","","","","","Applied Sciences","ImPhys/Imaging Physics","","","",""
"uuid:15cb6d8f-07fe-4212-bb6c-3a1112b4ca76","http://resolver.tudelft.nl/uuid:15cb6d8f-07fe-4212-bb6c-3a1112b4ca76","Continuous production of nanostructured particles using spatial atomic","Van Ommen, J.R.; Kooijman, D.; De Niet, M.; Talebi, M.; Goulas, A.","","2015","In this paper, the authors demonstrate a novel spatial atomic layer deposition (ALD) process based on pneumatic transport of nanoparticle agglomerates. Nanoclusters of platinum (Pt) of ?1?nm diameter are deposited onto titania (TiO2) P25 nanoparticles resulting to a continuous production of an active photocatalyst (0.12–0.31?wt. % of Pt) at a rate of about 1?g min?1. Tuning the precursor injection velocity (10–40?m s?1) enhances the contact between the precursor and the pneumatically transported support flows. Decreasing the chemisorption temperature (from 250 to 100?°C) results in more uniform distribution of the Pt nanoclusters as it decreases the reaction rate as compared to the rate of diffusion into the nanoparticle agglomerates. Utilizing this photocatalyst in the oxidation reaction of Acid Blue 9 showed a factor of five increase of the photocatalytic activity compared to the native P25 nanoparticles. The use of spatial particle ALD can be further expanded to deposition of nanoclusters on porous, micron-sized particles and to the production of core–shell nanoparticles enabling the robust and scalable manufacturing of nanostructured powders for catalysis and other applications.","atomic layer deposition; nanoparticles; catalysis; chemically reactive flows; transmission electron microscopy","en","journal article","American Vacuum Society","","","","","","","","Applied Sciences","ChemE/Chemical Engineering","","","",""
"uuid:41f8b749-72b7-4646-91d8-bdff06f5e233","http://resolver.tudelft.nl/uuid:41f8b749-72b7-4646-91d8-bdff06f5e233","Lensless coherent imaging by sampling of the optical field with digital micromirror device","Vdovin, Gleb (TU Delft Team Raf Van de Plas); Gong, H. (TU Delft Team Raf Van de Plas); Soloviev, O.A. (TU Delft Team Raf Van de Plas); Pozzi, P. (TU Delft Team Raf Van de Plas); Verhaegen, M.H.G. (TU Delft Team Raf Van de Plas)","","2015","We have experimentally demonstrated a lensless coherent microscope based on direct registration of the complex optical field by sampling the pupil with a sequence of two-point interferometers formed by a digital micromirror device. Complete registration of the complex amplitude in the pupil of the imaging system, without any reference beam, provides a convenient link between the experimental and computational optics. Unlike other approaches to digital holography, our method does not require any external reference beam, resulting in a simple and robust registration setup. Computer analysis of the experimentally registered field allows for focusing the image in the whole range from zero to infinity, and for virtual correction of the aberrations present in the real optical system, by applying the adaptive wavefront corrections to its virtual model.","Microscopy; Digital holography; Inverse problems; Phase retrieval; Adaptive optics","en","journal article","","","","","","Accepted Author Manuscript","","","","","Team Raf Van de Plas","","",""
"uuid:f8f90c4b-f48b-407a-ac5a-9caa1e849512","http://resolver.tudelft.nl/uuid:f8f90c4b-f48b-407a-ac5a-9caa1e849512","A miniaturized, high frequency mechanical scanner for high speed atomic force microscope using suspension on dynamically determined points","Herfst, R. (TNO); Dekker, B. (TNO); Witvoet, G. (TNO); Crowcombe, W.E. (TNO); de Lange, D. (TNO); Sadeghian Marnani, H. (TU Delft Computational Design and Mechanics; TNO)","","2015","One of the major limitations in the speed of the atomic force microscope (AFM) is the bandwidth of the mechanical scanning stage, especially in the vertical (z) direction. According to the design principles of “light and stiff” and “static determinacy,” the bandwidth of the mechanical scanner is limited by the first eigenfrequency of the AFM head in case of tip scanning and by the sample stage
in terms of sample scanning. Due to stringent requirements of the system, simply pushing the first eigenfrequency to an ever higher value has reached its limitation. We have developed a miniaturized, high speed AFM scanner in which the dynamics of the z-scanning stage are made insensitive to its surrounding dynamics via suspension of it on specific dynamically determined points. This
resulted in a mechanical bandwidth as high as that of the z-actuator (50 kHz) while remaining insensitive to the dynamics of its base and surroundings. The scanner allows a practical z scan range of 2.1 µm. We have demonstrated the applicability of the scanner to the high speed scanning of nanostructures.","atomic force microscopy; image scanners; position sensitive detectors; atomic force microscopes; aluminium","en","journal article","","","","","","","","","","","Computational Design and Mechanics","","",""
"uuid:f98b3b8f-bdb8-41bb-8766-d0a15dae0e27","http://resolver.tudelft.nl/uuid:f98b3b8f-bdb8-41bb-8766-d0a15dae0e27","Optimisation-based wavefront sensorless adaptive optics for microscopy","Antonello, J.","Verhaegen, M. (promotor)","2014","Microscopy is an essential tool for life sciences. Thanks to the development of confocal and multiphoton microscopy, scientists are able to obtain high-resolution 3D views of biological specimens. Nevertheless, spatial variations in the index of refraction within specimens cause aberrations that degrade the quality of the 3D views. One can tackle this issue by implementing adaptive optics (AO) techniques, whereby an active element such as a deformable mirror (DM) is used to suppress the aberrations. In this thesis we consider the problem of estimating aberrations in microscopy. Well-established methods to measure aberrations, such as Shack-Hartmann wavefront sensing, cannot be easily applied due to the lack of well-defined reference wavefronts within specimens. Instead, one can consider wavefront sensorless AO (WFSless-AO), where aberrations are estimated indirectly using a suitable image quality metric. In practice, a series of trial aberration corrections are applied with the DM until the image quality metric is maximised. One can reduce the number of necessary trial corrections by modelling the image quality metric, so that the overall image acquisition time is minimised, and side effects such as photobleaching and phototoxicity are curtailed. Quadratic polynomials have been used extensively to model image quality metrics in microscopy. In the first part of this thesis, the problem of computing the parameters of the polynomial directly from input-output measurements is solved using a mathematical optimisation. Once the parameters are known, the aberration estimation problem is formulated into a linear least-squares optimisation, which requires a minimum of N + 1 trial corrections to estimate N orthogonal aberration modes, such as Zernike polynomials. Both the computation of the parameters and the aberration estimation are validated experimentally using an optical breadboard. In the second part of this thesis, we implement a WFSless-AO algorithm in a second-harmonic microscope. To achieve a more refined aberration correction, we compute the least-squares estimate of the aberration by solving a non-convex optimisation problem. Aberration correction experiments are performed using a biologically relevant specimen. In the last part of this thesis, we consider using a phase retrieval algorithm to correct aberrations. We propose an algorithm that uses three measurements of the point-spread function of the optical system. The phase retrieval problem is formulated using the extended Nijboer-Zernike theory, and it is solved using PhaseLift, a signal recovery method based on convex optimisation. The feasibility of this approach is demonstrated by performing aberration correction experiments using an optical breadboard.","active or adaptive optics; wave-front sensing; aberration compensation; imaging through turbid media; nonlinear microscopy; scanning microscopy","en","doctoral thesis","","","","","","","","2014-12-10","Mechanical, Maritime and Materials Engineering","Delft Center for Systems and Control","","","",""
"uuid:1cb3c80b-4713-4258-925d-ff6d4ee33973","http://resolver.tudelft.nl/uuid:1cb3c80b-4713-4258-925d-ff6d4ee33973","Simultaneous Correlative Light and Electron Microscopy of Samples in Liquid","Liv, N.","Kruit, P. (promotor)","2014","A combined use of fluorescence and light microscopy is a powerful approach to further increase our understanding in biological systems of structure-function relations at cellular and sub-cellular levels. The power of fluorescence microscopy (FM) is to spectrally resolve and visualize individual proteins with endogenous or immuno- fluorescent labeling. Additionally, super-resolution microscopy techniques have beaten the diffraction limit and improved the achievable resolution in FM down to sub 20 nm. However, inherent to FM, it is only the labelled components that are visible and FM cannot provide the ultrastuctural information. On the other hand, electron microscopy (EM) has the power to visualize the ultrastructure with nanometer scale resolution. Therefore, correlative light and electron microscopy (CLEM), which brings the complementary information from FM and EM together, has gained deep interest in recent years. CLEM studies are typically carried out sequentially, by transferring the sample between two separate microscopes, which makes the process considerably cumbersome and therefore constrain widespread CLEM applications. A solution is proposed by integrated strategies, in which a light microscope is mostly integrated in an EM. We have also recently presented an integrated microscope design which enables high-resolution FM inside a Scanning EM (SEM) without compromises in the capabilities of both microscopes. This thesis aims to explore the potential of the integrated microscope for linking spectrally resolved and live-cell imaging capable FM with structural and high-resolution EM. The novel possibilities introduced by the integrated microscope are presented and discussed firstly for fixed and dehydrated samples, as typical samples in CLEM studies. Furthermore, CLEM of samples in hydrated conditions is realized, and a novel method, which enables on-demand SEM of living cells in liquid is demonstrated. Chapter II. introduces the method of Simultaneous Correlative Light and Electron Microscopy (SCLEM), which is a novel approach to CLEM brought up by the integrated microscope. The method is based on the new possibility to carry out both high-resolution light and electron microscopy simultaneously to the same region of a sample. The method makes it possible for fast and accurate acquisition of large CLEM datasets, and therefore for quantitative investigations of large sample areas. The correlation of high-NA fluorescence imaging with cellular ultrastructure is demonstrated for fluorescently labelled whole cells, as well as tissue sections stained both fluorescently and for EM. The optimized protocol for SCLEM, which aims to help other researchers to adapt their workflows to integrated CLEM, is presented in Chapter III. The complete sample preparation protocols for whole cells expressing endogenous fluorophores, for whole cells with immuno-labelling, and for resin embedded cells and tissues are presented together with the mounting procedure for the prepared samples in the integrated microscope. Also the imaging steps required to assure high accuracy registration between the FM and SEM images are explained and demonstrated comprehensively. Chapter IV. presents the enrichment of SCLEM with multi-color capabilities. Simultaneous dual-color FM and SEM is demonstrated by imaging cellular sections of Equine Arteritis Virus (EAV) infected cells, where EAV expresses GFP from its replicase gene and the nuclei/DNA of the infected cells are labelled with Hoechst. The chapter also shows that the method for FM-SEM image registration can also be used for chromatic distortion correction in between distinct FM color channels, which is crucial if the fluorescence information will be mapped onto high-resolution SEM images. The presented method is demonstrated by registering dual-color fluorescence images and a SEM images of paxillin and phospho-paxillin labelled cells into a single coordinate frame with a high precision. The rest of this thesis focuses on CLEM of samples in liquid, encapsulated in the integrated FM and SEM. Chapter V. investigates the achievable resolution in SEM imaging of liquid-immersed nanoparticle bio-labels in detail. Simulations with the Geant4-based Monte Carlo scheme are directly compared to the experimental results for nanoparticles located directly beneath SiN membranes of different thicknesses. The beam broadening, resulting from the interaction of the electron beam with the membrane, and the contrast forming mechanism was discussed and characterized. Also in liquid- SCLEM imaging of single epidermal growth factor (EGF) conjugated quantum dots docked at filopodia during cellular uptake is presented. Furthermore, the resolution and contrast for imaging nanoparticle bio-labels located not directly beneath the membrane but at different depths are investigated in Chapter VI. Some initial simulation and experimental results are presented which already shows that imaging single nanoparticle bio-labels is still feasible with reasonable resolution even under these conditions. The design and fabrication processes of the holder used for CLEM of liquid samples are presented in Chapter VII. The holder encapsulates liquid samples in the vacuum chamber of the integrated microscope and enables simultaneous correlative microscopy through the electron transparent and the light transparent windows it has. The detailed sample preparation process for correlative imaging of whole cells cultured directly on the electron transparent membranes, which brings new possibilities to study cells in their near native environment, is represented. Also the possibility of advancing the holder to a microfluidic reactor is discussed and proof-of concept experiments with consecutive filling and imaging in the reactor are demonstrated. Finally, Chapter VIII. presents the novel method of on-demand EM (ODEM), which merges the strengths of live-cell FM imaging with high resolution SEM. The cellular dynamics are monitored in liquid with live-cell FM, and SEM snapshots are captured at selected regions and time-points on-demand, based on the FM observations. ODEM is demonstrated by imaging the uptake and retrograde transport of EGF-conjugated quantum dots (QDots) in fibroblasts. ODEM is promising for opening up entirely novel perspectives for imaging biological dynamics by linking the live cell imaging capabilities of FM with high resolution structural EM imaging.","correlative light; electron microscopy","en","doctoral thesis","","","","","","","","2014-10-03","Applied Sciences","Imaging Science & Technology","","","",""
"uuid:2a8b94d2-39ee-4f40-af2e-748c3aaf5a0f","http://resolver.tudelft.nl/uuid:2a8b94d2-39ee-4f40-af2e-748c3aaf5a0f","Cathodoluminescence Microscopy of Nanostructures on Transparent Substrates","Narváez, A.C.","Kruit, P. (promotor); Hoogenboom, J.P. (promotor)","2014","Cathodoluminescence (CL), the excitation of light by an electron beam, has gained attention as an analysis tool for investigating the optical response of a structure, at a resolution that approaches that in electron microscopy, in the nanometer range. However, the application possibilities are limited because the use of transparent substrates, one of the most common sample substrates for optical characterizations in multiple research fields, is normally avoided in CL microscopy, since these materials generate a strong signal that contributes as a background to the measurement. The main goal in this thesis is to achieve cathodoluminescence detection of nanostructures on glass-based substrates. For that purpose, a CL system with enhanced collection efficiency and confocal detection of the signal was developed, built and tested. The design is based on an integrated Scanning Electron and Optical Microscope, a setup that offers simultaneous correlated acquisition of the electron and light signals. Besides cathodoluminescence, other interesting applications derive from the combination of these techniques, but they are out of the scope of this thesis. Chapter 1 intends to give general introduction to cathodoluminescence as a microscopy analysis tool. First we discuss its generation principle: considering the excitation and emission mechanisms, electron-hole recombination, transition radiation and surface plasmon polariton radiative outcoupling are identified as the main CL sources in the structures investigated in this thesis. In bulk samples, the emission is not restricted to the nanometer size spot where the incoming electron beam is focused, but it extends to a region that spreads below it, where electrons scatter and interact with the host material. Cathodoluminescence is potentially generated throughout this volume, the size of which increases dramatically with the electron beam energy. Therefore it should be considered as an extended excitation, although its size can be modulated by a spatially confined generation yield. Most of the CL setups are incorporated in the vacuum chamber of an electron microscope, where the light collector is a parabolic mirror placed on top of the sample. The advantages, challenges and improvement examples of these standard setups are discussed. An overview of applications in cell and molecular biology, geosciences and nanophotonics emphasizes the increasing interest on applying the technique at the nanometer regime. The chapter ends by summarizing the main challenges that cathodoluminescence microscopy encounters for successful imaging of nanostructures on glass, which define the design criteria for our setup. The system details are presented in chapter 2: a brief description of the integrated electron light microscope functionalities and the implementation of the confocal detection path are presented. Explanation of the available acquisition modes, alignment procedures and typical imaging examples serve to establish an operation routine. The effect of the pinhole can be observed by comparing unfiltered and confocal CL images on the same region of a sample. Additionally, the filtering is evaluated without using the electron beam: a laser excitation path included in the setup allows acquiring confocal fluorescence images of a sample with luminescent beads on a glass substrate, for different sizes of the pinhole diameter. Besides efficient CL detection, potential applications of the setup could include: (i) emission localization for excitations with long propagation length, (ii) simultaneous light and electron excitation, (iii) monitoring the effect of electron excitation with subsequent light microscopy, and (iv) the incorporation of light or electron pulses for time-resolved characterization. The use of low energies for the electron excitation probe is proposed in chapter 3 as a strategy to reduce the background CL contribution. This is further investigated with Monte Carlo simulations that show the dependence of the electron interaction volume on the electron beam acceleration voltage. We observe however, that to detect nanostructures with a weak cathodoluminescence signal it is necessary to increase the electron current, which in the low acceleration voltage regime may compromise the spatial resolution. With the low energy approach, individual 30nm phosphor particles are resolved and the high order resonant modes of a gold nanowire on an indium tin oxide (ITO) covered glass microscope slide are detected. For high electron energies, the substrate cathodoluminescence is too strong and overwhelms the signal. Chapter 4 demonstrates confocal filtering as an effective tool for background rejection at high acceleration voltages. The filtering achieved for a given pinhole size is estimated with simulations of the electron interaction volume and measurements of the axial intensity distribution of a phosphor nanoparticle, which acts as a point source. As an illustrative example, a series of CL confocal sections of a gold nanowire on a transparent substrate shows a contrast inversion at the plane where the nanowire is in focus. Here, the highest CL intensity is detected at the position of the structure. The need of a high resolution electron probe is evidenced by acquiring the CL spectral distribution of a gold triangle nano plate, which shows a strong sensitivity to the excitation probe position. Both of the strategies presented in this thesis, the use of low energy excitation and confocal filtering are applicable not only for transparent substrates but for any highly cathodoluminescent material. Chapter 5 explores the use of quantum dots as cathodoluminescent biological markers. In cellular biology, investigation of cellular interactions requires imaging the specific functional proteins on top of the organelles ultrastructure. Therefore, direct correlation between electron and light optical information is a key element for understanding cell function at a molecular level. Among other potential cathodoluminescent markers, quantum dots have the additional advantage that they are already routinely incorporated as bio-labels in fluorescence and consequently, many different bio functionalization possibilities are currently available. Here, we report on the cathodoluminescence detection of bio-functionalized quantum-dots embedded in cells. A high similarity between the fluorescence and cathodoluminescence signals is observed, but the cathodoluminescence signal originates from a smaller sample volume defined by the electron penetration depth. We observe a bleaching of the quantum dots emission under high electron irradiation dose, which so far prevents high magnification imaging. However, recording the fluorescence emission after incremental low dose electron irradiation reveals a complicated dependence of the emission intensity on electron dose, featuring even a regime wherein intensity slightly increases. The origin of this behavior is discussed as a charging mechanism, building on existing models that are also used to explain photo blinking, -bleaching and -brightening of fluorescence from quantum dots. The results presented support the use of cathodoluminescence as a high resolution imaging technique for optical characterization of biological systems. Finally, the main findings on the cathodoluminescence emitted from ITO-covered glass slides, the substrate through this work, are summarized in chapter 6. A dynamic behavior of the intensity and spectral distribution of the emission is observed. Cathodoluminescence measurements at different electron doses reveal a faster cathodoluminescence bleaching with increasing dose, but also the appearance and growth of a new intensity peak at a different position in the spectra. Secondary electron images of the irradiated areas suggest that deposition may be involved in this process. Additionally, experiments with different thicknesses for the ITO conductive layer point to glass as the main responsible for the background emission in our measurements. The results reinforce the importance of sample pre-exposure and confocal filtering for CL characterization at high electron energies.","cathodoluminescence; microscopy; nanostructures; optical antennas; plasmonics; scanning electron microscopy","en","doctoral thesis","","","","","","","","","Applied Sciences","Imaging Physics","","","",""
"uuid:59fc0b64-31d7-4f08-a793-a0fc016d5e7c","http://resolver.tudelft.nl/uuid:59fc0b64-31d7-4f08-a793-a0fc016d5e7c","Magnetic force microscopy: Quantitative issues in biomaterials","Passeri, D.; Dong, C.; Reggente, M.; Angeloni, L.; Barteri, M.; Scaramuzzo, F.A.; De Angelis, F.; Marinelli, F.; Antonelli, F.; Rinaldi, F.; Marianecci, C.; Carafa, M.; Sorbo, A.; Sordi, D.; Arends, I.W.C.E.; Rossi, M.","","2014","Magnetic force microscopy (MFM) is an atomic force microscopy (AFM) based technique in which an AFM tip with a magnetic coating is used to probe local magnetic fields with the typical AFM spatial resolution, thus allowing one to acquire images reflecting the local magnetic properties of the samples at the nanoscale. Being a well established tool for the characterization of magnetic recording media, superconductors and magnetic nanomaterials, MFM is finding constantly increasing application in the study of magnetic properties of materials and systems of biological and biomedical interest. After reviewing these latter applications, three case studies are presented in which MFM is used to characterize: (i) magnetoferritin synthesized using apoferritin as molecular reactor; (ii) magnetic nanoparticles loaded niosomes to be used as nanocarriers for drug delivery; (iii) leukemic cells labeled using folic acid-coated core-shell superparamagnetic nanoparticles in order to exploit the presence of folate receptors on the cell membrane surface. In these examples, MFM data are quantitatively analyzed evidencing the limits of the simple analytical models currently used. Provided that suitable models are used to simulate the MFM response, MFM can be used to evaluate the magnetic momentum of the core of magnetoferritin, the iron entrapment efficiency in single vesicles, or the uptake of magnetic nanoparticles into cells.","magnetic force microscopy; magnetic nanoparticle; ferritin; magnetoferritin; vesicle; niosome; drug delivery; cell labelling; leukemia cell; folic acid receptor","en","journal article","Landes Bioscience","","","","","","","","Applied Sciences","ChemE/Chemical Engineering","","","",""
"uuid:c111b4c2-9c4c-462c-9702-d87707b9f0f7","http://resolver.tudelft.nl/uuid:c111b4c2-9c4c-462c-9702-d87707b9f0f7","Determination of line edge roughness in low-dose top-down scanning electron microscopy images","Verduin, T.; Kruit, P.; Hagen, C.W.","","2014","We investigated the off-line metrology for line edge roughness (LER) determination by using the discrete power spectral density (PSD). The study specifically addresses low-dose scanning electron microscopy (SEM) images in order to reduce the acquisition time and the risk of resist shrinkage. The first attempts are based on optimized elliptic filtering of noisy experimental SEM images, where we use threshold-based peak detection to determine the edge displacements. The effect of transversal and longitudinal filterings cannot be ignored, even when considering an optimized filter strength. We subsequently developed a method to detect the edge displacements without the use of a filter and thus avoiding biasing. This makes it possible to study how much image noise is acceptable and still determine the LER. The idea is to generate random images of line edges using the model of Palasantzas and the algorithm of Thorsos. We study the simulated PSDs as a function of the number of line edges and report on the convergence of the parameters (LER, correlation length, and roughness exponent) by fitting the Palasantzas model extended with a white noise term. This study demonstrates that a very noisy image with 12 line edges and about 2 electrons per pixel on average (charge density ?10???C) already produces an estimation for LER with a relative error (one-sigma) of about 10%. Furthermore, increasing the dose beyond 20 electrons per pixel does not significantly improve the LER determination.","critical dimension-scanning electron microscopy metrology; line edge roughness; power spectral density","en","journal article","SPIE","","","","","","","","Applied Sciences","Imaging Physics","","","",""
"uuid:def77cc7-8525-4a0f-850d-f19c3e3622a2","http://resolver.tudelft.nl/uuid:def77cc7-8525-4a0f-850d-f19c3e3622a2","Architecture and applications of a high resolution gated SPAD image sensor","Burri, S.; Maruyama, Y.; Michalet, X.; Regazzoni, F.; Bruschini, C.; Charbon, E.","","2014","We present the architecture and three applications of the largest resolution image sensor based on single-photon avalanche diodes (SPADs) published to date. The sensor, fabricated in a high-voltage CMOS process, has a resolution of 512 × 128 pixels and a pitch of 24 ?m. The fill-factor of 5% can be increased to 30% with the use of microlenses. For precise control of the exposure and for time-resolved imaging, we use fast global gating signals to define exposure windows as small as 4 ns. The uniformity of the gate edges location is ?140 ps (FWHM) over the whole array, while in-pixel digital counting enables frame rates as high as 156 kfps. Currently, our camera is used as a highly sensitive sensor with high temporal resolution, for applications ranging from fluorescence lifetime measurements to fluorescence correlation spectroscopy and generation of true random numbers.","photon counting; detectors; arrays; imaging ultrafast phenomena; imaging systems; fluorescence microscopy; photodetectors","en","journal article","Optical Society of America","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:d72a52b9-241b-466b-a658-a740137fb736","http://resolver.tudelft.nl/uuid:d72a52b9-241b-466b-a658-a740137fb736","Structural tale of two novel (Cr,Mn)C carbides in steel","Tirumalasetty, G.K.; Fang, C.M.; Jansen, J.; Yokosawa, T.; Boeije, M.J.F.; Sietsma, J.; Van Huis, M.A.; Zandbergen, H.W.","","2014","Chromium (Cr), Manganese (Mn), and Carbon (C) are well known alloying elements used in technologically important alloy steels and advanced high strength steels. It is known that binary CrCx and MnCx carbides can be formed in steels, but in this study we reveal for the first time that Cr and Mn were found combined in novel ternary cementite type (Cr,Mn)C carbides. Electron diffraction experiments showed that Cr, Mn, and C have formed two distinct carbide phases possessing orthorhombic and monoclinic crystal structures. Density functional theory (DFT) calculations were performed on these phases and excellent agreement was found between calculations and experiments on the lattice parameters and relative atomic positions. The calculations showed that the combination of Mn and Cr has resulted in a very high thermodynamic stability of the (Cr,Mn)C carbides, and that local structural relaxations are associated with carbon additions. Possible implications of these ternary carbides for novel applications in steel design and manufacturing are discussed.","(Cr,Mn)C carbides; steel; transmission electron microscopy; electron diffraction; first principles calculations","en","journal article","","","","","","","","","Applied Sciences","Quantum Nanoscience","","","",""
"uuid:133b9bc3-86a0-4e35-b85c-6abcbf41a963","http://resolver.tudelft.nl/uuid:133b9bc3-86a0-4e35-b85c-6abcbf41a963","High-throughput parallel SPM for metrology, defect, and mask inspection","Sadeghian Marnani, H.; Herfst, R.W.; Van den Dool, T.C.; Crowcombe, W.E.; Winters, J.; Kramer, G.F.I.J.","","2014","Scanning probe microscopy (SPM) is a promising candidate for accurate assessment of metrology and defects on wafers and masks, however it has traditionally been too slow for high-throughput applications, although recent developments have significantly pushed the speed of SPM [1,2]. In this paper we present new results obtained with our previously presented high-throughput parallel SPM system [3,4] that showcase two key advances that are required for a successful deployment of SPM in high-throughput metrology, defect and mask inspection. The first is a very fast (up to 40 lines/s) image acquisition and a comparison of the image quality as function of speed. Secondly, a fast approach method: measurements of the scan-head approaching the sample from 0.2 and 1.0 mm distance in under 1.4 and 6 seconds respectively.","Scanning Probe Microscopy; defect inspection; high-throughput metrology; mask inspection","en","conference paper","SPIE","","","","","","","","Mechanical, Maritime and Materials Engineering","Precision and Microsystems Engineering","","","",""
"uuid:59fab6c5-3e75-4bcb-b477-0dc644c2ca91","http://resolver.tudelft.nl/uuid:59fab6c5-3e75-4bcb-b477-0dc644c2ca91","Differences in Nuclear DNA Organization Between Lymphocytes, Hodgkin and Reed–Sternberg Cells Revealed by Structured Illumination Microscopy","Righolt, C.H.; Guffei, A.; Knecht, H.; Young, I.T.; Stallinga, S.; Van Vliet, L.J.; Mai, S.","","2014","Advances in light microscopy have enabled the visualization of DNA in the interphase nucleus with more detail than is visible with conventional light microscopy. The nuclear architecture is assumed to be different in cancer cells compared to normal cells. In this paper we have studied, for the first time, the organization of nuclear DNA and that of DNA-free space in control lymphocytes, Hodgkin cells and Reed–Sternberg cells using 3D structured illumination microscopy (SIM). We have observed detail in these SIM images that was not observed in conventional widefield images. We have measured the size distribution of the DNA structure using granulometry and noted a significant, progressive increase in the amount of sub-micron structures from control lymphocytes to Hodgkin cells to Reed–Sternberg cells. The DNA-free space changes as well; “holes” in the DNA distribution start to appear in the malignant cells. We have studied whether these “holes” are nucleoli by staining for upstream binding factor (UBF), a protein associated with the nucleolus. We have found that the relative UBF content progressively and significantly decreases—or is absent—in the DNA-free space when measured as either the Pearson correlation coefficient with the DNA-free space or as the number of “holes” that contain UBF. Similar differences exist within the population of Reed–Sternberg cells between binucleated and multinucleated cells with four or more subnuclei. To our knowledge, this is the first study that investigates the changes of the nuclear DNA structure in any disease with superresolution light microscopy. J. Cell. Biochem. 115: 1441–1448, 2014. © 2014 The Authors. Journal of Cellular Biochemistry published by Wiley Periodicals, Inc. This is an open access article under the terms of the Creative Commons Attribution-NonCommercial-NoDerivs License, which permits use and distribution in any medium, provided the original work is properly cited, the use is non-commercial and no modifications or adaptations are made.","structured illumination microscopy; nuclear architecture; quantitative microcopy; Hodgkin's lymphoma","en","journal article","Wiley","","","","","","","","Applied Sciences","ImPhys/Imaging Physics","","","",""
"uuid:b9cf2755-d68c-4adc-80ce-5e5e2b4c3cdc","http://resolver.tudelft.nl/uuid:b9cf2755-d68c-4adc-80ce-5e5e2b4c3cdc","Optimization-based wavefront sensorless adaptive optics for multiphoton microscopy","Antonello, J.; Van Werkhoven, T.; Verhaegen, M.; Truong, H.H.; Keller, C.U.; Gerritsen, H.C.","","2014","Optical aberrations have detrimental effects in multiphoton microscopy. These effects can be curtailed by implementing model-based wavefront sensorless adaptive optics, which only requires the addition of a wavefront shaping device, such as a deformable mirror (DM) to an existing microscope. The aberration correction is achieved by maximizing a suitable image quality metric. We implement a model-based aberration correction algorithm in a second-harmonic microscope. The tip, tilt, and defocus aberrations are removed from the basis functions used for the control of the DM, as these aberrations induce distortions in the acquired images. We compute the parameters of a quadratic polynomial that is used to model the image quality metric directly from experimental input–output measurements. Finally, we apply the aberration correction by maximizing the image quality metric using the least-squares estimate of the unknown aberration.","active or adaptive optics; wave-front sensing; aberration compensation; imaging through turbid media; scanning microscopy; nonlinear microscopy","en","journal article","Optical Society of America","","","","","","","","Mechanical, Maritime and Materials Engineering","Delft Center for Systems and Control","","","",""
"uuid:260ba5ca-3a8d-468d-8c83-9c1af6515e0d","http://resolver.tudelft.nl/uuid:260ba5ca-3a8d-468d-8c83-9c1af6515e0d","Simultaneous measurement of position and color of single fluorescent emitters using diffractive optics","Broeken, J.; Rieger, B.; Stallinga, S.","","2014","We propose a method for simultaneously measuring the position and emission color of single fluorescent emitters based on the use of a large pitch diffraction grating in the emission light path. The grating produces satellite spots adjacent to the main spot; the relative distance between the spots is a measure for the emission wavelength. We present proof-of-principle experiments on beads and mixtures of quantum dots using a spatial light modulator for making a programmable diffraction grating. A wavelength precision of around 10 nm can be achieved for 1000 signal photons and practical background levels, while maintaining a localization precision of around 10 nm.","fluorescence microscopy; superresolution; diffraction gratings; spatial light modulators; spectroscopy","en","journal article","Optical Society of America","","","","","","","","Applied Sciences","ImPhys/Imaging Physics","","","",""
"uuid:56253974-e017-498e-8e45-3b5f4f63f682","http://resolver.tudelft.nl/uuid:56253974-e017-498e-8e45-3b5f4f63f682","Coherent Fourier scatterometry for detection of nanometer-sized particles on a planar substrate surface","Roy, S.; Assafrao, A.C.; Pereira, S.F.; Urbach, H.P.","","2014","Inspection tools for nano-particle contamination on a planar substrate surface is a critical problem in micro-electronics. The present solutions are either expensive and slow or inexpensive and fast but have low sensitivity because of limitations due to diffraction. Most of them are also substrate specific. In this article we report how Coherent Fourier Scatterometry is used for detection of particles smaller than ?/4. Merits of the technique, especially, the procedures to improve SNR, its flexibility and its robustness on rough surfaces are discussed with simulated and experimental results.","optical inspection; Fourier optics and signal processing; scattering measurements; scanning microscopy","en","journal article","Optical Society of America","","","","","","","","Applied Sciences","ImPhys/Imaging Physics","","","",""
"uuid:22eb97cf-05d9-4444-816f-4dd6459ec0ad","http://resolver.tudelft.nl/uuid:22eb97cf-05d9-4444-816f-4dd6459ec0ad","Atomic Resolution Monitoring of Cation Exchange in CdSe-PbSe Heteronanocrystals during Epitaxial Solid?Solid?Vapor Growth","Yalcin, A.O.; Fan, Z.; Goris, B.; Li, W.F.; Koster, R.S.; Fang, C.M.; Van Blaaderen, A.; Casavola, M.; Tichelaar, F.D.; Bals, S.; Van Tendeloo, G.; Vlugt, T.J.H.; Vanmaekelbergh, D.; Zandbergen, H.W.; Van Huis, M.A.","","2014","Here, we show a novel solid?solid?vapor (SSV) growth mechanism whereby epitaxial growth of heterogeneous semiconductor nanowires takes place by evaporation-induced cation exchange. During heating of PbSe-CdSe nanodumbbells inside a transmission electron microscope (TEM), we observed that PbSe nanocrystals grew epitaxially at the expense of CdSe nanodomains driven by evaporation of Cd. Analysis of atomic-resolution TEM observations and detailed atomistic simulations reveals that the growth process is mediated by vacancies.","colloidal nanocrystals; cation exchange; molecular dynamics; Density Functional Theory; In Situ Transmission Electron Microscopy","en","journal article","American Chemical Society","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:d6b0790a-e154-4bb4-97bb-7d2069bfb43e","http://resolver.tudelft.nl/uuid:d6b0790a-e154-4bb4-97bb-7d2069bfb43e","Controlled Environment Specimen Transfer","Damsgaard, C.D.; Zandbergen, H.W.; Hansen, T.W.; Chorkendorff, I.; Wagner, J.B.","","2014","Specimen transfer under controlled environment conditions, such as temperature, pressure, and gas composition, is necessary to conduct successive complementary in situ characterization of materials sensitive to ambient conditions. The in situ transfer concept is introduced by linking an environmental transmission electron microscope to an in situ X-ray diffractometer through a dedicated transmission electronmicroscope specimen transfer holder, capable of sealing the specimen in a gaseous environment at elevated temperatures. Two catalyst material systems have been investigated; Cu/ZnO/Al2O3 catalyst for methanol synthesis and a Co/Al2O3 catalyst for Fischer–Tropsch synthesis. Both systems are sensitive to ambient atmosphere as they will oxidize after relatively short air exposure. The Cu/ZnO/ Al2O3 catalyst, was reduced in the in situ X-ray diffractometer set-up, and subsequently, successfully transferred in a reactive environment to the environmental transmission electron microscope where further analysis on the local scale were conducted. The Co/Al2O3 catalyst was reduced in the environmental microscope and successfully kept reduced outside the microscope in a reactive environment. The in situ transfer holder facilitates complimentary in situ experiments of the same specimen without changing the specimen state during transfer.","specimen holder; in situ; high-resolution; environmental TEM; gas reaction; microscopy; catalysis; specimen transfer","en","journal article","Cambridge University Press","","","","","","","2015-05-14","Applied Sciences","Quantum Nanoscience","","","",""
"uuid:a8912418-8207-4d6b-b0be-9996c71fcde9","http://resolver.tudelft.nl/uuid:a8912418-8207-4d6b-b0be-9996c71fcde9","Three-dimensional structured illumination microscopy using Lukosz bound apodization reduces pixel negativity at no resolution cost","Righolt, C.H.; Mai, S.; Van Vliet, L.J.; Stallinga, S.","","2014","The quality of the reconstructed image in structured illumination microscopy (SIM) depends on various aspects of the image filtering process. To optimize the trade-off between resolution and ringing artifacts, which lead to negative intensities, we extend Lukosz-bound filtering to 3D SIM and derive the parametrization of the 3D SIM cut-off. We compare the use of the Lukosz-bound as apodization filter to triangular apodization and find a tenfold reduction in the most negative pixel value with a minimal resolution loss. We test this algorithm on experimental SIM images of tubulin filaments and DAPI stained DNA structure in cancer cells and find a substantial reduction in the most negative pixel value and the percentage of pixels with a negative value. This means that there is no longer a need to clip the final image to avoid these negative pixel values.","frequency filtering; deconvolution; superresolution; noise in imaging systems; fluorescence microscopy; OA-Fund TU Delft","en","journal article","Optical Society of America","","","","","","","","Applied Sciences","ImPhys/Imaging Physics","","","",""
"uuid:117e0ecc-c368-4c37-8a0d-78e52e96c86e","http://resolver.tudelft.nl/uuid:117e0ecc-c368-4c37-8a0d-78e52e96c86e","Snapshot coherence-gated direct wavefront sensing for multi-photon microscopy","Van Werkhoven, T.I.M.; Antonello, J.; Truong, H.H.; Verhaegen, M.; Gerritsen, H.C.; Keller, C.U.","","2014","Deep imaging in turbid media such as biological tissue is challenging due to scattering and optical aberrations. Adaptive optics has the potential to compensate the tissue aberrations. We present a wavefront sensing scheme for multi-photon scanning microscopes using the pulsed, near-infrared light reflected back from the sample utilising coherence gating and a confocal pinhole to isolate the light from a layer of interest. By interfering the back-reflected light with a tilted reference beam, we create a fringe pattern with a known spatial carrier frequency in an image of the back-aperture plane of the microscope objective. The wavefront aberrations distort this fringe pattern and thereby imprint themselves at the carrier frequency, which allows us to separate the aberrations in the Fourier domain from low spatial frequency noise. A Fourier analysis of the modulated fringes combined with a virtual Shack-Hartmann sensor for smoothing yields a modal representation of the wavefront suitable for correction. We show results with this method correcting both DM-induced and sample-induced aberrations in rat tail collagen fibres as well as a Hoechst-stained MCF-7 spheroid of cancer cells.","active or adaptive optics; aberrations; imaging through turbid media; nonlinear microscopy; tissue characterization; index measurements","en","journal article","Optical Society of America","","","","","","","","Mechanical, Maritime and Materials Engineering","Delft Center for Systems and Control","","","",""
"uuid:4f83a8c0-29ae-4833-b0e2-75ceeabeb12c","http://resolver.tudelft.nl/uuid:4f83a8c0-29ae-4833-b0e2-75ceeabeb12c","Dynamics of Precursor Films: Experiment and Theory","Franken, M.J.Z.","Westerweel, J. (promotor)","2014","Despite the fact that moving droplets are very common, a moving contact line is a longstanding fundamental challenge in the field of fluid mechanics. This fundamental challenge is the main topic of this thesis in the context of ASML immersion lithography equipment. In Chapter 2 an overview of existing theoretical and experimental work is given, that focuses on the mechanism of singularity removal in case of a moving contact line. In order to get more insight in this mechanism, it was proposed to study the nanoscale region of a contact line while it is moving. In this region van der Waals forces come into play and the contact line is referred to as a precursor film. Two types of precursor films exist, namely adiabatic and diffusive, which are present in case of a moving or non-moving contact line respectively. The current experimental results demonstrate the existence of a precursor film, but remain qualitative only in case of a moving precursor film. Hence, there is no adequate comparison of experimental results with the complete theory. Furthermore, conflicting results exist regarding the existence of a precursor film in a partial wetting situation. Nevertheless, a good understanding of the behavior of precursor films in the case of complete wetting is present, and the experimental results for the diffusive precursor film are in good agreement with theory. However, there is no experimental data regarding (the film profile of) adiabatic precursor films. This is related to the inherent difficulty of probing the region of a precursor film due to the great disparity of length and time scales involved. Moreover, understanding the behavior of adiabatic films is the key to understanding moving contact lines. Therefore, a measurement technique with sufficient spatial as well as temporal resolution is developed to probe this region. Chapter 3 describes Total Internal Reflection Fluorescence Microscopy (TIRFM), which formed the basis for the developed measurement technique. TIRFM is an advanced microscopy technique that limits the illumination to a very thin layer at the substrate by using an evanescent wave. This offers an unprecedented signal-to-noise ratio since only the fluorescent particles or fluorophores within the penetration depth of the evanescent wave are producing signal towards the detector. Furthermore, the experimental setup is designed and built to accurately measure the precursor film profile while it is moving.","precursor films; contact line dynamics; total internal reflection fluorescence microscopy; TIRFM","en","doctoral thesis","","","","","","","","","Mechanical, Maritime and Materials Engineering","Process and Energy","","","",""
"uuid:d6d0698c-8961-414e-9818-220ee08647e6","http://resolver.tudelft.nl/uuid:d6d0698c-8961-414e-9818-220ee08647e6","Solid-State Camera System for Fluorescence Lifetime Microscopy","Zhao, Q.","Young, I.T. (promotor)","2014","Fluorescence microscopy is a well-established platform for biology and biomedical research (Chapter 2). Based on this platform, fluorescence lifetime imaging microscopy (FLIM) has been developed to measure fluorescence lifetimes, which are independent of fluorophore concentration and excitation intensity and offer more information about the physical and chemical environment of the fluorophore (Chapter 3). The frequency domain FLIM technique offers fast acquisition times required for dynamic processes at the sub-cellular level. A conventional frequency-domain FLIM system employs a CCD camera and an image intensifier, the gain of which is modulated at the same frequency as the light source with a controlled phase shift (time delay). At the moment these systems, based on modulated image intensifiers, have disadvantages such as high cost, low image quality (distortions, low resolution), low quantum efficiency, prone to damage by overexposure, and require high voltage sources and RF amplifiers. These disadvantages complicate the visualization of small sub-cellular organelles that could provide valuable fundamental information concerning several human diseases (Chapter 3 and 4). In order to characterize the constraints involved in current fluorescent microscope systems that are used for lifetime as well as intensity measurements and to design and fabricate new systems, we have constructed a mathematical model to analyze the photon efficiency of frequency-domain fluorescence lifetime imaging microscopy (FLIM) (Chapter 5). The power of the light source needed for illumination in a FLIM system and the signalto-noise ratio (SNR) of the detector have led us to a photon “budget”. A light source of only a few milliWatts is sufficient for a FLIM system using fluorescein as an example. For every 100 photons emitted, around one photon will be converted to a photoelectron, leading to an estimate for the ideal SNR for one fluorescein molecule in an image as 5 (14 dB). We have performed experiments to validate the parameters and assumptions used in the mathematical model. The transmission efficiencies of the lenses, filters, and mirrors in the optical chain can be treated as constant parameters. The Beer-Lambert law is applicable to obtain the absorption factor in the mathematical model. The Poisson distribution assumption used in deducing the SNR is also valid. We have built compact FLIM systems based on new designs of CCD image sensors that can be modulated at the pixel level. Two different designs: the horizontal toggled MEM-FLIM1 camera and vertical toggled MEM-FLIM2 camera are introduced (Chapter 6). By using the camera evaluation techniques described in Chapter 7, these two versions of the MEM-FLIM systems are extensively studied and compared to the conventional image intensifier based FLIM system (Chapter 8). The low vertical charge transport efficiency limited the MEM-FLIM1 camera to perform lifetime experiments, however, the MEM-FLIM2 camera is a success. The MEM-FLIM2 camera not only gives comparable lifetime results with the reference intensifier based camera, but also shows a much better image quality and reveals more detailed structures in the biological samples. The novel MEM-FLIM systems are able to shorten the acquisition time since they allows recording of two phase images at once. The MEM-FLIM2 camera is, however, not perfect. It can only be modulated at a single frequency (25 MHz) and requires that the light source be switched off during readout due to an aluminum mask that had a smaller area than intended. A redesign of the architecture based on the vertical toggling concept leads to the MEM-FLIM3 camera (Chapter 9). Several improvements have been made in the sensor design for the MEMFLIM3 camera, such as higher fill factor, greater number of pixels etc. The MEM-FLIM3 camera is able to operate at higher frequencies (40, 60 and 80 MHz) and has an option for electron multiplication. Evaluations of this updated MEM-FLIM system are presented (Chapter 10). The images obtained from the MEM-FLIM3 camera at 20 and 40 MHz can be used directly for the lifetime calculation and the obtained lifetimes are comparable with the ones from the reference camera. There are, however, differences in the even and odd columns (20 MHz) and four image sections (40 MHz) for the intensity and lifetime images. For higher frequencies (60 and 80 MHz) calibrations are needed before calculating lifetimes. The lifetimes derived from the modulation depth after the calibrations are in a reasonable range while the lifetime derived from the phase cannot be used. At 60 and 80 MHz we can use one phase register from the MEM-FLIM3 camera for the lifetime calculation, the same way the reference camera operates. The lifetimes obtained by this method from the MEM-FLIM3 at 60 and 80 MHz are comparable with the ones from the reference camera. The MEM-FLIM3 camera also has an electron multiplication feature for low-light experimental condition. We could get approximately 500 times multiplication. Lifetime measurement using the EM function, however, has not been tested due to the limitation of the project time.","fluorescence lifetime imaging microscopy; camera; modulated; frequency-domain","en","doctoral thesis","","","","","","","","","Applied Sciences","Imaging Science & Technology","","","",""
"uuid:7f067ad4-89d5-48cc-ba09-b9c990497737","http://resolver.tudelft.nl/uuid:7f067ad4-89d5-48cc-ba09-b9c990497737","Plasmon-enhanced four-wave mixing by nanoholes in thin gold films","Hagman, H.; Bäcke, O.; Kiskis, J.; Svedberg, F.; Jonsson, M.P.; Höök, F.; Enejder, A.","","2014","Nonlinear plasmonics opens up for wavelength conversion, reduced interaction/emission volumes, and nonlinear enhancement effects at the nanoscale with many compelling nanophotonic applications foreseen. We investigate nonlinear plasmonic responses of nanoholes in thin gold films by exciting the holes individually with tightly focused laser beams, employing a degenerated pump/probe and Stokes excitation scheme. Excitation of the holes results in efficient generation of both narrowband four-wave mixing (FWM) and broadband multiphoton excited luminescence, blueshifted relative to the excitation beams. Clear enhancements were observed when matching the pump/probe wavelength with the hole plasmon resonance. These observations show that the FWM generation is locally excited by nanoholes and has a resonant behavior primarily governed by the dimensions of the individual holes.","plasmonics; subwavelength structures, nanostructures; nonlinear microscopy; four-wave mixing","en","journal article","Optical Society of America","","","","","","","","Applied Sciences","BN/Bionanoscience","","","",""
"uuid:33ff5f84-7100-407a-b387-2623e0954ae9","http://resolver.tudelft.nl/uuid:33ff5f84-7100-407a-b387-2623e0954ae9","In-situ TEM Studies: Heat-treatment and Corrosion","Malladi, S.R.K.","Zandbergen, H.W. (promotor)","2014","Transmission electron microscopy (TEM) has been well known as a powerful characterisation tool to understand the structure and composition of various materials down to the atomic level. Over the years, several TEM studies have been carried out to understand the compositional, structural and morphological changes a material undergoes as a consequence of an external stimulus (thermal, environmental, electrical as well as mechanical) post mortem. With the recent advancements in the areas of TEM and microelectronics, it is now possible to integrate the external stimuli in the TEM, making it possible to carry out in situ TEM studies. In the present study, we have used microelectromechanical system (MEMS) based devices to investigate heat-treatment and corrosion in situ in a commercial aluminium alloy, AA 2024-T3. Aluminium alloys go through several thermal and mechanical treatments before a final product is formed and during these processes, they undergo a complex compositional and structural evolution at the atomic level which in turn influences their properties like mechanical strength and resistance to corrosion. One of the common microstructural features of most of the commercial alloys is the formation of numerous nanometre sized second phase particles, known as intermetallic precipitates during heat-treatment. By investigating different samples taken at intermediate stages during the heat-treatment of bulk samples, a sequence of precipitation and its influence on the mechanical properties has been established. However, a link between all these stages by investigating the same location in one sample as a function of time and temperature is missing. In this study, using in situ heating in a high-resolution scanning transmission electron microscope (STEM), we have investigated the three-dimensional compositional and structural evolution of metal alloys during heat treatments, revealing in unparalleled detail where and how precipitates nucleate, grow or dissolve. The next part of the study is related to the influence of the nanoscale intermetallic particles on the corrosion behaviour of aluminium alloys. Due to the differences in the electrochemical potentials between the intermetallic phases and the aluminium matrix, most of the commercial aluminium alloys are highly susceptible to a localised corrosive attack. This phenomenon has been well investigated by quite a few ex situ electrochemical methods combined with analytical microscopic techniques. Here, we investigate the corrosion in situ in a gas-liquid-material system using a functional MEMS device called nanoreactor. As there have been no TEM studies investigating electrochemical corrosion of aluminium alloys in situ in a TEM, we have decided to investigate the well-studied AA2024-T3 alloy system to validate our approach. In order to determine a suitable experimental window prior to the in situ TEM studies, we have carried out ex situ and quasi in situ corrosion studies on conventional TEM specimens. Using analytical TEM studies like electron energy loss spectroscopy (EELS), energy filtered TEM (EFTEM) and energy dispersive spectroscopy of X-rays (EDX), we observe that oxygen bubbled through aqueous HCl is a suitable environment for carrying out in situ corrosion experiments in the TEM at room temperature. Using these conditions, we have investigated the initiation of localised corrosive attack in AA2024-T3. Finally, using our quasi in situ approach, we have also carried out some preliminary investigations on understanding the corrosion inhibition mechanisms of Ce-based inhibitors. The in situ TEM heat-treatment and analytical techniques used in this study are expected to accelerate investigations on new alloy compositions suggested by computational methods. Environmental TEM studies using the nanoreactor can be extended to investigate microstructural and morphological changes during chemical reactions in various gas-liquid-material systems on the nanoscale, combined with the influence of temperature. Therefore, this study expands the scope of TEM as not just a characterisation tool, but also as a laboratory to carry out many interesting in situ experiments on the nanoscale.","Transmission Electron Microscopy; in situ; heat-treatment; corrosion; aluminium alloys","en","doctoral thesis","","","","","","","","","Applied Sciences","Quantum Nanoscience","","","",""
"uuid:e0652b32-25bb-440f-b8f9-f4e06b10a148","http://resolver.tudelft.nl/uuid:e0652b32-25bb-440f-b8f9-f4e06b10a148","In situ TEM and STEM studies of reversible electromigration in thin palladium–platinum bridges","Kozlova, T.; Rudneva, M.; Zandbergen, H.W.","","2013","We investigated the reversible electromigration in Pd–Pt nanobridges by means of in situ electron microscopy. Real-time nanometer-scale imaging with scanning transmission electron microscopy was used to determine the material transport. For high current densities (3–5 × 107 A cm?2), material transport occurs from the cathode towards the anode side, indicating a negative effective charge. The electromigration is dominated by atom diffusion at grain boundaries on the free surface. The reversal of material transport upon a change of the electric field direction could be the basis of a memristor.","electromigration; in situ transmission electron microscopy; mass-thickess contrast; critical current density","en","journal article","IOP Publishing","","","","","","","2014-11-22","Applied Sciences","Quantumnanoscience","","","",""
"uuid:ef8b0473-d699-4844-9521-855f3ff8fb71","http://resolver.tudelft.nl/uuid:ef8b0473-d699-4844-9521-855f3ff8fb71","Ultra thin films for sensing and heating of microprobes","Gaitas, A.","French, P.J. (promotor)","2013","This dissertation aims to advance the current state of cantilevers with integrated metal thermal and deflection sensing elements. Metallic sensing elements enable the use of alternative substrate materials (such as polymers), that tend to exhibit higher compliance properties and are more robust (less brittle) compared to Si or Si3N4 cantilevers. To this end, the research consists of exploring the properties of thin films with thicknesses of 100 nm or less and studying a number of applications in thermal sensing, micro-heating, and deflection sensing. In order to achieve these goals three fabrication processes for microcantilevers were developed. The minimum detectable temperature change of a cantilever with the 10 nm gold thermal sensing element was measured at 0.4 K, corresponding to 17 ppm changes in probe resistance. Finite element analysis simulations indicate a strong correlation between thermal probe sensitivity and probe tip curvature, suggesting that the sensitivity of the thermal probe can be improved by increasing the probe tip curvature, though at the expense of the spatial resolution provided by sharper tips. Simulations also indicated that new designs such as a bow-tie metallization design could yield an additional 5- to 7-fold increase in sensitivity. The gauge factor of the thin film is enhanced to 3.24 for a 10 nm gold sensor and 4.1 for the 5 nm gold sensor doubling that of bulk gold. The sensors on silicon cantilevers exhibited large dynamic range of tens of microns and were used to measure: the mechanical properties of materials, the melting points of materials, topographical imaging, and high throughput measurements. Moving nano-heater were used to direct chemical vapor deposition reactions (nano-CVD) demonstrating a tip-based nanofabrication (TBN) method. The silicon cantilevers with embedded thin film heaters were used for localized nano-CVD to grow copper (Cu) and copper oxide (CuO) from gases. Polyimide cantilevers with thin metallic sensing elements were coated with colorimetric sensing material and used for explosive detection enhancing the sensitivity by 30x compared to what was previously found when the colorimetric sensing material was used alone. Metal thin films on cantilevers with thicknesses <10 nm exhibited temperature coefficients approaching 0.95%/K demonstrating that these devices can be used as bolometers. In addition to the micromachined devices developed and the new findings in terms of enhanced performance, simple scanning systems and new methods of characterizing thermal probes were developed. These new systems include a calibration sample consisting of a 1 µm-wide gold wire, which can be heated electrically by a small bias current. The Joule heating in the calibration sample wire is characterized using noise thermometry and then the thermal probe is scanned in contact over the gold wire measuring temperature changes.","cantilevers; microcantilevers; thermal sensing; micro-heating; deflection sensing; calibration; strain gauge; melting point; topographical imaging; high throughput; nano-heater; hemical vapor deposition; nano-CVD; tip-based nanofabrication; explosive detection; bolometer; micromachined devices; MEMS; NEMS; noise thermometry; AFM; SPM; atomic force microscopy; scanning probe microscopy; SThM; scanning thermal microscopy","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Micro-electronics and Computer Engineering","","","",""
"uuid:87977a26-a65c-43e2-b5fa-66ffd4d0c801","http://resolver.tudelft.nl/uuid:87977a26-a65c-43e2-b5fa-66ffd4d0c801","In Situ transmission electron microscopy imaging of electromigration in platinum nanowires","Rudneva, M.; Gao, B.; Prins, F.; Xu, Q.; Van der Zant, H.S.J.; Zandbergen, H.W.","","2013","In situ transmission electron microscopy was performed on the electromigration in platinum (Pt) nanowires (14 nm thick, 200 nm wide, and 300 nm long) with and without feedback control. Using the feedback control mode, symmetric electrodes are obtained and the gap usually forms at the center of the Pt nanowire. Without feedback control, asymmetric electrodes are formed, and the gap can occur at any position along the wire. The three-dimensional gap geometries of the electrodes in the Pt nanowire were determined using high-angle annular dark-field scanning transmission electron microscopy; the thickness of the nanowire is reduced from 14 nm to only a few atoms at the edge with a gap of about 5–10 nm.","electromigration; transmission electron microscopy; in situ imaging","en","journal article","Cambridge University Press","","","","","","","2014-08-05","Applied Sciences","Kavli Insitute of Nanoscience","","","",""
"uuid:9c169c51-f3ff-4b51-841d-69ced77724e8","http://resolver.tudelft.nl/uuid:9c169c51-f3ff-4b51-841d-69ced77724e8","Transport through a single donor in p-type silicon","Miwa, J.A.; Mol, J.A.; Salfi, J.; Rogge, S.; Simmons, M.Y.","","2013","Single phosphorus donors in silicon are promising candidates as qubits in the solid state. Here, we present low temperature scanning probe microscopy and spectroscopy measurements of individual phosphorus dopants deliberately placed in p-type silicon ?1?nm below the surface. The ability to image individual dopants combined with scanning tunnelling spectroscopy allows us to directly study the transport mechanism through the donor. We show that for a single P donor, transport is dominated by a minority carrier recombination process with the surrounding p-type matrix. The understanding gained will underpin future studies of atomically precise mapping of donor-donor interactions in silicon.","carrier lifetime; elemental semiconductors; phosphorus; scanning probe microscopy; scanning tunnelling spectroscopy; semiconductor doping; silicon","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:f80057fc-6fa7-4c83-b5e7-3dbbcd9e9e1d","http://resolver.tudelft.nl/uuid:f80057fc-6fa7-4c83-b5e7-3dbbcd9e9e1d","Studying the healing behavior at a microsopic scale","Brancart, J.; Scheltjes, G.; Diaz, M.M.; Van Mele, B.; Van Assche, G.","","2013","Research in the field of smart materials that exhibit self-repair mechanisms has greatly expanded over the last few years. This is especially true for polymers and polymer composite materials. One class of self-healing polymer materials is the reversible polymer network systems that use dynamic covalent bonds as a means to repair sustained damage. Currently a range of different dynamic covalent bonds is considered, of which the reversible Diels-Alder chemistry has drawn the most attention. Reversible covalent bonds have been incorporated into polymer network structures based on the Diels-Alder reaction between a furan and a maleimide [1-2]. Repair of sustained damage can be established by means of heating-used selfhealing in bulk materials as well as in coating applications. The aim of this research is to study the healing mechanism and healing kinetics at the microscopic scale and to compare this healing mechanism for different polymer network structures and chemistries. The self-healing behavior is studied with local and surface analysis techniques, including Atomic Force Microscopy as an important tool. A better understanding of the healing mechanism at the microscopic level will lead to a better understanding of the macroscopic healing phenomena and ultimately to the adaptation of the polymer network structure to obtain the desired material properties, such as mechanical properties, healing conditions and additional functional properties. The research can then be extended towards self-healing polymer composites, with e.g. a reversible polymer matrix, to evaluate the recovery of the composite material properties [3].","self-healing; dynamic covalent bonds; Diels-Alder reaction,; atomic force microscopy; healing mechanism","en","conference paper","","","","","","","","","","","","","",""
"uuid:65d91c44-61d4-4074-9ebf-b5452882180f","http://resolver.tudelft.nl/uuid:65d91c44-61d4-4074-9ebf-b5452882180f","Modeling of Image Formation in Cryo-Electron Microscopy","Vulovic, M.","Van Vliet, L.J. (promotor); Koster, A.J. (promotor)","2013","Knowledge of the structure of biological specimens is crucial for understanding life. Cryo-electron microscopy (cryo-EM) permits structural studies of biological specimen at their near-native state. The research performed in this thesis represents one of two subprojects of the FOM industrial partnership program with FEI Company. The common aim is to obtain higher resolution in cryo-EM of biological specimens. Currently, the resolution is limited by: i) the noise and blurring of the detector; ii) the oscillatory and dampening character of the contrast transfer function (CTF) originating from defocusing which is employed to produce contrast; and iii) the radiation damage which limits the integrated electron flux that can be used, resulting in images with poor signal-to-noise ratio. Simulation of image formation (forward modeling) provides possibility to easily and cost-effectively investigate the influence of a certain physical parameter on the final image. The main goal of this thesis is to improve our understanding of the relevant physical processes that govern image formation and to develop a quantitative forward model. Such a model is essential for optimizing the acquisition strategy, assisting the regularization (introduction of prior information) in the 3D reconstruction, improving image interpretation, and achieving a resolution beyond the limits imposed by the oscillatory CTF. This thesis addresses the following challenges: i) construction of the electron-specimen interaction potential based on elastic and inelastic electron scattering properties and adequate description of the electron propagation through the specimen; ii) accurate estimation of the CTF parameters, in particular defocus and astigmatism and their uncertainties, iii) characterization of the detector including all relevant statistics; iv) better understanding of certain aspects of radiation damage such as specimen heating, dose-rate effects, and beam-induced movements. The validation of forward model is based on a systematic comparison between simulated and experimental images under various experimental conditions. All parameters are based on physical principles. Defocus and astigmatism as well as detector parameters are accurately estimated from independent measurements using the methods developed in this thesis. Software tools for image simulations, accurate defocus and astigmatism estimation and detector characterization have been developed and are freely available for non-commercial use. The theory and methods presented in this thesis form the essence of an expert system that would optimize the data collection strategy. Furthermore, the influence of new hardware components could be inexpensively and efficiently investigated.","image simulation; forward model; InSilicoTEM; defocus; astigmatism; phase contrast; CTF; thon rings; detective quantum efficiency; modulation transfer function; radiation damage; dose; transmission electron microscopy; interaction potential; software","en","doctoral thesis","","","","","","","","","Applied Sciences","Imaging Science & Technology","","","",""
"uuid:ea8b8910-75f8-4d7d-876d-3801f8445514","http://resolver.tudelft.nl/uuid:ea8b8910-75f8-4d7d-876d-3801f8445514","Thermo-Mechanical Fatigue of Compacted Graphite Iron in Diesel Engine Components","Ghodrat, S.","Kestens, L.A.I. (promotor); Sietsma, J. (promotor); Janssen, M. (promotor)","2013","Cast iron components in combustion engines, such as cylinder blocks and heads of trucks, are exposed for long periods of time to elevated temperatures. Moreover, the engines are started and stopped frequently during their operational life, constituting a large number of heating and cooling cycles. In geometrical complex components the sudden heating (starting the engine) and cooling (stopping the engine) lead to thermal gradients and thermal mismatch within the material, resulting in the local development of high stress levels. The many start-stop operations and their associated alternating stress levels can lead to a localized cracking phenomenon known as Thermo-Mechanical Fatigue (TMF). Compacted Graphite Iron (CGI) is a common material of choice for diesel engine cylinder heads of heavy trucks and is a type of graphitic cast iron, consisting of vermicular graphite particles embedded in a metal matrix of pearlite. This material provides a suitable combination of thermal and mechanical properties, satisfying the functional requirements of these engine components. The main aim of this research is to identify and understand the damage micro-mechanisms that control thermo-mechanical fatigue phenomena in cast iron (CGI). The acquired knowledge is of relevance for predicting the lifetime, improving the properties and increasing the reliability of diesel truck cylinder heads. The work of this study can roughly be categorized into three main subjects: (i) Microstructural evolutions of CGI at elevated temperatures, (ii) TMF crack growth characterization and (iii) precise microstructural analysis of the TMF-crack path. Microstructural Evolutions of CGI at Elevated Temperatures In a first series of experiments, time and temperature induced microstructural changes in CGI were characterized, in view of their possible role in the TMF behavior of CGI. During open air annealing of CGI at 420 °C microstructural changes take place in the material, which gave rise to volume expansion and weight increase. The weight increase can be explained by considering the formation of an oxide scale whereas the volume expansion can be attributed to the decomposition of pearlite into ferrite and graphite. It was observed that the atmosphere is of crucial importance in this process. Annealing in an open-air atmosphere produced ten times less volume expansion as compared to annealing in vacuum conditions. Internal oxidation was observed during annealing under atmospheric conditions and the presence of an internal oxidation layer largely inhibited the progress of pearlite decomposition. The observed oxide layers at the internal metal/vapour interface of cavities (left behind by denuded graphite) cause the obstruction of carbon diffusion and thus the suppression of the pearlite decomposition process. In addition it was found that the depth of the oxidized zone near the surface (the oxide penetration depth) was of the same order of magnitude as the eutectic cell size, i.e. the volume in which graphite particles are interconnected. This suggests that the interconnectivity of the graphite has a dominant influence on the kinetics of the oxidation process. The microstructural dependence of tensile and fatigue properties of CGI at room temperature were evaluated by an extended annealing treatment of 720 h at 420 °C. This extended annealing treatment leads to better tensile (increase in yield strength, ductility) and dynamic properties (fatigue lifetime) at room temperature. The variations of mechanical properties were observed both after annealing under atmospheric and vacuum conditions, but were more pronounced after vacuum annealing. This can be explained by the decomposition of the pearlite phase during annealing and the formation of new ferrite at the graphite/metal interface. It is assumed that the ferrite/graphite interface exhibits a stronger bond than the pearlite/graphite interface. As this stronger bond will be better resistant to delamination, it will strengthen the material both in static and dynamic loading. Such effects were far less pronounced in the open-air annealed material, which could be associated with the fact that it was shown that internal oxidation strongly reduced the kinetics of decomposition. TMF Crack Growth Characterization An important part of this study was to measure and analyze the TMF lifetime of CGI. For smooth and notched specimens, the TMF lifetime was measured in TMF tests under total-constraint conditions, with temperatures cycling between 50 °C and 420 °C. By considering the notch depth as the initial crack length, TMF lifetimes were reproduced numerically using the Paris equation for fatigue crack growth (da/dN = C (?K)m). The calculated lifetimes were found to be in good agreement with all experimental results, covering a wide range of TMF lifetimes from 30 to 1400 cycles. Also for smooth specimens the Paris model worked well by considering the typical graphite particle size as notch depth. It is one of the main conclusions of this work that graphite particles act as internal notches from which a TMF crack almost immediately starts to grow during the first TMF cycles. Hence, it was established that TMF lifetime in CGI is governed by crack growth and not by crack initiation. The relevance of the Paris growth law was further confirmed by meticulously measuring the actual crack growth rates for three typical values of the stress intensity factor. The resulting crack growth rates proved to be in reasonable agreement with the predicted values according to the Paris model. It was further shown that the cyclic plasticity of the bulk material, accumulated during TMF cycles, does not have a noticeable effect on TMF lifetime (i.e. crack growth rates are not affected). The notched dog-bone specimen geometry is proposed in this work as a valid alternative for monitoring the TMF behavior of CGI. By applying standard TMF tests with notched specimens, it was possible to significantly reduce both testing time and experimental data scatter, whilst preserving a realistic estimation of the lifetime of the smooth sample. The effect of prolonged holding times (HT) on TMF lifetime was studied by using notched specimens and a clear effect was observed. Extended holding times were accompanied by an increased relaxation of compressive stresses, causing higher tensile stresses to develop in the subsequent low temperature stages of the TMF cycles. So, extended HTs had an adverse effect on the sample lifetime with a saturating effect for HTs above 1800 s. The Paris fatigue-crack-growth model was used also to estimate the impact of extended HTs. According to the Paris growth law, using a fixed value of tensile stress at low temperature, it was estimated that an increase of holding time from 30 s to 18000 s (5 h) produced a drop of 45% in lifetime. In reality a 60% drop in lifetime was measured, though, which implies that a combined effect of (tensile) stress and microstructural evolution during TMF is responsible for the reduction of lifetime. Precise Microstructural Analysis of the TMF Crack Path To the purpose of precise characterization of the complex TMF-crack-path morphology in CGI in relation to local microstructural features and to find out how and by which mechanisms the cracks predominantly develop, 2D and 3D orientation contrast imaging was carried out on wide field sample volumes, covering several mm3 of imaged material. The data analysis revealed that the crystal planes that are parallel to the (local) crack plane are essentially of a random orientation. Conversely, it was found that graphite particles do not only play a crucial role in the crack initiation, but also are of primary significance for crack propagation. Quantitative analysis of the EBSD data in 2D and 3D showed that the distribution of graphite particles is very important for the crack propagation, as it was revealed that graphite particles enhance crack growth. It was statistically proven that the density of graphite particles in the crack plane is more than double of the density in an arbitrary plane. Our materials knowledge, based on the interpretation of test results in terms of quantifiable microstructural data functions, is of crucial importance to develop a microstructurally based TMF model.","Thermo-Mechanical Fatigue; Compacted Graphite Iron; Volume Expansion; Oxidation; Pearlite Decomposition; Paris Crack Growth Law; Holding Time; Orientation Contrast Microscopy; 3D Microscopy Analysis","en","doctoral thesis","","","","","","","","","Mechanical, Maritime and Materials Engineering","Materials Science & Engineering","","","",""
"uuid:c4600477-570f-44d0-89a8-c05d85a750cf","http://resolver.tudelft.nl/uuid:c4600477-570f-44d0-89a8-c05d85a750cf","Microstructure of bilayer manganite PrCa2Mn2O7 showing charge/orbital ordering","He, Z.; Tian, H.; Deng, G.; Xu, Q.; Van Tendeloo, G.","","2013","The microstructure of the charge/orbital ordering Ruddleden-Popper phase PrCa2Mn2O7 was studied by transmission electron microscopy along both the [001] and the [110] orientation. Three coexisting charge/orbital ordering phases CO1, CO2, and CO3 were observed along the [001] orientation at room temperature. Different from the one-dimensional modulation in the CO1 and CO2 phase, the CO3 phase is characterized by two sets of mutually perpendicular structural modulations. From [110] high angle annular dark field-scanning transmission electron microscopy, we found that the Pr atoms locate in-between the bilayer MnO6 octahedra, which is different from the previous reports.","calcium compounds,; crystal microstructure; praseodymium compounds; scanning-transmission electron microscopy; transmission electron microscopy","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:85b1e03c-3eb4-4022-8cb8-721bbbc70fbf","http://resolver.tudelft.nl/uuid:85b1e03c-3eb4-4022-8cb8-721bbbc70fbf","Microbiologically influenced corrosion in ship ballast tanks","Heyer, A.","De Wit, J.H.W. (promotor); Mol, J.M.C. (promotor)","2013","Microbiologically influenced corrosion (MIC) is known to be a dangerous process in ship tanks due to its rapid and yet unpredictable occurrence, leading to extremely fast local corrosion, possibly jeopardizing the structural integrity, in a relatively short time. This project focuses on a fundamental understanding of MIC processes in ship ballast tanks (SBTs) as a basis for the development of effective counterstrategies that offer an appropriate protection against MIC attack. Local conditions typically consist of oxic-anoxic environments where both aerobic and anaerobic biofilms develop resulting in aggressive corrosion. Fundamental understanding of the dominant parameters considering material, environment and microbes were addressed. In chapter 1 a review of the conditions in ship ballast tanks, possible MIC mechanisms and practical counterstrategies is presented. Chapter two deals with the impact of MIC in a real scale SBT to understand community characteristics within SBTs. The study highlights the impact of attached biofilms on local corrosion in a ship ballast tank environment. The application of molecular techniques in combination with electrochemical techniques provides a better and synergistic monitoring tool in the enclosed seawater environment. The work in this chapter provides a systematic future research and analysis approach to build up a database of bacterial species, which are involved in corrosion or coating degradation on-board of ships. A more effective treatment system for treating biofilms on sidewalls of ship ballast tanks will help to reduce costly material replacements. In chapter three the usability of electrochemical techniques for monitoring MIC is discussed in detail. Three individual approaches were studied in the lab comprising: (i) corrosion impact of a dual species biofilm, (ii) implementation of a simulated ship tank model system and (iii) the study of the biodeterioration of a ship ballast tank coating. The fourth chapter comprises different highly sensitive and spatially resolved techniques to study MIC on a very local scale. The first step included the development of a novel analysis approach for the preparation and visualization of metal surfaces, suitable for combined imaging by epifluorescent microscopy (EFM), AFM and SEM. By combining three different microscopes, the complementary use of these high-resolution techniques to study surface changes and accumulation of biological substances on stainless steel surfaces was proven. The last experimental part of the thesis covers the use of local electrochemical techniques. The scanning vibrating electrode technique (SVET) and scanning electrochemical microscope (SECM) were used for their high spatial resolution close to the metal/solution interface. By combing these techniques in-situ changes at metal/solution interfaces in the presence and absence of aerobic bacteria could be followed up. Therefore it was possible to demonstrate that the application of local scanning electrochemical techniques with high-spatial resolution is a powerful tool for a better understanding of microbial activity on metal systems providing in-situ information of the processes taking place at the metal/biofilm/solution interface. Chapter five summarises the conclusions drawn in the preceding chapters. In addition, the general discussion in this chapter links together some of the preceding results and suggestions. The last part of the thesis gives a future outlook on MIC monitoring and prediction and reviews options to mitigate MIC failures based on a multidimensional approach.","MIC; biofilm; electrochemistry; microscopy","en","doctoral thesis","","","","","","","","","Mechanical, Maritime and Materials Engineering","Materials Science & Engineering","","","",""
"uuid:29b6b47d-8cd6-4099-950f-bd4f1f50cf16","http://resolver.tudelft.nl/uuid:29b6b47d-8cd6-4099-950f-bd4f1f50cf16","Ultrahigh throughput plasma processing of free standing silicon nanocrystals with lognormal size distribution","Dogan, I.; Kramer, N.J.; Westermann, R.H.J.; Dohnalova, K.; Smets, A.H.M.; Verheijen, M.A.; Greogorkiewicz, T.; Van de Sanden, M.C.M.","","2013","We demonstrate a method for synthesizing free standing silicon nanocrystals in an argon/silane gas mixture by using a remote expanding thermal plasma. Transmission electron microscopy and Raman spectroscopy measurements reveal that the distribution has a bimodal shape consisting of two distinct groups of small and large silicon nanocrystals with sizes in the range 2–10?nm and 50–120?nm, respectively. We also observe that both size distributions are lognormal which is linked with the growth time and transport of nanocrystals in the plasma. Average size control is achieved by tuning the silane flow injected into the vessel. Analyses on morphological features show that nanocrystals are monocrystalline and spherically shaped. These results imply that formation of silicon nanocrystals is based on nucleation, i.e., these large nanocrystals are not the result of coalescence of small nanocrystals. Photoluminescence measurements show that silicon nanocrystals exhibit a broad emission in the visible region peaked at 725?nm. Nanocrystals are produced with ultrahigh throughput of about 100?mg/min and have state of the art properties, such as controlled size distribution, easy handling, and room temperature visible photoluminescence.","crystal morphology; elemental semiconductors; nanofabrication; nanostructured materials; nucleation; photoluminescence; plasma materials processing; Raman spectra; semiconductor growth; silicon; transmission electron microscopy","en","journal article","American Institute of Physics","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Electrical Sustainable Energy","","","",""
"uuid:d7ce8ba8-e4cc-4346-be94-431cd24a8523","http://resolver.tudelft.nl/uuid:d7ce8ba8-e4cc-4346-be94-431cd24a8523","Non-conducting interfaces of LaAlO3/SrTiO3 produced in sputter deposition: The role of stoichiometry","Dildar, I.M.; Boltje, D.B.; Hesselberth, M.H.S.; Aarts, J.; Xu, Q.; Zandbergen, H.W.; Harkema, S.","","2013","We have investigated the properties of interfaces between LaAlO3 films grown on SrTiO3 substrates singly terminated by TiO2. We used RF sputtering in a high-pressure oxygen atmosphere. The films are smooth, with flat surfaces. Transmission electron microscopy shows sharp and continuous interfaces with some slight intermixing. The elemental ratio of La to Al, measured by the energy dispersive X-ray technique, is found to be 1.07. Importantly, we find these interfaces to be non-conducting, indicating that the sputtered interface is not electronically reconstructed in the way reported for films grown by pulsed laser deposition because of the different interplays among stoichiometry, mixing, and oxygen vacancies.","lanthanum compounds; pulsed laser deposition; sputter deposition; strontium compounds; transmission electron microscopy; X-ray chemical analysis","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:5e5a5a5a-6f48-4599-adef-d363255bb277","http://resolver.tudelft.nl/uuid:5e5a5a5a-6f48-4599-adef-d363255bb277","Hot-spot detection and calibration of a scanning thermal probe with a noise thermometry gold wire sample","Gaitas, A.; Wolgast, S.; Covington, E.; Kurdak, C.","","2013","Measuring the temperature profile of a nanoscale sample using scanning thermal microscopy is challenging due to a scanning probe's non-uniform heating. In order to address this challenge, we have developed a calibration sample consisting of a 1-?m wide gold wire, which can be heated electrically by a small bias current. The Joule heating in the calibration sample wire is characterized using noise thermometry. A thermal probe was scanned in contact over the gold wire and measured temperature changes as small as 0.4?K, corresponding to 17?ppm changes in probe resistance. The non-uniformity of the probe's temperature profile during a typical scan necessitated the introduction of a temperature conversion factor, ?, which is defined as the ratio of the average temperature change of the probe with respect to the temperature change of the substrate. The conversion factor was calculated to be 0.035?±?0.007. Finite element analysis simulations indicate a strong correlation between thermal probe sensitivity and probe tip curvature, suggesting that the sensitivity of the thermal probe can be improved by increasing the probe tip curvature, though at the expense of the spatial resolution provided by sharper tips. Simulations also indicate that a bow-tie metallization design could yield an additional 5- to 7-fold increase in sensitivity.","calibration; finite element analysis; gold; scanning probe microscopy; temperature measurement","en","journal article","American Institute of Physics","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:7eda8746-283a-45e8-b332-3e1315910dfa","http://resolver.tudelft.nl/uuid:7eda8746-283a-45e8-b332-3e1315910dfa","Automated podosome identification and characterization in fluorescence microscopy images","Meddens, M.B.M.; Rieger, B.; Figdor, C.G.; Cambi, A.; Van den Dries, K.","","2013","Podosomes are cellular adhesion structures involved in matrix degradation and invasion that comprise an actin core and a ring of cytoskeletal adaptor proteins. They are most often identified by staining with phalloidin, which binds F-actin and therefore visualizes the core. However, not only podosomes, but also many other cytoskeletal structures contain actin, which makes podosome segmentation by automated image processing difficult. Here, we have developed a quantitative image analysis algorithm that is optimized to identify podosome cores within a typical sample stained with phalloidin. By sequential local and global thresholding, our analysis identifies up to 76% of podosome cores excluding other F-actin-based structures. Based on the overlap in podosome identifications and quantification of podosome numbers, our algorithm performs equally well compared to three experts. Using our algorithm we show effects of actin polymerization and myosin II inhibition on the actin intensity in both podosome core and associated actin network. Furthermore, by expanding the core segmentations, we reveal a previously unappreciated differential distribution of cytoskeletal adaptor proteins within the podosome ring. These applications illustrate that our algorithm is a valuable tool for rapid and accurate large-scale analysis of podosomes to increase our understanding of these characteristic adhesion structures.","podosomes, image analysis; fluorescence microscopy; actin; image quantification; cytoskeletal adaptor proteins","en","journal article","Cambridge University Press","","","","","","","2014-01-25","Applied Sciences","Imaging Science and technology","","","",""
"uuid:32e38ac2-7c3a-4041-950f-9d13e1a86a6a","http://resolver.tudelft.nl/uuid:32e38ac2-7c3a-4041-950f-9d13e1a86a6a","In situ Electrical measurements in Transmission Electron Microscopy","Rudneva, M.","Zandbergen, H.W. (promotor)","2013","In the present thesis the combination of real-time electricalmeasurements on nano-sampleswith simultaneous examination by transmission electron microscope (TEM) is discussed. Application of an electrical current may lead to changes in the samples thus the possibility to correlate such changes with the corresponding I-V measurements is very important. Using the TEMalong with in-house built sample holder and measurement setup, some important results were obtained. Firstly, current induced grain growth in polycrystalline Pt nanobridges (14 nm thick, 200 nm wide and 300 nm long) was investigated. Direct correlation was found between the evolution of the grain size and the change in the resistance. Secondly, the electromigration in Pt and Pd nanobridges was studied by in situ TEM technique. The material transfer during direct and reverse EM process in Pd bridges with different geometry was followed in-situ using scanning TEM. Further, the results of application of the Helium Ion Microscope (HIM) as a sculpting tool for nano-scaled samples are presented. We discuss the possibility to combine modification of the sample by the focused heliumion beam with local heating of the specimens. Heating is facilitated by using MEMS based heaters developed in-house. The detailed analysis of the modified samples was carried out with FEI Titan transmission electron microscope (TEM) operated at 300 kV. With the proposed method it is also possible to carry out the electrical measurements on a wide range of materials such as metallic and semi-conductor nanowires, nanobridges, nanopatricles and novel materials such as graphene.","transmission electron microscopy; in situ measurements; Helium Ion Microscopy; electromigration","en","doctoral thesis","Casimir PhD series","","","","","","","2013-01-16","Applied Sciences","Kavli Institute of Nanoscience Delft","","","",""
"uuid:58cb804c-0c7f-4634-965d-67eddb78398f","http://resolver.tudelft.nl/uuid:58cb804c-0c7f-4634-965d-67eddb78398f","Understanding transport properties of geopolymer mortar using polarization and fluorescence microscopy","Valcke, S.L.A.; Polder, R.B.; Beijersbergen van Henegouwen, J.F.","","2012","","fly ash geopolymer; microstructure; polarization and fluorescence microscopy; durability; water transport properties","en","conference paper","RILEM Publications SARL","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:eca46474-256a-4ffe-a9f5-45e293ad9f8f","http://resolver.tudelft.nl/uuid:eca46474-256a-4ffe-a9f5-45e293ad9f8f","Modulated electron-multiplied fluorescence lifetime imaging microscope: All-solid-state camera for fluorescence lifetime imaging","Zhao, Q.; Schelen, B.; Schouten, R.","","2012","We have built an all-solid-state camera that is directly modulated at the pixel level for frequency-domain fluorescence lifetime imaging microscopy (FLIM) measurements. This novel camera eliminates the need for an image intensifier through the use of an application-specific charge coupled device design in a frequency-domain FLIM system. The first stage of evaluation for the camera has been carried out. Camera characteristics such as noise distribution, dark current influence, camera gain, sampling density, sensitivity, linearity of photometric response, and optical transfer function have been studied through experiments. We are able to do lifetime measurement using our modulated, electron-multiplied fluorescence lifetime imaging microscope (MEM-FLIM) camera for various objects, e.g., fluorescein solution, fixed green fluorescent protein (GFP) cells, and GFP-actin stained live cells. A detailed comparison of a conventional microchannel plate (MCP)-based FLIM system and the MEM-FLIM system is presented. The MEM-FLIM camera shows higher resolution and a better image quality. The MEM-FLIM camera provides a new opportunity for performing frequency-domain FLIM.","fluorescence lifetime imaging microscopy; all-solid-state camera; pixel modulation; camera evaluation and comparison","en","journal article","SPIE (International Society for Optical Engineering)","","","","","","","","Applied Sciences","Image Science and Technology","","","",""
"uuid:3d78c977-ef85-4f9f-bcba-2e0a37c13745","http://resolver.tudelft.nl/uuid:3d78c977-ef85-4f9f-bcba-2e0a37c13745","Silicon Technology for Integrating High-Performance Low-Energy Electron Photodiode Detectors","Sakic, A.","Nanver, L.K. (promotor)","2012","","silicon photodiodes; p+n diode; Scanning Electron Microscopy; electron detector; low-energy electrons; responsivity; electron irradiation; diode saturation current; pure boron layer; boron depositions; ultrashallow junctions; silicon epitaxy; high-resistivity substrates; substrate thinning; RC constant; Aluminum-induced Crystallization; low-temperature processing","en","doctoral thesis","","","","","","","","","Electrical Engineering, Mathematics and Computer Science","ECTM","","","",""
"uuid:9442760e-ab58-4faf-8818-43f05e6dd536","http://resolver.tudelft.nl/uuid:9442760e-ab58-4faf-8818-43f05e6dd536","Current-induced nanogap formation and graphitization in boron-doped diamond films","Seshan, V.; Arroyo, C.R.; Castellanos-Gomez, A.; Prins, F.; Perrin, M.L.; Janssens, S.D.; Haenen, K.; Nesládek, M.; Sudhölter, E.J.R.; De Smet, L.C.P.M.; Van der Zant, H.S.J.; Dulic, D.","","2012","A high-current annealing technique is used to fabricate nanogaps and hybrid diamond/graphite structures in boron-doped nanocrystalline diamond films. Nanometer-sized gaps down to ?1?nm are produced using a feedback-controlled current annealing procedure. The nanogaps are characterized using scanning electron microscopy and electronic transport measurements. The structural changes produced by the elevated temperature, achieved by Joule heating during current annealing, are characterized using Raman spectroscopy. The formation of hybridized diamond/graphite structure is observed at the point of maximum heat accumulation.","annealing; boron; diamond; elemental semiconductors; energy gap; graphite; graphitisation; nanofabrication; nanostructured materials; Raman spectra; scanning electron microscopy","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","ChemE/Chemical Engineering","","","",""
"uuid:6b2bb52b-31d5-4b15-a56f-f8ae4dd79b1d","http://resolver.tudelft.nl/uuid:6b2bb52b-31d5-4b15-a56f-f8ae4dd79b1d","Nano- and micro-fabrication for single-molecule biological studies","Huang, Z.","Dekker, N.H. (promotor)","2012","Heterogeneity is a general feature in biological system. In order to avoid possible misleading effects of ensemble averaging, and to ensure a correct understanding of the biological system, it is very important to look into individuals, such as a single bio-molecule or a single cell, for details. The size of a single bio-molecule/cell typically ranges from nanometer to micrometer scale. Therefore, the tools for study single-molecule/cell often consist of nano- and micro-features. The power of nanotechnology is such that it is possible to fabricate a wide variety of nano- and micro-scale structures and devices, which find more and more frequent application as powerful tools for biophysical studies of single-molecule/cell. This thesis reports several nano- and micro-fabricated structures that I, together with my colleagues, have developed for biophysical studies at single-molecule/cell level: (1) Nanofabricated zero-mode waveguides for single-molecule fluorescence experiments at biologically-relevant high concentrations; (2) Microfabricated mirrors for three-dimensional fluorescence imaging and tracking of single molecule / particle; (3) Microfabricated polydimethylsiloxane-based microfluidics device for studying submicron-scale bacteria (4) Microfabricated birefringent cylinders for use in optical torque wrench to study torsional properties of single biomolecules. Our main interest in zero-mode waveguide lies in its powerful potential to study single telomerase at work by visualizing individual incorporation of dye-labeled nucleotides. We have successfully developed several key fundamental elements towards single-molecule fluorescence studies of telomerase in ZMW: we have designed a biotin-labeled oligonucleotide specifically for human telomerase assay in ZMW - it can be immobilized on the ZMW floor via its biotin group, and it has the highest affinity for base-pairing with telomerase. We have also designed and acquired two types of special modified nucleotides for this assay: fluorescently phospholinked nucleotides (TMR-?-dATP and Atto532-dG6P), and we have demonstrated that both phospholinked nucleotides can be incorporated processively by human telomerase. We have constructed an optical setup specifically for single-molecule fluorescence studies in ZMWs. This setup can operates in two different modes, namely, massive parallel detection mode (using wide-field illumination and EMCCD detection), and high-speed single spot mode (using focused illumination spot and APD for high speed detection). We have successfully developed methods for nano-fabrication of ZMWs. We have also performed extensive characterizations on our ZMW devices using SEM (device geometric profiles), and FCS (detection volume, fluorophore working concentration). Our characterization results show that we are able to controlledly fabricate ZMWs with a suitable size (ca. 80nm in diameter) for single-molecule fluorescent studies at biologically relevant concentration (> 1?M), which is very important for meaningful studies of telomerase kinetics. Finally, we have demonstrated a method for successful surface treatment of ZMWs, by which the DNA substrates can be tethered specifically onto the glass floor of ZMWs, and more importantly, the non-specific transient adsorption of labeled nucleotides on ZMW surfaces has been reduced to a sufficient low level (one order of magnitude lower than the typical rate of nucleotide incorporation). Microfabricated mirror is one of the most promising tools for high-precision 3D imaging and particle tracking. We have developed a method based on electron beam lithography and wet etching of single-crystal silicon for the fabrication of V-groove micromirrors. 54.7°-symmetric V-groove micromirror was fabricated using regular (100) silicon wafer. To fabricate a mirror facet 45° relative to wafer surface, an off-axis cut silicon wafer (<100> off 9.7° to <110> ) was used. We have demonstrated that our V-groove micromirrors could be assembled into flow cell structures for imaging single fluorescent particles. We have also been developing a novel algorithm based on maximum likelihood estimation (MLE) for 3D tracking of single molecule/particle using micromirrors. Our simulation results demonstrated that our MLE tracking method outperformed center-of-mass tracking method as developed by Berglund et al. The ability to restrict the movement of cells in a controlled manner using microfluidics, allows one to study individual cells and gain added insight into aspects of their physiology and behaviour that can potentially be hidden in ensemble averaging experiments. We have developed a novel protocol based on electron beam lithography together with specific dry etching procedures for the fabrication of a microfluidic device suited to study submicron-sized bacteria. In comparison to approaches based on conventional optical lithography, our method provides greater versatility and control of the dimensions of the growth channels while satisfying the rapid-prototyping needs in a research environment. The widths of the submicron growth channels allow for the potential immobilization and study of different size bacteria with widths ranging from 0.3 ?m to 0.8 ?m. We verified by means of SEM that these structures are successfully transferred from Si into polydimethylsiloxane (PDMS) as well as from PDMS into PDMS. As a proof-of-principle, we demonstrated that the bacterium L. lactis can successfully be loaded and imaged over a number of generations in this device. Similar devices could potentially be used to study other submicron-sized organisms under conditions where the height and shape of the growth channels are crucial to the experimental design. The Optical Torque Wrench (OTW) is a special type of optical tweezers (OT) that uses birefringent dielectric particles, and has proved to be one of the most promising tools for torsional manipulation and torque measurement of single biomolecules. The main difference between OTW and conventional OT is that OTW uses a birefringent dielectric particle, which can be rotated by controlling the polarization of trapping laser, and therefore is able to apply and measure torque on the biomolecule attaching to the particle. We describe the use of electron beam lithography for the design, fabrication and functionalization of micron-scale birefringtent quartz cylinders. We demonstrate excellent control of the cylinders’ geometry, fabricating cylinders with heights of 0.5–2 ?m and diameters of 200–500 nm with high precision while maintaining control of their side-wall angle. The flexible fabrication allows cylinders to be selectively shaped into conical structures or to include centered protrusions for the selective attachment of biomolecules. The latter is facilitated by straightforward functionalization targeted either to a cylinder’s face or to the centered protrusion alone. The fabricated quartz cylinders are characterized in an optical torque wrench, permitting correlation of their geometrical properties to measured torques. In addition, we tether individual DNA molecules to the functionalized cylinders and demonstrate the translation and rotational control required for single-molecule studies. By using micron-scale birefringent particles, OTW has the ability to measure torque of the order of kBT (~4 pNnm), which is especially important in the study of biophysical systems at the molecular and cellular level. Quantitative torque measurements rely on an accurate calibration of the instrument. We have described and performed various methods of OTW calibration, some with direct OT analog and others developed specifically for the angular variables. Overall, the different methods lead to close results, which also agree with the theoretical prediction for the particle drag coefficient. However, the absolute values of the variables measured by the instrument should be expected to depend on the details of calibration method chosen. Motivated by the potential of the OTW to access the fast rotational dynamics of biological systems, a result of its all-optical manipulation and detection, we focus on the angular dynamics of the trapped birefringent particle, demonstrating its excitability in the vicinity of a critical point. This links the optical torque wrench to nonlinear dynamical systems such as neuronal and cardiovascular tissues, nonlinear optics and chemical reactions, all of which display an excitable binary (‘all-or-none’) response to input perturbations. On the basis of this dynamical feature, we devise and implement a conceptually new sensing technique capable of detecting single perturbation events with high signal-to-noise ratio and continuously adjustable sensitivity. Last but not least, we describe our efforts towards the study of single bacterial flagellar motor in OTW, which is one of our main interests in developing OTW technology. Bacterial flagellar motor is one of the most interesting and most complex molecular machines. Torque generation plays a crucial role in its functionality. Our progresses towards the study of torque generation in flagellar motor using OTW include: (1) A controlled functionalization of quartz cylinders has been developed for attaching a cylinder to a spinning flagellum, and importantly with the flagellum tethered to the cylinder’s center to avoid precession; (2) A theoretical framework has been developed to describe the rotational kinetics of a flagellum-tethered cylinder in the OTW. (3) A novel fabrication approach has been developed for nano-fabrication of birefringent particles using TiO2 rutile, which has a birefringence 32 times larger than quartz. This will enlarge the range of rotational frequency in which the flagellar motor can be studied in OTW; (4) A possible alternative construction of OTW based on circular polarized light for producing constant torque has been considered, and a method for calibration of such construction is also been discussed theoretically.","nanofabrication; surface functionalization; single molecule techniques; zero-mode waveguides; fluorescence microscopy; birefringent particles; optical torque wrench; micromirror; 3D tracking; microfluidics","en","doctoral thesis","","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:6fc0353e-2287-45d4-b330-b181d4d35c6f","http://resolver.tudelft.nl/uuid:6fc0353e-2287-45d4-b330-b181d4d35c6f","Novel ultrafine Fe(C) precipitates strengthen transformation-induced-plasticity steel","Tirumalasetty, G.K.; Fang, C.M.; Xu, Q.; Jansen, J.; Sietsma, J.; Van Huis, M.A.; Zandbergen, H.W.","","2012","A transmission electron microscopy study was conducted on nanoprecipitates formed in Ti microalloyed transformation-inducedplasticity-assisted steels, revealing the presence of Ti(N), Ti2CS and a novel type of ultra-fine Fe(C) precipitate. The matrix/precipitate orientation relationships, sizes and shapes were investigated in detail. The ultrafine, disc-shaped Fe(C) precipitates have sizes of 2–5 nm and possess a hexagonal close packed crystal structure with lattice parameters a = 5.73 ± 0.05A ? , c = 12.06 ± 0.05A ?. They are in a well-defined Pitsch–Schrader orientation relationship with the basal plane of the precipitate parallel to the [110] habit plane of the surrounding body-centred-cubic ferritic matrix. Detailed analysis of precipitate distribution, orientation relationship, lattice mismatch and inter-particle spacing suggests that these ultrafine precipitates contribute considerably to the strengthening of these steels.","TRIP assisted steel; iron carbides; precipitation; transmission electron microscopy","en","journal article","Elsevier","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","High Resolution Electron Microscopy (HREM)","",""
"uuid:66ecd75f-f9df-4295-a803-cdd6e9e1a696","http://resolver.tudelft.nl/uuid:66ecd75f-f9df-4295-a803-cdd6e9e1a696","Structured morphological modeling as a framework for rational strain design of Streptomyces species","Celler, K.; Picioreanu, C.; Van Loosdrecht, M.C.M.; Van Wezel, G.P.","","2012","Successful application of a computational model for rational design of industrial Streptomyces exploitation requires a better understanding of the relationship between morphology—dictated by microbial growth, branching, fragmentation and adhesion—and product formation. Here we review the state-of-the-art in modeling of growth and product formation by filamentous microorganisms and expand on existing models by combining a morphological and structural approach to realistically model and visualize a three-dimensional pellet. The objective is to provide a framework to study the effect of morphology and structure on natural product and enzyme formation and yield. Growth and development of the pellet occur via the processes of apical extension, branching and cross-wall formation. Oxygen is taken to be the limiting component, with the oxygen concentration at the tips regulating growth kinetics and the oxygen profile within the pellet affecting the probability of branching. Biological information regarding the processes of differentiation and branching in liquid cultures of the model organism Streptomyces coelicolor has been implemented. The model can be extended based on information gained in fermentation trials for different production strains, with the aim to provide a test drive for the fermentation process and to pre-assess the effect of different variables on productivity. This should aid in improving Streptomyces as a production platform in industrial biotechnology.","morphological modellng; fermentation; microscopy; enzyme; antibiotic; SsgA","en","journal article","Springer-Verlag","","","","","","","","Applied Sciences","Biotechnology","","","",""
"uuid:6fa8f84a-917a-49c4-add1-673233be51ab","http://resolver.tudelft.nl/uuid:6fa8f84a-917a-49c4-add1-673233be51ab","High NA diffractive array illuminators and application in a multi-spot scanning microscope","Hulsken, B.; Vossen, D.; Stallinga, S.","","2012","Array illuminators generating spots with high NA at high efficiency are presented. They are designed via application of high-NA scalar optics methods, and implemented as periodic binary phase structures. These array illuminators are used in a multi-spot scanning microscope for scanning large sample areas with a relatively high resolution.","diffractive optics; array illuminator; microscopy; OA-Fund TU Delft","en","journal article","European Optical Society","","","","","","","","Applied Sciences","IST/Imaging Science and Technology","","","",""
"uuid:76cc3867-e574-4cf5-839a-f7e9427379e4","http://resolver.tudelft.nl/uuid:76cc3867-e574-4cf5-839a-f7e9427379e4","Microscope sees double","Wassink, J.","Hoogenboom, J. (contributor); Den Hoedt, S. (contributor)","2012","Some 350 years after Antonie van Leeuwenhoek’s landmark invention, Delft has made another breakthrough in microscopy with a single device which combines optical and electron techniques","microscopy","en","journal article","Delft University of Technology","","","","","","","","Applied Sciences","","","","",""
"uuid:d9077442-c5a2-4e33-9563-41558159f7a8","http://resolver.tudelft.nl/uuid:d9077442-c5a2-4e33-9563-41558159f7a8","Evidence of the Griffiths phase in multiferroic BiMnO3 and BiFe0.5Mn0.5O3 films","Prokhorov, V.G.; Kaminsky, G.G.; Kim, J.M.; Yoo, Y.J.; Lee, Y.P.; Svetchnikov, V.L.; Levchenko, G.G.; Nikolaenko, Y.M.; Khokhlov, V.A.","","2012","Microstructure and magnetic properties of BiMnO3 and BiFe0.5Mn0.5O3 films, prepared by rf magnetron sputtering on LaAlO3 (001) single-crystalline substrate, are investigated. The selected-area electron diffraction analysis allows us to identify the crystal structure of the BiMnO3 film as orthorhombic, while the BiFe0.5Mn0.5O3 film has a hexagonal lattice symmetry. High-resolution electron microscopy study reveals the presence of strip-domain phase with a periodic spacing of about 3c in both films. Magnetic measurements show that in addition to the basic paramagnetic phase the films exhibit Griffiths phase behavior in a wide temperature range. We argue that the observed weak ferromagnetism is due to the strip-domain layered inclusions, rather than intrinsic physical origin of the films.","bismuth compounds; electron diffraction; electron microscopy; ferromagnetic materials; inclusions; iron compounds; magnetic domains; magnetic thin films; multiferroics; paramagnetic materials","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","","","","",""
"uuid:21b45c4a-8025-48d1-a90f-4701c92fe2d6","http://resolver.tudelft.nl/uuid:21b45c4a-8025-48d1-a90f-4701c92fe2d6","Mechatronics and Control Solutions for Increasing the Imaging Speed in Atomic Force Microscopy","Kuiper, S.","Van den Hof, P.M.J. (promotor); Schitter, G. (promotor)","2012","This research is focused on improving the mechatronic design and control strategies of Atomic Force Microscopes (AFM) in order to increase the imaging speed of these instruments, while maintaining the resolution and accuracy of AFM. Different techniques are developed which have led to a faster lateral scanning speed, higher bandwidth control of the tip sample force, and improved accuracy of the topography estimate. An Atomic Force Microscope (AFM) is a mechanical microscope in which the sample is probed by a very sharp tip. AFM allows measuring the sample topography with (sub-) nanometer resolution. As in AFM the sample is probed point by point, AFM imaging is a relatively slow process, which limits the applicability of AFM in fields where high throughput is important. In AFM de sample is scanned in the lateral plane by use of a piezoelectric scanning stage. The lateral scanning speed is limited by the weakly damped resonances of the scanning stage, which may cause strong oscillations when excited. In this research a cost efficient method is developed to dampen the resonances of the scanning stage, resulting in a 30 times faster lateral scanning motion. During scanning the force between the tip and the sample is measured and controlled in a feedback loop to prevent damage of the tip and the sample. Moreover, this feedbackloop provides an estimate of the sample topography via a topography estimator. In this research an approach is presented for integrated design of the feedback controller and topography estimator. This approach explicitly addresses the uncertainty in the dynamical behavior of the instrument. It is shown that due to the uncertainty in the dynamical behavior of the instrument, a design trade-off has to be made between the speed and the accuracy of AFM instruments. In order to further improve the imaging speed of AFM, a dual actuated control approach is investigates to control the force between the tip and the sample via a combination of a long-stroke and a short-stroke actuator. This dual actuated control approach has shown to allow 20 times faster imaging as compared to the optimally controlled single actuated AFM, without compromising the effective positioning range.","Atomic Force Microscopy; control; mechatronics; model based control","en","doctoral thesis","","","","","","","","","Mechanical, Maritime and Materials Engineering","Delft Center for Systems and Control","","","",""
"uuid:cc06355f-b222-434d-b48a-fbe084126e94","http://resolver.tudelft.nl/uuid:cc06355f-b222-434d-b48a-fbe084126e94","Vergelijkend AFM Onderzoek: Microstructuur van bitumen in relatie tot healing","Schmets, A.J.M.; Nahar, S.N.; Dillingh, B.; Fischer, H.; Scarpas, A.; Erkens, S.","","2012","In this report we present the background, the scientific and experimental approach and the results of AFM experiments performed on two different batches of bitumen. The specific bitumen researched in this project has also been studied in the context of the InfraQuest project ‘Pragmatisch Healing Onderzoek’. It has been known for quite some time already that bitumen posessess a microstructure at the typical length scale of micrometers. This can be shown experimentally by imaging the bitumen surface with Atomic Force Microscopy (AFM). As is the case for many other engineering materials (e.g. steel), the microstructure will manifest itself by the macroscopic mechanical response of the material; thus on the typical length scales where it performs its load bearing function in pavement structures. Together with the hitherto not precisely specified properties of the many available bitumen grades, this justifies further research into the origin and properties of this microstructure. Here we also anticipate that a better understanding about the origin and properties of the bitumen microstructure will lead to improved bitumen grades (material appraisal) and possibly to better criteria for selecting a bitumen for a specific application. In the context of this research first the objectivity of the AFM imaging technique has to be established. Therefore two independent laboratories (TNO and CiTG, TU Delft) have prepared and conditioned bitumen samples for the AFM. All samples have been prepared from the same batch of bitumen. Then each laboratory has imaged its ‘home made ‘samples’ as well as the samples prepared at the other lab. The results appear to be qualitatively identical. Thus one may conclude that the microstructure of bitumen is a reproducible quantity. It was also found that the (thermal) conditioning of the bitumen (prior to imaging) has a significant impact on the microstructure observed. One may conclude from this that the sample conditioning procedure is a very important aspect in the AFM imaging process. In other words: an AFM image of bitumen is meaningless, unless the conditioning procedure of the samples is reported extensively. The next step was to find the influence of temperature on the observed bitumen microstructure. Identical samples have been prepared by TU Delft and both laboratories have imaged the microstructure as a function of temperature. A similar observation as stated before has been made: the microstructures observed by both laboratories were very similar. Moreover, it has been observed that the microstructure gradually disappears when the temperature is raised. However, even at the highest (experimental) temperatures (70 °C) traces of the microstructure remain visible. Apparently the ordering process that governs the bitumen microstructure has an associated interaction energy in the order of 400 kB, i.e. 30-40 meV (kB, Boltzmann constant). It was also found that (chemically) reclaimed bitumen (from an asphalt test beam) does show a microstructure as well. Surprisingly however, it was found that the microstructure of harder bitumen grades disappears at lower temperatures compared to softer bitumen grades. This is against the intuition that in harder bitumen molecules are more tightly bound together than in softer grades, and that for harder bitumen the microstructure would ‘melt’ (disappear) at higher temperatures. The molecular mobility appears to be higher in harder bitumen grade, hence they are anticipated to be better ‘healers’. Macroscopic fatigue test have shown similar trends.","Infraquest; Roads; Wegen; Atomic Force Microscopy; Bituminous Materials; Round Robin","nl","report","InfraQuest","","","","","","","","Civil Engineering and Geosciences","Structural Engineering","","","",""
"uuid:9658107a-e6bd-452d-97cf-219916838bbe","http://resolver.tudelft.nl/uuid:9658107a-e6bd-452d-97cf-219916838bbe","Precise and unbiased estimation of astigmatism and defocus in transmission electron microscopy","Vulovic, M.; Franken, E.; Ravelli, R.B.G.; Van Vliet, L.J.; Rieger, B.","","2012","Defocus and twofold astigmatism are the key parameters governing the contrast transfer function (CTF) in transmission electron microscopy (TEM) of weak phase objects. We present a new algorithm to estimate these aberrations and the associated uncertainties. Tests show very good agreement between simulated and estimated defocus and astigmatism. We evaluate the reproducibility of the algorithm on experimental data by repeating measurements of an amorphous sample under identical imaging conditions and by analyzing the linearity of the stigmator response. By using a new Thon ring averaging method, the modulation depth of the rings in a 1D averaged power spectrum density (PSD) can be enhanced compared to elliptical averaging. This facilitates a better contrast transfer assessment in the presence of spherical aberration. Our algorithm for defocus and astigmatism estimation inverts the contrast of the Thon rings and suppresses the background in the PSD using an adaptive filtering strategy. Template matching with kernels of various ellipticities is applied to the filtered PSD after transformation into polar coordinates. Maxima in the resulting 3D parameter space provide multiple estimates of the long axis orientation, frequencies and apparent ellipticities of the rings. The frequencies of the detected rings, together with outlier rejection and assignment of an order to the CTF zeros, are used to estimate the defocus and its uncertainty. From estimations of defocus and ellipticity, we derive astigmatism and its uncertainty. A two-pass approach refines the astigmatism and defocus estimate by taking into account the influence of the known spherical aberration on the shape and frequencies of the rings. The implementation of the presented algorithm is freely available for non-commercial use.","transmission electron microscopy; phase contrast; CTF; twofold astigmatism; defocus; software; thon rings","en","journal article","Elsevier","","","","","","","","Applied Sciences","IST/Imaging Science and Technology","","","",""
"uuid:1d5f36ca-5d11-4843-96c8-05e4812dd216","http://resolver.tudelft.nl/uuid:1d5f36ca-5d11-4843-96c8-05e4812dd216","Position and orientation estimation of fixed dipole emitters using an effective Hermite point spread function model","Stallinga, S.; Rieger, B.","","2012","We introduce a method for determining the position and orientation of fixed dipole emitters based on a combination of polarimetry and spot shape detection. A key element is an effective Point Spread Function model based on Hermite functions. The model offers a good description of the shape variations with dipole orientation and polarization detection channel, and provides computational advantages over the exact vectorial description of dipole image formation. The realized localization uncertainty is comparable to the free dipole case in which spots are rotationally symmetric and can be well modeled with a Gaussian. This result holds for all dipole orientations, for all practical signal levels, and for defocus values within the depth of focus, implying that the massive localization bias for defocused emitters with tilted dipole axis found with Gaussian spot fitting is eliminated.","fluorescence microscopy; superresolution; polarization; computational imaging; OA-Fund TU Delft","en","journal article","Optical Society of America","","","","","","","","Applied Sciences","IST/Imaging Science and Technology","","","",""
"uuid:a63fabae-d5a2-4c2b-9a0b-3c03ee6342bb","http://resolver.tudelft.nl/uuid:a63fabae-d5a2-4c2b-9a0b-3c03ee6342bb","Micro-fabricated channel with ultra-thin yet ultra-strong windows enables electron microscopy under 4-bar pressure","Alan, T.; Yokosawa, T.; Gaspar, J.; Pandraud, G.; Paul, O.; Creemer, F.; Sarro, P.M.; Zandbergen, H.W.","","2012","Transmission electron microscopy (TEM) of (de-)hydrogenation reactions is crucial to characterize efficiency of hydrogen storage materials. The nanoreactor, a micromachined channel with 15-nm-thick windows, effectively confines the gas flow to an electron-transparent chamber during TEM of reactions. Realistic experiments require very high pressures to be sustained by the device. Nanomechanical bulge tests and simulations show that due to a very strong size effect, ultra-thin device components can reliably withstand tensile stresses as high as 19.5?GPa enabling high pressure operation. We use the device to characterize Pd particles under a 4-bar H2 pressure within the ultra-high-vacuum of the TEM.","high-pressure effects; hydrogen storage; hydrogenation; microfabrication; micromachining; nanoelectromechanical devices; nanoparticles; palladium; transmission electron microscopy","en","journal article","American Institute of Physics","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:7a27d0af-14b2-4fca-9966-67d5afe8104a","http://resolver.tudelft.nl/uuid:7a27d0af-14b2-4fca-9966-67d5afe8104a","Mechanical cleaning of graphene","Goossens, A.M.; Calado, V.E.; Barreiro, A.; Watanabe, K.; Taniguchi, T.; Vandersypen, L.M.K.","","2012","Contamination of graphene due to residues from nanofabrication often introduces background doping and reduces electron mobility. For samples of high electronic quality, post-lithography cleaning treatments are therefore needed. We report that mechanical cleaning based on contact mode atomic force microscopy removes residues and significantly improves the electronic properties. A mechanically cleaned dual-gated bilayer graphene transistor with hexagonal boron nitride dielectrics exhibited a mobility of ?36 000?cm2/Vs at low temperature.","atomic force microscopy; boron compounds; electron mobility; fullerene devices; graphene; surface cleaning","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:84120ccb-4358-4e66-96fa-97b0ecaf70fc","http://resolver.tudelft.nl/uuid:84120ccb-4358-4e66-96fa-97b0ecaf70fc","Position-controlled [100] InP nanowire arrays","Wang, J.; Plissard, S.; Hocevar, M.; Vu, T.T.T.; Zehender, T.; Immink, G.G.W.; Verheijen, M.A.; Haverkort, J.; Bakkers, E.P.A.M.","","2012","We investigate the growth of vertically standing [100] zincblende InP nanowire (NW) arrays on InP (100) substrates in the vapor-liquid-solid growth mode using low-pressure metal-organic vapor-phase epitaxy. Precise positioning of these NWs is demonstrated by electron beam lithography. The vertical NW yield can be controlled by different parameters. A maximum yield of 56% is obtained and the tapering caused by lateral growth can be prevented by in situ HCl etching. Scanning electron microscopy, high-resolution transmission electron microscopy, and micro-photoluminescence have been used to investigate the NW properties.","arrays; electron beam lithography; etching, III-V semiconductors; indium compounds; micro-optics; MOCVD; nanofabrication; nanowires; photoluminescence; scanning electron microscopy; semiconductor growth; transmission electron microscopy; vapour phase epitaxial growth","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:771eab92-2b41-422a-af97-973471d717f9","http://resolver.tudelft.nl/uuid:771eab92-2b41-422a-af97-973471d717f9","Characterization of NbC and (Nb, Ti)N nanoprecipitates in TRIP assisted multiphase steels","Tirumalasetty, G.K.; Van Huis, M.A.; Fang, C.M.; Xu, Q.; Tichelaar, F.D.; Hanlon, D.N.; Sietsma, J.; Zandbergen, H.W.","","2011","Multiphase steels utilising composite strengthening may be further strengthened via grain refinement or precipitation by the addition of microalloying elements. In this study a Nb microalloyed steel comprising martensite, bainite and retained austenite has been studied. By means of transmission electron microscopy (TEM), we have investigated the size distribution and the structural properties of (Nb,Ti)N and NbC precipitates, their occurrence in the various steel phases, and their relationship with the Fe matrix. (Nb,Ti)N precipitates were found in ferrite, martensite, and bainite, while NbC precipitates were found only in ferrite. All NbC precipitates were found to be small (5 20 nm in size) and to have a face centred cubic crystal structure with lattice parameter a = 4.36 ± 0.05 Å. In contrast, the (Nb,Ti)N precipitates were found in a broader size range (5 150 nm) and to have a face centred cubic crystal structure with lattice parameter a = 8.09 ± 0.05 Å. While the NbC precipitates were found to be randomly oriented, the (Nb,Ti)N precipitates have a well-defined Nishiyama Wasserman (N-W) orientation relationship with the ferrite matrix. An analysis of the lattice mismatch suggests that the latter precipitates have a high potential for effective strengthening. DFT calculations were performed for various stoichiometries of NbCX and NbXTiYNZ phases and the comparison with experimental data indicates that both the carbides and nitrides are deficient in C and N content.","multi phase steel; NbC; (Nb,Ti)N; precipitation; transmission electron microscopy","en","journal article","Elsevier","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","High Resolution Electron Microscopy (HREM)","",""
"uuid:8f668223-ed9c-4db7-a91a-1e8c0169ee32","http://resolver.tudelft.nl/uuid:8f668223-ed9c-4db7-a91a-1e8c0169ee32","Ion beams in SEM: An experiment towards a high brightness low energy spread electron impact gas ion source","Jun, D.S.; Kutchoukov, V.G.; Kruit, P.","","2011","A next generation ion source suitable for both high resolution focused ion beam milling and imaging applications is currently being developed. The new ion source relies on a method of which positively charged ions are extracted from a miniaturized gas chamber where neutral gas atoms become ionized by direct electron impact. The use of a very small gas chamber and a very narrow electron beam (<100 nm) allows for a very small ionization volume, which, in turn, yields a small virtual source size and low energy spread. The authors estimate that using a high current density electron beam from a Schottky electron gun the reduced brightness of this source can exceed that of the Gallium Liquid Metal Ion Sources and the energy spread can be well below 1 eV at an optimal gas pressure and gas chamber spacing while producing more than 1 nA of usable ion beam current. In a proof-of-concept study, the authors have produced ions of helium, argon, xenon, and air from a prototype gas chamber using an electron probe inside a scanning electron microscope. Using micro-channel plates and a phosphor screen, ion beam patterns have been acquired demonstrating that a beam of ions can be produced from a miniaturized gas chamber. The authors have measured up to several hundreds of pico-amperes of ion current in a Faraday cup using an input electron probe current of ?14 nA with 1 keV incident energy. The authors have also verified that the ion beam current is dependent on the incident electron beam energy, gas chamber bias voltage, and the gas pressure inside the ionization chamber.","brightness; current density; electron impact ionisation; electron probes; focused ion beam technology; ion sources; ionisation chambers; scanning electron microscopy","en","journal article","American Vacuum Society","","","","","","","","Applied Sciences","IST/Imaging Science and Technology","","","",""
"uuid:b6028ba7-9cd9-47c2-8c65-9307af0d66be","http://resolver.tudelft.nl/uuid:b6028ba7-9cd9-47c2-8c65-9307af0d66be","Parallel electron-beam-induced deposition using a multi-beam scanning electron microscope","Post, P.C.; Mohammadi-Gheidari, A.; Hagen, C.W.; Kruit, P.","","2011","Lithography techniques based on electron-beam-induced processes are inherently slow compared to light lithography techniques. The authors demonstrate here that the throughput can be enhanced by a factor of 196 by using a scanning electron microscope equipped with a multibeam electron source. Using electron-beam induced deposition with MeCpPtMe3 as a precursor gas, 14?×?14 arrays of Pt-containing dots were deposited on a W/Si3N4/W membrane, with each array of 196 dots deposited in a single exposure. The authors demonstrate that by shifting the array of beams over distances of several times the beam pitch, one can deposit rows of closely spaced dots that, although originating from different beams within the array, are positioned within 5?nm of a straight line.","electron beam lithography; electron sources; membranes; nanolithography; platinum; scanning electron microscopy; silicon compounds; tungsten","en","journal article","American Vacuum Society","","","","","","","","Applied Sciences","IST/Imaging Science and Technology","","","",""
"uuid:d9e45696-68aa-41c1-a935-b5bd1b5e5212","http://resolver.tudelft.nl/uuid:d9e45696-68aa-41c1-a935-b5bd1b5e5212","All that glitters is gold: Nucleic acid detection using tethered gold","Brinkers, S.","Young, I.T. (promotor)","2011","","dark field microscopy; gold nanoparticles; DNA; nucleic acids","en","doctoral thesis","","","","","","","","","Applied Sciences","Imaging Science & Technology","","","",""
"uuid:9aa3b277-1b45-4b0c-b9be-5f66dc24697a","http://resolver.tudelft.nl/uuid:9aa3b277-1b45-4b0c-b9be-5f66dc24697a","Video-rate fluorescence lifetime imaging camera with CMOS single-photon avalanche diode arrays and high-speed imaging algorithm","Li, D.D.U.; Arlt, J.; Tyndall, D.; Walker, R.; Richardson, J.; Stoppa, D.; Charbon, E.; Henderson, R.K.","","2011","A high-speed and hardware-only algorithm using a center of mass method has been proposed for single-detector fluorescence lifetime sensing applications. This algorithm is now implemented on a field programmable gate array to provide fast lifetime estimates from a 32 × 32 low dark count 0.13 ?m complementary metaloxide-semiconductor single-photon avalanche diode (SPAD) plus time-to-digital converter array. A simple look-up table is included to enhance the lifetime resolvability range and photon economics, making it comparable to the commonly used least-square method and maximum likelihood estimation based software. To demonstrate its performance, a widefield microscope was adapted to accommodate the SPAD array and image different test samples. Fluorescence lifetime imaging microscopy on fluorescent beads in Rhodamine 6G at a frame rate of 50 fps is also shown.","fluorescence lifetime imaging microscopy; time-resolved imaging; photon counting; complementary metal-oxidesemiconductor; single-photon avalanche diode; center-of-mass","en","journal article","Society of Photo-optical Instrumentation Engineers (SPIE)","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:b06d651b-71f3-4a46-9ed7-a853389150af","http://resolver.tudelft.nl/uuid:b06d651b-71f3-4a46-9ed7-a853389150af","Photon budget analysis for fluorescence lifetime imaging microscopy","Zhao, Q.; Young, I.T.; De Jong, J.G.S.","","2011","We have constructed a mathematical model to analyze the photon efficiency of frequency-domain fluorescence lifetime imaging microscopy (FLIM). The power of the light source needed for illumination in a FLIM system and the signal-to-noise ratio of the detector have led us to a photon “budget.” These measures are relevant to many fluorescence microscope users and the results are not restricted to FLIM but applicable to widefield fluorescence microscopy in general. Limitations in photon numbers, however, are more of an issue with FLIM compared to other less quantitative types of imaging. By modeling a typical experimental configuration, examples are given for fluorophores whose absorption peaks span the visible spectrum from Fura-2 to Cy5. We have performed experiments to validate the assumptions and parameters used in our mathematical model. The influence of fluorophore concentration on the intensity of the fluorescence emission light and the Poisson distribution assumption of the detected fluorescence emission light have been validated. The experimental results agree well with the mathematical model. This photon budget is important in order to characterize the constraints involved in current fluorescent microscope systems that are used for lifetime as well as intensity measurements and to design and fabricate new systems.","fluorescence microscopy; fluorescence lifetime imaging microscopy; photon efficiency; signal-to-noise ratio; light power","en","journal article","Society of Photo-optical Instrumentation Engineers (SPIE)","","","","","","","","Applied Sciences","IST/Imaging Science and Technology","","","",""
"uuid:28d36861-acaf-431a-ad97-41caa18b1c85","http://resolver.tudelft.nl/uuid:28d36861-acaf-431a-ad97-41caa18b1c85","Review of Near-Field Terahertz Measurement Methods and Their Applications: How to Achieve Sub-Wavelength Resolution at THz Frequencies","Adam, A.J.L.","","2011","In the last decades, many research teams working at Terahertz frequencies focused their efforts on surpassing the diffraction limit. Numerous techniques have been investigated, combining methods existing at optic wavelength with THz system such as Time Domain Spectroscopy. The actual development led on one side to a resolution as high as ?/3000 and one the other side to a video-rate recording. The purpose of this paper is to give an overview of the history of the field, to describe the different approaches, to give examples of existing applications and to draw the perspective for this research area.","Terahertz; Near-field; Subwavelength; Microscopy","en","journal article","Springer Verlag","","","","","","","","Applied Sciences","Imaging Science and Technology","","","",""
"uuid:064701e1-6817-4c6f-b598-97782de485a5","http://resolver.tudelft.nl/uuid:064701e1-6817-4c6f-b598-97782de485a5","Subcellular trafficking of mycobacteria: Implications for virulence and immunogenicity","Houben, D.","Peters, P.J. (promotor)","2011","The aim of this thesis is to determine the properties of the compartment where mycobacteria end up after phagocytosis and which mycobacterial genes play a role in this process. In most cases, bacterial pathogens are taken up by the cell, processed in the endocytic pathway and eventually bacterial derived peptides are presented on MHC class II molecules to CD4+ T-cells. Proteins from viral pathogens in contrast, are degraded in the cytosol and transported into the ER for presentation on MHC class I molecules to CD8+ T-cells. Sometimes, peptides from bacterial pathogens are also presented by MHC class I molecules, a process called cross-presentation. The exact mechanism is still unknown but essential in eliciting a good immune response. Several theories are mentioned; one of these theories is that the phagosome can fuse with the ER, allowing the pathogens and derived peptides to get in contact with MHC class I molecules. This theory raised a lot of questions, and was therefore examined carefully by us and others in chapter 1. Biochemical approaches, together with fluorescence and electron microscopy were used to quantitatively and dynamically assess the contribution of the ER to phagosome formation and maturation. We could not detect continuity between the ER and the plasma membrane, nor were we able to detect a significant contribution of the ER to the formation or maturation of phagosomes in either macrophages or dendritic cells. Instead, our data indicate that the plasma membrane is the main component of phagosomes. During maturation, the phagosomes fuse with endosomal and eventually lysosomal vesicles, to become acidic and degradative organelles. One of the bacterial pathogens whose peptides can be presented via the MHC class I pathway is Mycobacterium tuberculosis. For a very long time, it was not understood how this process takes place, as mycobacteria were reported to replicate in early phagosomes. In chapter 2 we show that after 2 days, M. tuberculosis progressively translocates from phagolysosomes into the cytosol in non-apoptotic cells. Also M. leprae is able to translocate, while the vaccine strain M. bovis BCG or heat-killed mycobacteria remain phagosomal. The translocation process is dependent upon secretion of the mycobacterial gene products CFP-10 and ESAT-6, as mutants that do not secrete these proteins stay in the phagosome. The cytosolic bacterial localization and replication are pathogenic features of virulent mycobacteria, causing significant cell death within a week. This cytosolic localization of virulent mycobacteria may also provide an explanation for the observed MHC class I based antigen presentation which is lacking in current vaccine strains. That it took a long time for this pathway to be discovered can be explained, as the set-up of the experiments is crucial to detect translocation. Translocation takes place later during infection and only with pathogenic species. Furthermore, not every technique is suitable to detect cytosolic mycobacteria. In chapter 3 we discussed why mycobacterial translocation to the cytosol has often been overlooked or has not been detected, but also addressed its importance for pathogenicity and future studies. The mycobacterial translocation pathway was further characterized in chapter 4, where we demonstrate the causality between translocation and pathogenicity. We show that various pathogenic, patient-derived mycobacteria are able to translocate to the cytosol, while non-pathogenic species or mutants can not. We further establish in this chapter that the mechanism of translocation is dependent on the ESX-1 (type VII) secretion system, as only strains that have a functional secretion system translocate, and reintroduction of the ESX-1 gene cluster into the non-virulent vaccine strain M. bovis BCG is sufficient to induce translocation. Several proteins are secreted by this secretion complex. We show that ESAT-6, and specifically the C-terminal region of the secreted ESAT-6 protein, is crucial for translocation. These data establish that the ESX-1 secretion system causes translocation and thereby determining mycobacterial pathogenicity. The compartment the mycobacteria reside in, determines which immune response will be triggered. The translocation to the cytosol gives more clarity on how antigen presentation via MHC class I molecules takes place. But there are also still question marks on the CD4+ T-cell activation, either via the MHC class II or CD1 presentation pathways. MHC class II molecules normally acquire peptide antigens and rapidly traffic from the phagolysosome to the plasma membrane, whereas CD1 molecules instead load lipid antigens, and continually recycle between the phagolysosome and the plasma membrane. In chapter 5 we show that both MHC class II and CD1b localize to bacterial phagosomes early after phagocytosis, but MHC class II traffics from the phagosome to the plasma membrane before the antigens are availabile and loading can take place. In contrast, CD1 antigen presentation is not hampered during M. tuberculosis infection, as a pool of CD1 molecules remains available on the phagolysosomal membrane that is able to acquire lipid antigens and deliver them to the plasma membrane. Thus, rather than facilitating antigen presentation, a lack of coordination in timing may allow M. tuberculosis to use DC maturation as a mechanism of immune evasion. An important and powerful technique, which is used often in this thesis to answer biological questions, is the transmission electron microscope. This microscope can go to much higher resolutions than light microscopy. Although special sample preparation is necessary, there is no need for (antibody) markers to visualize cellular structures or compartments. By morphological distinctive features, organelles can be distinguished, although protein A gold markers can be used as well to detect specific proteins. The advantage of this is that you can visualize in addition the structures in the cell that you were not primarily looking at. In contrast to for example fluorescence microscopy, whereby only the labeled proteins are visible while the rest of the cell remains invisible. Without using the electron microscope, many results described in this thesis would not have been found. I would like to draw special attention to the findings of chapter 2. By examining human dendritic cells and macrophages, which were infected with M. tuberculosis, we unexpectedly found mycobacteria that were not surrounded by a phagosomal membrane. This was a serendipitous finding, as we were not looking for them and were not even aware of the possibility of M. tuberculosis to become cytosolic. If another technique had been used, this important discovery would not have been made. By exploring the cellular localization of mycobacteria in greater detail, also in relation to antigen presenting molecules, we now have more insight in this important mechanism. Not only do we have a better understanding why M. bovis BCG is not very effective as vaccine against tuberculosis, we also know the requirements on how to improve a future vaccine: getting it into the cytosol.","Mycobacteria; Mycobacterium; tuberculosis; phagosome; translocation; escape; electron microscopy","en","doctoral thesis","CPI Whormann","","","","","","","2013-06-10","Applied Sciences","Technische natuurwetenschappen","","","",""
"uuid:286a60a5-4daa-492c-8d6f-6ec7fe04ed74","http://resolver.tudelft.nl/uuid:286a60a5-4daa-492c-8d6f-6ec7fe04ed74","Characterization of Low-dimensional Structures by Advanced Transmission Electron Microscopy","Yücelen, E.","Zandbergen, H.W. (promotor)","2011","This thesis describes method development in TEM-related techniques and their application to the study of nanoprecipitates and low-dimensional structures. The work is divided into two parts. The first part is focused on the structures of nanoprecipitates found in Al-Co, Al-Ni and Al-Fe-Zr alloys. The second part describes the effect of accelerating voltage and its direct impact on image formation in different materials including the application of low acceleration voltages to the imaging of graphene single/double sheets quantitatively.","Electron Microscopy; Electron Diffraction","en","doctoral thesis","Ipskamp Drukkers","","","","","","","2011-06-08","Applied Sciences","Kavli Institute of Nanoscience Delft","","","",""
"uuid:53adf9f3-ea87-4144-ab24-9308d5502877","http://resolver.tudelft.nl/uuid:53adf9f3-ea87-4144-ab24-9308d5502877","A piezo-thermal probe for thermomechanical analysis","Gaitas, A.; Gianchandani, S.; Zhu, W.","","2011","Thermomechanical analysis (TMA) is widely used to characterize materials and determine transition temperatures and thermal expansion coefficients. Atomic-force microscopy (AFM) microcantilevers have been used for TMA. We have developed a micromachined probe that includes two embedded sensors: one for measuring the mechanical movement of the probe (deflection) and another for providing localized heating. The new probe reduces costs and complexity and allow for portability thereby eliminating the need for an AFM. The sensitivity of the deflection element ((?R/R)/deflection) is 0.1 ppm/nm and its gauge factor is 3.24. The melting temperature of naphthalene is measured near 78.5 ºC.","atomic force microscopy; micromachining; micromechanical devices; microsensors; piezoelectric devices; thermal expansion","en","journal article","American Institute of Physics","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:ff226ad0-ffb2-4b4c-bdb6-9881961bc7f1","http://resolver.tudelft.nl/uuid:ff226ad0-ffb2-4b4c-bdb6-9881961bc7f1","Self-healing of lime based mortars: Microscopy observations on case studies","Lubelli, B.; Nijland, T.G.; Van Hees, R.P.J.","","2011","Lime mortars have, up to a certain extent, a self-healing capacity which may contribute to their durability. Self-healing in lime mortars consists of a process of dissolution, transport and re-precipitation of calcium compounds to heal cracks and fissures. The spontaneous occurrence of self-healing in lime-based mortars is a well known phenomenon; to date, however, little research has been done on its occurrence in the practice. This study aims at gaining a better understanding of the self-healing mechanism in lime-based mortars through the investigation of case studies where self-healing has been observed. On the basis of the results obtained the relevance of the various controlling factors, the way in which they potentially interact, and how they affect the occurrence of self-healing is evaluated. Lime-based mortar samples collected from several case studies were studied. Thin sections were prepared and studied by means of Polarization & Fluorescence Microscopy (PFM). The influence of selected parameters (moisture content, degree of carbonation, binder-sand ratio, binder type, etc.) on the nature (calcium carbonate or calcium hydroxide) and crystal habits of the precipitated products has been investigated. The results obtained indicate that some recurrent patterns exist in the nature and the crystal habits of the re-precipitated products depending, among other factors, on the moisture content and on the degree of carbonation of the mortar.","Self-healing; lime mortars; calcite; portlandite; microscopy","en","journal article","","","","","","","","","","","","","",""
"uuid:f0a38980-f041-41ca-9585-9e0b89963d8d","http://resolver.tudelft.nl/uuid:f0a38980-f041-41ca-9585-9e0b89963d8d","Defect evolution during annealing of deformed FeSi alloys studied by positron annihilation spectroscopy","Mostafa, K.M.; González Cámara, F.; Petrov, R.; Rodriguez Calvillo, P.; De Grave, E.; Segers, D.; Houbaert, Y.","","2011","High silicon steel is widely used in electrical appliances. Alloying iron with silicon improves its magnetic performance. A silicon content up to 6.5 wt. % gives excellent magnetic properties such as high saturation magnetization, near zero magnetostriction and low iron loss in high frequencies. Their workability is greatly reduced by the appearance of ordered structures, namely B2 and D03, as soon as the Si content becomes higher than 3.5 wt. %. This limits the mass production by conventional rolling to this maximum percentage of Si. In this work a series of FeSi (7.5 wt. % Si) samples with different degrees of deformation are investigated with positron annihilation spectroscopy and optical microscopy (OM). The influence of annealing on the concentration of defects of different deformed FeSi alloys has been investigated by positron annihilation lifetime spectroscopy and Doppler broadening of the annihilation radiation. OM is used to investigate the microstructure of deformed samples before and after annealing. The values of the S parameter present a decrease for all studied FeSi alloys with the increase of the annealing temperature, being attributed to a decrease of the concentration of defects. A sudden increase of the S-parameter value at 600?°C was observed for all samples, which could be related to the change of the ordering of the FeSi alloys at that temperature. At 700 °C, the values of the S parameter decreased drastically and starting from 900?°C, they became constant. The microstructures of the alloys, investigated by OM, show that recrystallization is completed at 900?°C and the samples are mainly free of defects, which is in agreement with the positron annihilation lifetime data.","annealing; crystal microstructure; deformation, Doppler broadening; ferromagnetic materials; iron alloys; magnetisation; magnetostriction; optical microscopy; positron annihilation; rolling; silicon alloys","en","journal article","American Institute of Physics","","","","","","","","Mechanical, Maritime and Materials Engineering","Materials Science and Engineering","","","",""
"uuid:96496c82-aa78-4df8-bc4a-e21af388132b","http://resolver.tudelft.nl/uuid:96496c82-aa78-4df8-bc4a-e21af388132b","Corrosion Performance of Carbon Steel in Simulated Pore Solution in the Presence of Micelles","Hu, J.; Koleva, D.A.; De Wit, J.H.W.; Kolev, H.; Van Breugel, K.","","2011","This study presents the results on the investigation of the corrosion behavior of carbon steel in model alkaline medium in the presence of very low concentration of polymeric nanoaggregates [0.0024 wt % polyethylene oxide (PEO)113-b-PS70 micelles]. The steel electrodes were investigated in chloride free and chloride-containing cement extracts. The electrochemical measurements (electrochemical impedance spectroscopy and potentiodynamic polarization) indicate that the presence of micelles alters the composition of the surface layers (i.e., micelles were indeed absorbed to the steel surface) and influences the electrochemical behavior of the steel, i.e., the micelles lead to an initially increased corrosion resistance of the steel whereas no significant improvement was observed within longer immersion periods. Surface analysis, performed by environmental scanning electronic microscopy, energy-dispersive x-ray analysis, and x-ray photoelectron spectroscopy, supports and elucidates the corrosion performance. The product layers in the micelles-containing specimens are more homogenous and compact, presenting protective ?-Fe2O3 and/or Fe3O4, whereas the product layers in the micelles-free specimens exhibit mainly FeOOH, FeO, and FeCO3, which are prone to chloride attack. Therefore, the increased “barrier effects” along with the layers composition and altered surface morphology denote for the initially increased corrosion resistance of the steel in chloride-containing alkaline medium in the presence of micelles.","aggregates (materials); carbon steel; colloids; corrosion resistance; electrochemical electrodes; electrochemical impedance spectroscopy; nanocomposites; polymers; scanning electron microscopy; surface morphology; X-ray chemical analysis; X-ray photoelectron spectra","en","journal article","The Electrochemical Society","","","","","","","","Civil Engineering and Geosciences","Design and Construction","","","",""
"uuid:662cc9b5-c69f-4e9e-8b3e-17b8df382885","http://resolver.tudelft.nl/uuid:662cc9b5-c69f-4e9e-8b3e-17b8df382885","Nanofabrication with a helium ion microscope","Maas, D.; Van veldhoven, E.; Chen, P.; Sidorkin, V.; Salemink, H.; Van der Drift, E.; Alkemade, P.","","2010","The recently introduced helium ion microscope (HIM) is capable of imaging and fabrication of nanostructures thanks to its sub-nanometer sized ion probe [1,2]. The unique interaction of the helium ions with the sample material provides very localized secondary electron emission, thus providing a valuable signal for high-resolution imaging as well as a mechanism for very precise nanofabrication [3]. The low proximity effects, due to the low yield of backscattered ions and the confinement of the forward scattered ions into a narrow cone, enable patterning of ultra-dense sub-10 nm structures. This paper presents various nanofabrication results obtained with direct-write, with scanning helium ion beam lithography, and with helium ion beam induced deposition.","Helium Ion Microscopy; HIM; nanoimaging; nanofabrication; scanning helium ion beam lithography; SHIBL; lithographyhelium ion beam induced deposition; HIBID","en","conference paper","SPIE","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:8e1b48db-49f6-4870-b519-663d1896edbb","http://resolver.tudelft.nl/uuid:8e1b48db-49f6-4870-b519-663d1896edbb","High frame-rate TCSPC-FLIM using a novel SPAD-based image sensor","Gersbach, M.; Trimananda, R.; Maruyama, Y.; Fishburn, M.; Cahrbon, E. et al","","2010","Imaging techniques based on time-correlated single photon counting (TCSPC), such as fluorescence lifetime imaging microscopy (FLIM), rely on fast single-photon detectors as well as timing electronics in the form of time-to-digital or time-to-analog converters. Conventional systems rely on stand-alone or small arrays (up to 32) of detectors and external timing and memory modules. We recently developed a fully integrated image sensor containing 32x32 pixels and fabricated in a 130 nm CMOS technology. The chip produces an overall data rate of 10Gb/s in terms of time-of-arrival measurements in each pixel. As opposed to conventional single detector FLIM systems, the present system can acquire a full image, albeit at low resolution, without the need of an optical scanning system. As a consequence the complexity of the optical setup is reduced and the acquisition speed is dramatically increased. We show the potential of this new technology by presenting high time resolution (119 ps) TCSPC-FLIM images of pollen grains with acquisition times as low as 69 ms. Furthermore, the low noise (~100 Hz) and high photon detection probability (up to 35%) ensure a good photon economy over the visible spectrum. We believe that this technology will open the way to fast TCSPC-FLIM recordings of transient signals in the bio- and life sciences, such as in neuron signaling.","single-photon avalanche diode, avalanche photodiode, complementary metal-oxide semiconductor, SPAD, APD, CMOS, fluorescence lifetime imaging microscopy, FLIM","en","conference paper","SPIE","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Microelectronics","","","",""
"uuid:056b76dc-da9c-42df-9aad-d155fd067b48","http://resolver.tudelft.nl/uuid:056b76dc-da9c-42df-9aad-d155fd067b48","Brightness limitations of cold field emitters caused by Coulomb interactions","Cook, B.J.; Verduin, T.; Hagen, C.W.; Kruit, P.","","2010","Emission theory predicts that high brightness cold field emitters can enhance imaging in the electron microscope. This (neglecting chromatic aberration) is because of the large (coherent) probe current available from a high brightness source and is based on theoretically determined values of reduced brightnesses up to 1014?A/(m2?sr?V). However, in their analysis, the authors find that statistical Coulomb interactions limit the reduced brightness of even atomically sharp cold field emitters to 1011?A/(m2?sr?V) and regular tungsten cold field emitters to around 2×108?A/(m2?sr?V). The authors also find that for tip radii in the range from 5 nm to 1??m, cold field emitters do not outperform larger Schottky (thermal field) emitters. Although this is applied to only one geometry, they expect that similar results will occur for most other cases due to a distinct difference in the behavior of different beam regimes.","brightness; field emission electron microscopy; field emitter arrays","en","journal article","American Vacuum Society","","","","","","","","Applied Sciences","IST/Imaging Science and Technology","","","",""
"uuid:8ef5b075-b71f-4c24-a6cf-62650d08a970","http://resolver.tudelft.nl/uuid:8ef5b075-b71f-4c24-a6cf-62650d08a970","A unique growth mechanism of donut-shaped Mg–Al layered double hydroxides crystals revealed by AFM and STEM–EDX","Budhysutanto, W.N.; Van Den Bruele, F.J.; Rossenaar, B.D.; Van Agterveld, D.; Van Enckevort, W.J.P.; Kramer, H.J.M.","","2010","Donut-like crystals of Mg–Al layered double hydroxides (LDH) are synthesized using a hydrothermal method with microwave heating. This morphology provides enlargement of the specific surface area of the {h k 0} faces, needed for adsorption application. The growth mechanism for donut-shaped crystals is proposed on the basis of AFM and STEM–EDX images. The nucleation of Mg–Al LDH starts on the amorphous surface of spherical MgO particles, which have a much lower solubility compared to the aluminum trihydroxides at the synthesis pH (?11). The outgrowing nucleus provides re-entrant corners on both sides. These are preferential sites for the addition of new growth units, allowing lateral growth of the LDH crystal encircling the MgO particles. The dissolving MgO provides the supersaturation needed for growth and once it is depleted, a donut-like structure remains.","A1. atomic force microscopy; A1. scanning transmission electron; microscopyEnergy dispersive X-ray; spectroscopy; A2. hydrothermal crystal growth; B1. layered double hydroxides","en","journal article","Elsevier","","","","","","","","Mechanical, Maritime and Materials Engineering","Process and Energy","","","",""
"uuid:dfd4ed73-1c17-41ad-a192-8be1c6dc2b63","http://resolver.tudelft.nl/uuid:dfd4ed73-1c17-41ad-a192-8be1c6dc2b63","Accuracy of the Gaussian Point Spread Function model in 2D localization microscopy","Stallinga, S.; Rieger, B.","","2010","The Gaussian function is simple and easy to implement as Point Spread Function (PSF) model for fitting the position of fluorescent emitters in localization microscopy. Despite its attractiveness the appropriateness of the Gaussian is questionable as it is not based on the laws of optics. Here we study the effect of emission dipole orientation in conjunction with optical aberrations on the localization accuracy of position estimators based on a Gaussian model PSF. Simulated image spots, calculated with all effects of high numerical aperture, interfaces between media, polarization, dipole orientation and aberrations taken into account, were fitted with a Gaussian PSF based Maximum Likelihood Estimator. For freely rotating dipole emitters it is found that the Gaussian works fine. The same, theoretically optimum, localization accuracy is found as if the true PSF were a Gaussian, even for aberrations within the usual tolerance limit of high-end optical imaging systems such as microscopes (Marechal’s diffraction limit). For emitters with a fixed dipole orientation this is not the case. Localization errors are found that reach up to 40 nm for typical system parameters and aberration levels at the diffraction limit. These are systematic errors that are independent of the total photon count in the image. The Gaussian function is therefore inappropriate, and more sophisticated PSF models are a practical necessity.","fluorescence microscopy; image formation theory; superresolution","en","journal article","Optical Society of America","","","","","","","","Applied Sciences","Imaging Science and Technology","","","",""
"uuid:9f53395d-db0c-4d1d-9871-e847c967094f","http://resolver.tudelft.nl/uuid:9f53395d-db0c-4d1d-9871-e847c967094f","Fluorescence lifetime biosensing with DNA microarrays and a CMOS-SPAD imager","Giraud, G.; Schulze, H.; Li, D.U.; Bachmann, T.T.; Crain, J.; Tyndall, D.; Richardson, J.; Walker, R.; Stoppa, D.; Charbon, E.; Henderson, R.; Arlt, J.","","2010","Fluorescence lifetime of dye molecules is a sensitive reporter on local microenvironment which is generally independent of fluorophores concentration and can be used as a means of discrimination between molecules with spectrally overlapping emission. It is therefore a potentially powerful multiplexed detection modality in biosensing but requires extremely low light level operation typical of biological analyte concentrations, long data acquisition periods and on-chip processing capability to realize these advantages. We report here fluorescence lifetime data obtained using a CMOS-SPAD imager in conjunction with DNA microarrays and TIRF excitation geometry. This enables acquisition of single photon arrival time histograms for a 320 pixel FLIM map within less than 26 seconds exposure time. From this, we resolve distinct lifetime signatures corresponding to dye-labelled HCV and quantum-dot-labelled HCMV nucleic acid targets at concentrations as low as 10 nM.","fluorescence microscopy; lifetime-based sensing; medical optics instrumentation; biological sensing and sensors; avalanche photodiodes (APDs)","en","journal article","Optical Society of America","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Micro Electronics","","","",""
"uuid:893decd4-b0f4-425c-82d7-41368a5fd01c","http://resolver.tudelft.nl/uuid:893decd4-b0f4-425c-82d7-41368a5fd01c","A high efficiency superconducting nanowire single electron detector","Rosticher, M.; Ladan, F.R.; Maneval, J.P.; Dorenbos, S.N.; Zijlstra, T.; Klapwijk, T.M.; Zwiller, V.; Lupa?cu, A.; Nogues, G.","","2010","We report the detection of single electrons using a Nb0.7Ti0.3N superconducting wire deposited on an oxidized silicon substrate. While it is known that this device is sensitive to single photons, we show that it also detects single electrons with kilo-electron-volt energy emitted from the cathode of a scanning electron microscope with an efficiency approaching unity. The electron and photon detection efficiency map of the same device are in good agreement. We also observe detection events outside the active area of the device, which we attribute to sensitivity to backscattered electrons.","cathodes; electron backscattering; nanowires; photon counting; scanning electron microscopy; superconducting photodetectors","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:f1eaec5d-29fe-4424-b8f4-9d6d9e49985a","http://resolver.tudelft.nl/uuid:f1eaec5d-29fe-4424-b8f4-9d6d9e49985a","Developing and Analysing sub-10 µm Fluidic Systems with Integrated Electrodes for Pumping and Sensing in Nanotechnology Applications","Heuck, F.C.A.","Staufer, U. (promotor)","2010","In this thesis, sub-10 µm fluidic systems with integrated electrodes for pumping and sensing in nanotechnology applications were developed and analyzed. This work contributes to the development of the scanning ion pipette (SIP), a tool to investigate surface changes on the nanometer scale induced by locally administering chemically or bio-active solutions. For this purpose, the already existing technology of a micropipette integrated into a scanning force microscope (SFM)-chip was enhanced by the use of electrodes for on-chip electrochemical sensing and electroosmotic (EO) pumping. The integration of an EO pump offered the possibility of storing, selecting and dispensing multiple different liquids with the SIP chip. For a high density and convenient electronic integration, an EO pump with a small footprint (less than 100 µm × 100 µm) and low actuation voltage (less than 10 V) had to be developed. The thesis starts with a detailed analysis of the microfabrication process to build the SIP’s network of capillaries, the freestanding cantilever and the tip. The fabrication was based on standard micromachining, from well established MEMS processes. The main innovation, previously developed by Hug et al., was to outline the capillaries of the fluidic system, the cantilever and the tip in one wafer, and fabricating the fluidic through wafer connections and the SIP chip outline in another wafer. By bonding the two wafers together, the former trenches were capped and by a subsequent high temperature oxidation, the hydrophilic silicon dioxide (SiO2) capillaries were formed. Afterwards, the buried fluidic system and the cantilever were released, providing optical access to the capillaries. Finally, the outlet hole was drilled next to the tip apex with a focussed ion beam. This SIP fabrication process was highly versatile with regards to the capillary geometry, allowing the design of a complex capillary geometry for a multifunctional microfluidic system. To obtain a first experience with these small capillary dimensions, the integration of an evaporation based micropump into the SIP was investigated. Its actuation did not require any electrodes and hence, it could be directly implemented into the SIP fabrication process, without any additional fabrications steps. The working principle of an evaporation based micropump is as follows: The hydrophilic capillaries of the SIP were spontaneously filled with a water based solution. Once the fluid reached the capillary outlet inside, it started evaporating. The capillary pressure kept the outlet of the capillaries wetted, and thereby, automatically replaced the evaporation loss by drawing additional water through the capillaries. This resulted in a unidirectional pump, which could be controlled by the temperature at the evaporation area. The evaporation induced flowrate was experimentally determined to range from 7 pl·s-1 at 23° C up to 53 pl·s-1 at 65° C depending exponentially on the temperature. A more advanced bidirectional EO pump with platinum (Pt) electrodes, based on a simplified fabrication process, resulting in comparable SIP capillary dimensions, was experimentally analyzed and modelled. The current-coupling between the Pt electrodes and the solution required a SIP specific on-chip design to ventilate the emerging electrolyzed gases. This was achieved by integrating the electrodes into a novel liquid-gas (LG) separator. The LG-separator separated the gas bubbles from the liquid and guided them away from the EO pump. Its operation principle is solely based on the LG-separator’s geometry of tapered sidewalls, taking advantage of the high capillary pressure occurring at the bubble’s liquid gas interfaces at this small scale. The LG-separator was experimentally analyzed and modelled. In the experimental analysis, the maximum backpressure of the LG-separator was determined to be 0.6 kPa. It was able to reliably separate and ventilate an emerging gas flow of 2 pl·s-1. For a deeper understanding, the development and the propagation of the bubble within the LG-separator was analytically described in three dimensions. The model and the derived design guidelines show that Pt electrodes, combined with the LG-separator, open an interesting new field for complex high density electrohydrodynamic and electrochemical microfluidic applications. A microfluidic system, containing two LG-separators sandwiching an EO pump, was also analyzed and modelled. The EO pump achieved a flow rate of 50 pl·s-1 at a low actuation voltage of 5 V. The developed corresponding model of the flows within the fluidic system was in good agreement with the measured values. According to the model, an EO pump with a high backpressure (3.6 kPa·V-1) enabling a high dispensing flowrate of 1.5 pl·V-1·s-1 (corresponding to a SIP immersed in water, outlet hole radius of 100 nm) can be built. The performance and integration of a second type of electrodes, based on silver/silver chloride (Ag/AgCl), into the SIP was investigated. These electrodes had the outstanding advantage that during electrode actuation the electrochemical reaction continued to transform Ag into AgCl and vice versa, rather than electrolyzing the liquid. Moreover, these electrodes could be integrated in a post SIP capillary fabrication step, circumventing electrode instability caused by the high temperature oxidation step to form the SiO2 of the capillary sidewalls. The general processflow to integrate the Ag/AgCl electrodes into the fabricated SIP capillary fabrication step was: The adhesion of the Ag electrode to the SiO2 capillary sidewall was improved by using an intermediate polymeric layer consisting of 3-mercaptopropylmethyldimethoxy silane (MPS). This silanization step turned out to be essential for reliably stable Ag electrodes in a capillary dimension of less than 10 µm, since the strong capillary force tended to delaminate the electrode. Crucial for a successful silanization was the use of a gas phase deposition on a dehydrated surface, to avoid the formation of polymeric MPS globuly. Electroless deposition provided a highly flexible and unique tool to deposit the electrodes in the closed SIP capillaries. The general idea was to fill a solution of Ag ions, as well as a reducing agent into the capillary. During the electrochemical reaction, the Ag electrodes started to grow on the capillary sidewall. The deposition of thick electrodes was required since during the electrode actuation, either Ag or AgCl was continuously consumed. This deposition of thick electrodes was especially challenging due to a minute available capillary volume, hence high concentrations within the electroless solution were used. The best electroless deposition process control was achieved with an improved Tollens solution and the reaction speed was controlled with the sodium citrate concentration (decrease) and the sodium hydroxide concentration (increase). Two different methods of electroless deposition were employed: First, a batch-like dip process of multiple electroless depositions, and second, a single electrode flow deposition process providing continuously fresh electroless solution. The electrode structuring was performed by controlling the capillary filling of the electroless solution within the fluidic system by microfluidic stopvalves. The stopvalve functionality was twofold, the solution was reliably stopped during the electroless deposition and afterwards the stopvalve was void free filled to ensure correct fluidic actuation of the final device. This should be done preferably without applying any external pressure. The switching from stopping to transmitting the solution was induced by a change in the solution’s surface tension. The stopvalve performance was modelled by improving the previously two dimensional model to three dimensions including, additionally, the design fabrication specific corner rounding and low capillary cross section’s aspect ratio. After the electroless deposition and structuring, the Ag electrode was further transformed into an Ag/AgCl electrode. Similarly to the electroless Ag deposition, the electrode transformation was performed with a flow of either sodium hypochloride or ferric(III) chloride. The transformation should be limited to 20% of the initial Ag layer thickness, due to stress related electrode delamination or cracking, originating in the density difference of Ag and AgCl. Interestingly, the electrochemical transformation process from Ag into AgCl could be described by the Deal-Grove model for the oxidation of silicon. The growth of AgCl depended nonlinearly on electrochemical reaction time. After an AgCl thickness of about 40 nm, the electrochemical reaction was dominated by the diffusion of the oxidizing species through an increasing layer of AgCl. Electrodes were deposited into three different capillaries: a) into the SIP capillary itself (cross section 2.2 µm × 3.7 µm), b) for larger availability and easier accessibility, into commercially available round capillaries (radius up to 520 µm), and c) a polymeric microfluidic system with rectangular capillaries (cross section 55 µm × 65 µm). Inside the SIP, the functionality of the microfluidic stopvalve was experimentally verified, a binary solution of 20% ethanol in water (contact angle 82°) was stopped and a binary solution of 40% ethanol in water (contact angle 58°) filled the stopvalve void free. In addition, a successful dip electroless deposition and stopvalve structuring of Ag electrodes was shown. Inside round capillaries, multiple electroless depositions revealed that each deposition increased the Ag layer thickness of 51 nm. The deposited Ag layer had a high specific conductivity of 6 × 107 S·m-1, indicating a high purity and density. The further transformation into Ag/AgCl provided electrodes to electrochemically measure different pH values. A linear pH sensitivity of 57.4 mV·pH-1 at 22.7° C with a good agreement of Nernstian behavior was reached. During these experiments, it turned out that the electroless Ag deposition was highly contamination sensitive which was strongly enhanced by the small capillary cross section. Therefore, the deposition into the polymeric fluidic system was performed with a flow of electroless solution instead of previously used multiple depositions. Despite the individual electrode deposition, the flow deposition had the advantage that the concentration could be kept constant throughout the capillary during the complete deposition time. This provided a better reaction control due to a lower concentration and in addition, it reduced the effect of the minute available capillary volume. The EO pump inside the polymeric fluidic system had an experimentally determined pump rate of 0.12 nl·s-1·V-1. First, experiments with the SIP for imaging and dispensing were performed. In order to get a first hand-on experience, a less delicate sample, in less challenging conditions, was chosen, then anticipated for the expected SIP imaging of a living cell. The imaging capabilities were illustrated by imaging in tapping mode a fixed and dried Escherichia Coli bacteria. The obtained images had a reasonable image quality and resolution. Moreover, no special skills in handling the SFM were required, since it did not perform differently with a mounted SIP than with a mounted standard commercially available SFM. In case of dispensing, with an externally applied pressure, the development of a bubble at the outlet hole of the tip was observed. With the currently used method of gluing the SIP to the SFM holder, no satisfying and reliable mounting was achieved. The main reasons of failure were leakage afflicted sealing between the SIP and the SFM holder, contamination of the SIP capillaries and finally breaking of the SIP cantilever during the complex and lengthy mounting procedure. This, again, shows the necessity of improving SIP techniques towards autonomous on-chip fluid handling.","Microfabrication; Microfluidic; Scanning Force Microscopy; Electroosmotic Pumping","en","doctoral thesis","","","","","","","","2010-10-28","Mechanical, Maritime and Materials Engineering","PME","","","",""
"uuid:80edaf97-5f42-4c7e-a279-6bcd2c091b9a","http://resolver.tudelft.nl/uuid:80edaf97-5f42-4c7e-a279-6bcd2c091b9a","Microscopy analysis of soils at the Phoenix landing site, Mars: Classification of soil particles and description of their optical and magnetic properties","Goetz, W.; Pike, W.T.; Hviid, S.F.; Madsen, M.B.; Morris, R.V.; Hecht, M.H.; Staufer, U.; Leer, K.; Sykulska, H.; Hemmig, E.; Marshall, J.; Morookian, J.M.; Parrat, D.; Vijendran, S.; Bos, B.J.; El Maarry, M.R.; Keller, H.U.; Kramm, R.; Markiewicz, W.J.; Drube, L.; Blaney, D.; Arvidson, R.E.; Bell, J.F.; Reynolds, R.; Smith, P.H.; Woida, P.; Woida, R.; Tanner, R.","","2010","The optical microscope onboard the Phoenix spacecraft has returned color images (4 ?m pixel?1) of soils that were delivered to and held on various substrates. A preliminary taxonomy of Phoenix soil particles, based on color, size, and shape, identifies the following particle types [generic names in brackets]: (1) reddish fines, mostly unresolved, that are spectrally similar to (though slightly darker than) global airborne dust [red fines], (2) silt? to sand?sized brownish grains [brown sand], (3) silt? to sand?sized black grains [black sand], and (4) small amounts of whitish fines, possibly salts [white fines]. Most particles have a saturation magnetization in the range 0.5?2 Am2 kg?1 as inferred from their interaction with magnetic substrates. The particle size distribution has two distinct peaks below 10 ?m (fines) and in the range 20–100 ?m (grains), respectively, and is different from that of ripple soils in Gusev crater. In particular medium to large sand grains appear to be absent in Phoenix soils. Most sand grains have subrounded shape with variable texture. A fractured grain (observed on sol 112) reveals evidence of micrometer?sized crystal facets. The brown sand category displays a large diversity in color including shiny, almost colorless particles. Potential source regions for these grains may be the Tharsis volcanoes or Heimdal crater (20 km east of the landing site). The black grains are suggested to belong to a more widespread population of particles with mafic mineralogy. The absence of black/brown composite grains is consistent with different formation pathways and source regions for each grain type.","Mars; soil; microscopy","en","journal article","American Geophysical Union","","","","","","","","Mechanical, Maritime and Materials Engineering","Precision and Microsystems Engineering","","","",""
"uuid:6f75add4-61ec-4bb9-9a88-f7681a10b236","http://resolver.tudelft.nl/uuid:6f75add4-61ec-4bb9-9a88-f7681a10b236","Terahertz near-field microspectroscopy","Knab, J.R.; Adam, A.J.L.; Chakkittakandy, R.; Planken, P.C.M.","","2010","Using near-field, terahertz time-domain spectroscopy (THz-TDS), we investigate how the addition of a dielectric material into a subwavelength-diameter, cylindrical waveguide affects its transmission properties. The THz electric near-field is imaged with deep subwavelength resolution as it emerges from filled and unfilled waveguides. Spectroscopic data measured for waveguides filled with polycrystalline D-tartaric acid, and with polyethylene and silicon powders, illustrate the feasibility of this approach for obtaining spectroscopic information from a tiny sample volume.","circular waveguides; dielectric materials; dielectric waveguides; near-field scanning optical microscopy","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Imaging Science and Technology","","","",""
"uuid:b4433957-0951-4eb6-9181-e0992505f366","http://resolver.tudelft.nl/uuid:b4433957-0951-4eb6-9181-e0992505f366","Real-time fluorescence lifetime imaging system with a 32 × 32 0.13?m CMOS low dark-count single-photon avalanche diode array","Li, D.U.; Arlt, J.; Richardson, J.; Walker, R.; Buts, A.; Stoppa, D.; Charbon, E.; Henderson, R.","","2010","A compact real-time fluorescence lifetime imaging microscopy (FLIM) system based on an array of low dark count 0.13?m CMOS singlephoton avalanche diodes (SPADs) is demonstrated. Fast background-insensitive fluorescence lifetime determination is achieved by use of a recently proposed algorithm called ‘Integration for Extraction Method’ (IEM) [J. Opt. Soc. Am. A 25, 1190 (2008)]. Here, IEM is modified for a wider resolvability range and implemented on the FPGA of the new SPAD array imager. We experimentally demonstrate that the dynamic range and accuracy of calculated lifetimes of this new camera is suitable for widefield FLIM applications by imaging a variety of test samples, including various standard fluorophores covering a lifetime range from 1.6ns to 16ns, microfluidic mixing of fluorophore solutions, and living fungal spores of Neurospora Crassa. The calculated lifetimes are in a good agreement with literature values. Real-time fluorescence lifetime imaging is also achieved, by performing parallel 32 × 16 lifetime calculations, realizing a compact and low-cost FLIM camera and promising for bigger detector arrays.","photon counting; avalanche photodiodes (APDs); microscopy; fluorescence microscopy; lifetime-based sensing; time-resolved imaging","en","journal article","Optical Society of America","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Micro Electronics","","","",""
"uuid:a8c8bacc-f94d-4553-8f0c-b25900fb4ab3","http://resolver.tudelft.nl/uuid:a8c8bacc-f94d-4553-8f0c-b25900fb4ab3","Atomic radical abatement of organic impurities from electron beam deposited metallic structures","Wnuk, J.D.; Gorham, J.M.; Rosenberg, S.G.; Madey, T.E.; Hagen, C.W.; Fairbrother, D.H.","","2010","Focused electron beam induced processing (FEBIP) of volatile organometallic precursors has become an effective and versatile method of fabricating metal-containing nanostructures. However, the electron stimulated decomposition process responsible for the growth of these nanostructures traps much of the organic content from the precursor’s ligand architecture, resulting in deposits composed of metal atoms embedded in an organic matrix. To improve the metallic properties of FEBIP structures, the metal content must be improved. Toward this goal, the authors have studied the effect of atomic hydrogen (AH) and atomic oxygen (AO) on gold-containing deposits formed from the electron stimulated decomposition of the FEBIP precursor, dimethyl-(acetylacetonate) gold(III), AuIII(acac)Me2. The effect of AH and AO on nanometer thick gold-containing deposits was probed at room temperature using a combination of x-ray photoelectron spectroscopy (XPS), scanning Auger electron spectroscopy, and atomic force microscopy (AFM). XPS revealed that deposits formed by electron irradiation of AuIII(acac)Me2 are only ?10% gold, with ?80% carbon and ?10% oxygen. By exposing deposits to AH, all of the oxygen atoms and the majority of the carbon atoms were removed, ultimately producing a deposit composed of ?75% gold and ?25% carbon. In contrast, all of the carbon could be etched by exposing deposits to AO, although some gold atoms were also oxidized. However, oxygen was rapidly removed from these gold oxide species by subsequent exposure to AH, leaving behind purely metallic gold. AFM analysis revealed that during purification, removal of the organic contaminants was accompanied by a decrease in particle size, consistent with the idea that the radical treatment of the electron beam deposits produced close packed, gold particles. The results suggest that pure metallic structures can be formed by exposing metal-containing FEBIP deposits to a sequence of AO followed by AH.","atomic force microscopy; Auger electron spectroscopy; decomposition; electron beam deposition; free radicals, impurities; organic compounds; X-ray photoelectron spectra; electron beam induced deposition; atomic hydrogen; atomic oxygen; dimethyl-(acetylacetonate) gold (III)","en","journal article","American Vacuum Society","","","","","","","","Applied Sciences","IST/Imaging Science and Technology","","","",""
"uuid:42421d93-4633-438b-ad49-8fa654dc9780","http://resolver.tudelft.nl/uuid:42421d93-4633-438b-ad49-8fa654dc9780","Thermal stability of gas phase magnesium nanoparticles","Krishnan, G.; Kooi, B.J.; Palasantzas, G.; Pivak, Y.; Dam, B.","","2010","In this work we present a unique transmission electron microscopy study of the thermal stability of gas phase synthesized Mg nanoparticles, which have attracted strong interest as high capacity hydrogen storage materials. Indeed, Mg nanoparticles with a MgO shell ( ? 3?nm thick) annealed at 300?°C show evaporation, void formation, and void growth in the Mg core both in vacuum and under a high pressure gas environment. This is mainly due to the outward diffusion and evaporation of Mg with the simultaneously inward diffusion of vacancies leading to void growth (Kirkendall effect). The rate of Mg evaporation and void formation depends on the annealing conditions. In vacuum, and at T = 300?°C, the complete evaporation of the Mg core takes place (within a few hours) for sizes ? 15–20?nm. Void formation and growth has been observed for particles with sizes ? 20–50?nm, while stable Mg nanoparticles were observed for sizes >50?nm. Furthermore, even at relative low temperature annealing (as low as 60?°C), void formation and growth occurs in 15–20 nm sized Mg nanoparticles, indicating that voiding will be even more dominant for nanoparticles smaller than 10 nm. Our findings confirm that Mg evaporation and void formation in nanoparticles with sizes less than 50 nm present formidable barriers for their applicability in hydrogen storage, but also could inspire future research directions to overcome these obstacles.","annealing; diffusion; hydrogen storage; magnesium; nanoparticles; particle size; thermal stability; transmission electron microscopy; vacancies (crystal); voids (solid)","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","DelftChemTech","","","",""
"uuid:22ef551d-01b9-4efc-ba36-244de8e5a0ce","http://resolver.tudelft.nl/uuid:22ef551d-01b9-4efc-ba36-244de8e5a0ce","Electron beam irradiation of dimethyl-(acetylacetonate) gold(III) adsorbed onto solid substrates","Wnuk, J.D.; Gorham, J.M.; Rosenberg, S.G.; Van Dorp, W.F.; Madey, T.E.; Hagen, C.W.; Fairbrother, D.H.","","2010","Electron beam induced deposition of organometallic precursors has emerged as an effective and versatile method for creating two-dimensional and three-dimensional metal-containing nanostructures. However, to improve the properties and optimize the chemical composition of nanostructures deposited in this way, the electron stimulated decomposition of the organometallic precursors must be better understood. To address this issue, we have employed an ultrahigh vacuum-surface science approach to study the electron induced reactions of dimethyl-(acetylacetonate) gold(III) [AuIII(acac)Me2] adsorbed onto solid substrates. Using thin molecular films adsorbed onto cooled substrates, surface reactions, reaction kinetics, and gas phase products were studied in the incident energy regime between 40 and 1500 eV using a combination of x-ray photoelectron spectroscopy (XPS), reflection absorption infrared spectroscopy (RAIRS), and mass spectrometry (MS). XPS and RAIRS data indicate that electron irradiation of AuIII(acac)Me2 is accompanied by the reduction in AuIII to a metallic Au0 species embedded in a dehydrogenated carbon matrix, while MS reveals the concomitant evolution of methane, ethane, carbon monoxide, and hydrogen. The electron stimulated decomposition of AuIII(acac)Me2 is first-order with respect to the surface coverage of the organometallic precursor, and exhibits a rate constant that is proportional to the electron flux. At an incident electron energy of 520 eV, the total reaction cross section was ? 3.6×10?16?cm2. As a function of the incident electron energy, the maximum deposition yield was observed at ?175 eV. The structure of discrete Au-containing deposits formed at room temperature by rastering an electron beam across a highly ordered pyrolytic graphite substrate in the presence of a constant partial pressure of AuIII(acac)Me2 was also investigated by atomic force microscopy.","adsorption; atomic force microscopy; electron beam deposition; gold compounds; infrared spectra; mass spectroscopic chemical analysis; nanostructured materials; organometallic compounds; surface chemistry; X-ray photoelectron spectra","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Imaging Science and Technology","","","",""
"uuid:2eefba9c-b6df-46e1-b9e9-c6998a7bb6f9","http://resolver.tudelft.nl/uuid:2eefba9c-b6df-46e1-b9e9-c6998a7bb6f9","On the influence of the sputtering in determining the resolution of a scanning ion microscope","Castaldo, V.; Hagen, C.W.; Kruit, P.; Van Veldhoven, E.; Maas, D.","","2009","The determination of the quality of an imaging system is not an easy task for, in general, at least three parameters, strictly interdependent, concur in defining it: resolution, contrast, and signal-to-noise ratio. The definition of resolution itself in scanning microscopy is elusive and the case of scanning ion microscopy is complicated by the damage of the sample under the ion beam, which, especially for small features, can be the limiting factor. This is indeed the case for most focused ion beam systems, which exploit beams of Ga+. The only way to overcome this limit is to exploit sources of low mass ions, such as H+ and He+. In this article the authors analyze the way the sputtering may affect the resolution, defined as smallest detectable feature in an image, of a scanning ion microscope, for heavy and light ions, in the case of spherical features. It appears that the fundamental limit to the resolution in scanning microscopy is not given by the spot size, but by the dynamics of the interaction of the beam with the sample and the consequent modification of the sample’s geometry, even for beams of light ions. For example, in the case of Sn nanospheres under a He+ beam, the authors found a minimum theoretical detectable particle size limit of ? 1?nm and an experimental limit of ? 5?nm.","ion microscopes; ion microscopy; nanostructured materials; particle size,; sputtering; tin; Ga; Sn,; He (gallium, tin, helium)","en","journal article","American Vacuum Society","","","","","","","","Applied Sciences","IST/Imaging Science and Technology","","","",""
"uuid:4238ecd7-2856-463d-bf59-f25bbb31c9b8","http://resolver.tudelft.nl/uuid:4238ecd7-2856-463d-bf59-f25bbb31c9b8","Positioning Pd catalyst particles for carbon nanotube growth using charge patterns created with a scanning electron microscope","Zonnevylle, A.C.; Hagen, C.W.; Kruit, P.; Valenti, M.; Schmidt-Ott, A.","","2009","Positioning of charged nanoparticles with the help of charge patterns in an insulator substrate is a known method. However, the creation of charge patterns with a scanning electron microscope for this is relatively new. Here a scanning electron microscope is used for the creation of localized charge patterns in an insulator, while a glowing wire generator is used as the nanoparticle source. The deposited palladium nanoparticles are used as catalysts for the localized growth of carbon nanotubes in a chemical vapor deposition oven. The authors show first the results on local carbon nanotube growth using this procedure.","carbon nanotubes; catalysts; chemical vapour deposition; nanofabrication; nanoparticles; nanopositioning; palladium; scanning electron microscopy; charging; nanoparticles; carbon nanotubes; nanopatterning","en","journal article","American Vacuum Society","","","","","","","","Applied Sciences","IST/Imaging Science and Technology","","","",""
"uuid:16dc695c-205d-41af-b6b9-d04c1dc4c5fc","http://resolver.tudelft.nl/uuid:16dc695c-205d-41af-b6b9-d04c1dc4c5fc","Electron postgrowth irradiation of platinum-containing nanostructures grown by electron-beam-induced deposition from Pt(PF3)4","Botman, A.; Hagen, C.W.; Li, J.; Thiel, B.L.; Dunn, K.A.; Mulders, J.J.L.; Randolph, S.; Toth, M.","","2009","The material grown in a scanning electron microscope by electron beam-induced deposition (EBID) using Pt(PF3)4 precursor is shown to be electron beam sensitive. The effects of deposition time and postgrowth electron irradiation on the microstructure and resistivity of the deposits were assessed by transmission electron microscopy, selected area diffraction, and four-point probe resistivity measurements. The microstructure, notably the platinum nanocrystallite grain size, is shown to evolve with electron fluence in a controllable manner. The resistivity was observed to decrease as a result of postgrowth electron irradiation, with the lowest observed value of 215±15????cm. The authors demonstrate that electron beam-induced changes in microstructure can be caused using electron fluences similar to those used during the course of EBID and suggest that the observed effects can be used to tailor the microstructure and functionality of deposits grown by EBID in situ without breaking vacuum.","crystallites; electrical resistivity; electron beam deposition; electron beam effects; grain size; nanostructured materials,; platinum compounds; scanning electron microscopy; transmission electron microscopy; tetrakis trifluorophosphine platinum (CAS 19529-53-4)","en","journal article","American Vacuum Society","","","","","","","","Applied Sciences","IST/Imaging Science and Technology","","","",""
"uuid:67f97c86-80d9-4f75-9bb8-9f40f670ea22","http://resolver.tudelft.nl/uuid:67f97c86-80d9-4f75-9bb8-9f40f670ea22","Characterization of room temperature metal microbolometers near the metal-insulator transition regime for scanning thermal microscopy","Gaitas, A.; Zhu, W.; Gulari, N.; Covington, E.; Kurdak, C.","","2009","Metal microbolometers, used in scanning thermal microscopy, were microfabricated from <20?nm titanium thin films on SiO2/Si3N4/SiO2 cantilevers. These thin films are near the metal-insulator transition regime such that as the film thickness decreases—the resistance increases and the current-voltage characteristics cross over from sublinear to superlinear. In addition, the temperature coefficient of resistance transitions from positive to negative before it plateaus at a negative value. Thin titanium films exhibit negative temperature coefficient of resistance as high as ?0.0067/K which is higher than that of bulk titanium films.","bolometers; cantilevers; metal-insulator transition; metallic thin films; microfabrication; microscopy; titanium","en","journal article","American Institute of Physics","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:5c0c05cc-c0bd-49f1-905b-a61263cff0a0","http://resolver.tudelft.nl/uuid:5c0c05cc-c0bd-49f1-905b-a61263cff0a0","Nanofabrication with a helium ion microscope","Maas, D.; Van Veldhoven, E.; Chen, P.; Sidorkin, V.; Salemink, H.; Van der Drift, E.; Alkemade, P.","","2009","The recently introduced helium ion microscope (HIM) is capable of imaging and fabrication of nanostructures thanks to its sub-nanometer sized ion probe [1,2]. The unique interaction of the helium ions with the sample material provides very localized secondary electron emission, thus providing a valuable signal for high-resolution imaging as well as a mechanism for very precise nanofabrication [3]. The low proximity effects, due to the low yield of backscattered ions and the confinement of the forward scattered ions into a narrow cone, enable patterning of ultra-dense sub-10 nm structures. This paper presents various nanofabrication results obtained with direct-write, with scanning helium ion beam lithography, and with helium ion beam induced deposition.","Helium Ion Microscopy; HIM; nanoimaging; nanofabrication; scanning helium ion beam lithography; SHIBL; lithography; helium ion beam induced deposition; HIBID","en","conference paper","SPIE","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:546e961f-9455-46dc-bf54-bd2dc19f57f8","http://resolver.tudelft.nl/uuid:546e961f-9455-46dc-bf54-bd2dc19f57f8","Ultrasmall silicon quantum dots","Zwanenburg, F.A.; Van Loon, A.A.; Steele, G.A.; Rijmenam, C.E.W.M.; Balder, T.; Fang, Y.; Lieber, C.M.; Kouwenhoven, L.P.","","2009","We report the realization of extremely small single quantum dots in p-type silicon nanowires, defined by Schottky tunnel barriers with Ni and NiSi contacts. Despite their ultrasmall size the NiSi–Si–NiSi nanowire quantum dots readily allow spectroscopy of at least ten consecutive holes, and additionally they display a pronounced excited-state spectrum. The Si channel lengths are visible in scanning electron microscopy images and match the dimensions predicted by a model based on the Poisson equation. The smallest dots (<12?nm) allow identification of the last charge and thus the creation of a single-charge quantum dot.","electrical contacts; elemental semiconductors; nickel alloys; Poisson equation; scanning electron microscopy; Schottky barriers,; semiconductor quantum dots; semiconductor quantum wires; silicon; silicon alloys","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:4d82473f-b6ca-4b94-97d8-2d1d5e18f385","http://resolver.tudelft.nl/uuid:4d82473f-b6ca-4b94-97d8-2d1d5e18f385","Tethered particle motion mediated by scattering from gold nanoparticles and darkfield microscopy","Dietrich, H.R.C.; Rieger, B.; Wiertz, F.G.M.; De Groote, F.H.; Heering, H.A.; Young, I.T.; Garini, Y.","","2009","","tethered particle motion; gold nanoparticles; darkfield microscopy; scattering","en","journal article","SPIE","","","","","","","","Applied Sciences","Department of Imaging Science and Technology","","","",""
"uuid:b2a74438-b2a3-4bb1-9cd4-9fca9737735d","http://resolver.tudelft.nl/uuid:b2a74438-b2a3-4bb1-9cd4-9fca9737735d","Bendable, low-loss Topas fibers for the terahertz frequency range","Nielsen, K.; Rasmussen, H.K.; Adam, A.J.L.; Planken, P.C.M.; Bang, O.; Jepsen, P.U.","","2009","We report on a new class of polymer photonic crystal fibers for low-loss guidance of THz radiation. The use of the cyclic olefin copolymer Topas, in combination with advanced fabrication technology, results in bendable THz fibers with unprecedented low loss and low material dispersion in the THz regime.We demonstrate experimentally how the dispersion may be engineered by fabricating both high- and low-dispersion fibers with zero-dispersion frequency in the regime 0.5-0.6 THz. Near-field, frequencyresolved characterization with high spatial resolution of the amplitude and phase of the modal structure proves that the fiber is single-moded over a wide frequency range, and we see the onset of higher-order modes at high frequencies as well as indication of microporous guiding at low frequencies and high porosity of the fiber. Transmission spectroscopy demonstrates low-loss propagation (< 0.1 dB/cm loss at 0.6 THz) over a wide frequency range.","fiber characterization; fiber design and fabrication; near-field microscopy; spectroscopy, teraherz","en","journal article","Optical Society of America","","","","","","","","Applied Sciences","Imaging Science and Technology","","","",""
"uuid:fcab84cf-7f2d-45cf-bf0c-6b5651f285d7","http://resolver.tudelft.nl/uuid:fcab84cf-7f2d-45cf-bf0c-6b5651f285d7","Development of Quantitative electron nano-diffraction","Kumar, V.","Zandbergen, H.W. (promotor)","2009","This thesis is a step towards development of quantitative parallel beam electron nano-diffraction (PBED). It is focused on the superstructure determination of zig-zag and zig-zig NaxCoO2 and analysis of charge distribution in the two polymorphs Nb12O29 using PBED. It has been shown that quantitative electron nano-diffraction (parallel beam) has the potential of solving superstructures as well as charge distribution by taking the dynamicity of the data to its advantage. The information contained in the electron diffraction data has never been doubted but the dynamicity of the data (arising from multiple scattering) makes the interpretation very complex. First of all the superstructure information, which is difficult to be seen in X-ray or neutron diffraction data specially when they occur in the nanometer regime, can be resolved by electron diffraction. This has been illustrated with chapters 2 and 3. Further, the charge information contained in the electron diffraction is much more than X-ray diffraction due to the fact that X-rays are scattered by electron cloud only while electron scattering is a result electrostatic potential of the system. Hence electron diffraction can be used as a tool to study precisely the charge distribution or charge ordering which by other means is not possible. Though there are many skeptics to this argument, this thesis through chapters 4 and 5, is an attempt to prove that the future lies in the electron diffraction to study the type of systems described in here.","transmission electron microscopy; electron nano-diffraction; charge distribution","en","doctoral thesis","","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience Delft","","","",""
"uuid:bb2cac60-49e2-4df4-9462-a13e22cc4645","http://resolver.tudelft.nl/uuid:bb2cac60-49e2-4df4-9462-a13e22cc4645","Positron depth profiling of the structural and electronic structure transformations of hydrogenated Mg-based thin films","Eijt, S.W.H.; Kind, R.; Singh, S.; Schut, H.; Legerstee, W.J.; Hendrikx, R.W.A.; Svetchnikov, V.L.; Westerwaal, R.J.; Dam, B.","","2009","We report positron depth-profiling studies on the hydrogen sorption behavior and phase evolution of Mg-based thin films. We show that the main changes in the depth profiles resulting from the hydrogenation to the respective metal hydrides are related to a clear broadening in the observed electron momentum densities in both Mg and Mg2Ni films. This shows that positron annihilation methods are capable of monitoring these metal-to-insulator transitions, which form the basis for important applications of these types of films in switchable mirror devices and hydrogen sensors in a depth-sensitive manner. Besides, some of the positrons trap at the boundaries of columnar grains in the otherwise nearly vacancy-free Mg films. The combination of positron annihilation and x-ray diffraction further shows that hydrogen loading at elevated temperatures, in the range of 480–600 K, leads to a clear Pd–Mg alloy formation of the Pd catalyst cap layer. At the highest temperatures, the hydrogenation induces a partial delamination of the ? 5?nm thin capping layer, as sensitively monitored by positron depth profiling of the fraction of ortho-positronium formed at interface with the cap layer. The delamination effectively blocks the hydrogen cycling. In Mg–Si bilayers, we investigated the reactivity upon hydrogen loading and heat treatments near 480 K, which shows that Mg2Si formation is fast relative to MgH2. The combination of positron depth profiling and transmission electron microscopy shows that hydrogenation promotes a complete conversion to Mg2Si for this destabilized metal hydride system, while a partially unreacted, Mg-rich amorphous prelayer remains on top of Mg2Si after a single heat treatment in an inert gas environment. Thin film studies indicate that the difficulty of rehydrogenation of Mg2Si is not primarily the result from slow hydrogen dissociation at surfaces, but is likely hindered by the presence of a barrier for removal of Mg from the readily formed Mg2Si.","dissociation; electronic structure; grain boundaries; heat treatment; hydrogen; hydrogenation; magnesium; magnesium compounds; metal-insulator transition; positron annihilation; positronium; sorption; surface chemistry; thin films; transmission electron microscopy; X-ray diffraction","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Radiation, Radionuclides and Reactors","","","",""
"uuid:c9d5eb2d-b15b-47e8-a136-5ea1a17ef69e","http://resolver.tudelft.nl/uuid:c9d5eb2d-b15b-47e8-a136-5ea1a17ef69e","Hydrogen mediated transport of Sn to Ru film surface","Faradzhev, N.; Sidorkin, V.","","2009","The authors report on the interaction of atomic hydrogen with Sn and thin Ru film at room temperature. The study is done using a combination of photoelectron and low energy ion scattering spectroscopies as well as scanning electron microscopy. The adsorption of hydrogen on a Sn surface leads to the formation of stannane (SnH4), which dissociatively adsorbs on the surface of polycrystalline Ru film. In the range of effective Sn coverages studied (up to 1 ML), the resulting overlayer consists of randomly distributed three-dimensional islands with average size below 40 nm occupying up to several percent of the surface area. Nucleation of Sn is observed presumably at defect sites (e.g., grain boundaries). Ion scattering data are found consistent with Volmer–Weber growth mode: no initial transition wetting layer formation is detected. Oxidation of Sn islands on a Ru surface at room temperature results in the formation of SnO. Neither metallic nor oxidation states of Sn higher than Sn2+ are observed by photoelectron spectroscopy.","adsorption; grain boundaries; hydrogen; ion-surface impact; nucleation; oxidation; photoelectron spectra; ruthenium; scanning electron microscopy; thin films; tin; tin compounds; wetting; ruthenium; tin; atomic hydrogen; tin tetrahydride; tin oxide; ruthenium oxide","en","journal article","American Vacuum Society","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:fe03dc18-4b48-4110-a707-7b291f67f6ca","http://resolver.tudelft.nl/uuid:fe03dc18-4b48-4110-a707-7b291f67f6ca","Nuclear Architecture: Image Processing and Analyses","Vermolen, B.J.","Young, I.T. (promotor); Garini, Y. (promotor)","2009","Cancer is one of the most well-known groups of diseases that finds its cause in cells having chromosomal aberrations. How and why these aberrations can occur is one of the most important questions asked in modern molecular biology. In the last decades it has become clear that gene regulation in the nucleus, where the chromosomes reside, is strongly correlated with structural organization of nuclear components like the telomeres, centromeres and the chromosomes. With new microscopes, better cameras and new fluorescent labels, the demand for analyses of all the images that can be made is growing. The goal of this thesis is the development of image processing and analyses methods for three dimensional (3D) images acquired by fluorescence microscopy. Several microscopy techniques are described, together with two techniques to visualize the nuclear components (chapter 2). In chapter 3 several deconvolution methods are described. Chapter 4 gives two methods to segment the components in the images. Several analyses can be done after segmentation. In chapter 4 we show, after localization, that telomeres from mouse lymphocytes redistribute into a disk-like structure during G2. In chapter 5 we give a novel method to determine the relative length of telomeres by measuring the integrated intensity in the 3D images. Using this information we can define extremely high signals as telomere aggregates. In chapter 6 we use the segmentation and localization techniques to measure the radial redistributions of components in human mesenchymal stem cells.","microscopy; deconvolution; telomere; centromere","en","doctoral thesis","","","","","","","","","Applied Sciences","","","","",""
"uuid:0d005f8c-f2b8-466a-8c59-ff520f66db62","http://resolver.tudelft.nl/uuid:0d005f8c-f2b8-466a-8c59-ff520f66db62","Advanced terahertz electric near-field measurements at sub-wavelength diameter metallic apertures: Erratum","Adam, A.J.L.; Brok, J.M.; Seo, M.A.; Ahn, K.J.; Kim, D.S.; Kang, J.H.; Park, Q.H.; Nagel, M.; Planken, P.C.M.","","2008","An erratum is presented to correct an error in the discussion section of [Opt. Express. 16, 7407-7417 (2008)] and to add a relevant reference on earlier work.","apertures; near-field microscopy; spectroscopy; teraherz","en","journal article","Optical Society of America","","","","","","","","Applied Sciences","Imaging Science and Technology","","","",""
"uuid:c48fa6d2-383c-4e85-a418-1d2bd9d2de78","http://resolver.tudelft.nl/uuid:c48fa6d2-383c-4e85-a418-1d2bd9d2de78","Influence of hydrogen silsesquioxane resist exposure temperature on ultrahigh resolution electron beam lithography","Sidorkin, V.; Van der Drift, E.W.J.M.; Salemink, H.","","2008","Performance of hydrogen silsesquioxane (HSQ) resist material with respect to the temperature during electron beam exposure was investigated. Electron beam exposure at elevated temperatures up to 90?°C shows sensitivity rise and slight contrast (?) degradation compared to lower temperature cases. Ultrahigh resolution structures formed at elevated temperatures manifest better uniformity together with aspect ratio improvement and less linewidth broadening with overdose. Potential mechanisms for observed phenomena are proposed.","atomic force microscopy; electron beam lithography; organic compounds; resists,; scanning electron microscopy; Resist temperature; hydrogen silsesquioxane; electron beam heating","en","journal article","American Vacuum Society","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:c1bd64bf-7f33-4131-ae95-904f632a3097","http://resolver.tudelft.nl/uuid:c1bd64bf-7f33-4131-ae95-904f632a3097","Single atom doping for quantum device development in diamond and silicon","Weis, C.D.; Schuh, A.; Batra, A.; Persaud, A.; Rangelow, I.W.; Bokor, J.; Lo, C.C.; Cabrini, S.; Sideras-Haddad, E.; Fuchs, G.D.; Hanson, R.; Awschalom, D.D.; Schenkel, T.","","2008","The ability to inject dopant atoms with high spatial resolution, flexibility in dopant species, and high single ion detection fidelity opens opportunities for the study of dopant fluctuation effects and the development of devices in which function is based on the manipulation of quantum states in single atoms, such as proposed quantum computers. The authors describe a single atom injector, in which the imaging and alignment capabilities of a scanning force microscope (SFM) are integrated with ion beams from a series of ion sources and with sensitive detection of current transients induced by incident ions. Ion beams are collimated by a small hole in the SFM tip and current changes induced by single ion impacts in transistor channels enable reliable detection of single ion hits. They discuss resolution limiting factors in ion placement and processing and paths to single atom (and color center) array formation for systematic testing of quantum computer architectures in silicon and diamond.","atomic force microscopy; diamond; elemental semiconductors; ion beam applications; ion sources; quantum interference devices; semiconductor doping; silicon; transients; silicon; diamond","en","journal article","American Vacuum Society","","","","","","","","Applied Sciences","QN/Quantum Nanoscience","","","",""
"uuid:283ec59c-b0ec-4932-aef4-7ef63808ce7c","http://resolver.tudelft.nl/uuid:283ec59c-b0ec-4932-aef4-7ef63808ce7c","Sputtering limits versus signal-to-noise limits in the observation of Sn balls in a Ga+ microscope","Castaldo, V.; Hagen, C.W.; Rieger, B.; Kruit, P.","","2008","In principle, a scanning ion microscope can produce smaller probe sizes than a scanning electron microscope because the diffraction contribution is smaller. However, the imaging resolution is often severely limited by the sputtering damage. In this article, an experimental procedure to establish the limit of a focused ion beam system for imaging purposes is proposed. The procedure is based on the observation of the change in geometry (i.e., shrinking) of the features in a Sn-ball sample imaged with a Ga+ beam. Plots of the balls’ diameter versus the irradiation time give a straightforward visual evaluation of the time allowed for the observation of a single feature before the removal of material due to the ion bombardment becomes unacceptable. For each particle, the curve, together with the error band connected with the imaging process, gives the values of uncertainty/resolution due to the two competing processes, collecting of information (for example, from secondary electrons) and damaging of the target. A plot of the uncertainty that is derived from these two processes for different sampling times allows the determination of the limiting factor of the imaging mode in use, and, ultimately, the highest possible resolution obtainable with a given machine for the observation of a certain sample. Together with simulations and theoretical studies, the described procedure will be able to confirm the effectiveness of the new ion sources that are currently being developed.","focused ion beam technology; ion beam effects; ion microscopy; sputtering; tin","en","journal article","American Vacuum Society","","","","","","","","Applied Sciences","IST/Imaging Science and Technology","","","",""
"uuid:510927a6-b546-4639-92ce-2a64e7e4908c","http://resolver.tudelft.nl/uuid:510927a6-b546-4639-92ce-2a64e7e4908c","Investigation of morphological changes in platinum-containing nanostructures created by electron-beam-induced deposition","Botman, A.; Hesselberth, M.; Mulders, J.J.L.","","2008","Focused electron-beam-induced deposition (EBID) allows the rapid fabrication of three-dimensional nanodevices and metallic wiring of nanostructures, and is a promising technique for many applications in nanoresearch. The authors present two topics on platinum-containing nanostructures created by EBID. First, they report on a TEM study of the microstructure of nanodeposits created from Pt(PF3)4. They have performed imaging and electron energy loss spectroscopy with a transmission electron microscope (TEM). The deposited material, composed mainly of platinum, phosphor, oxygen, and trace amounts of fluorine, is amorphous. Platinum is evenly distributed in the nanostructure, while phosphorus is observed to cluster. The size and amount of phosphorus clusters depend on the thickness of the structure. Second, they document an aging process in structures created from MeCpPtMe3, which have a resistivity which increases with the time they are exposed to air, from 2×105 to 1.8×108????cm over 55?days. They demonstrate that covering the structures with an EBID-deposited insulating protective layer of TEOS can prevent this oxidation. In doing so, they achieved the lowest reported resistivity for EBID from this platinum precursor, of 1.5×103????cm.","ageing; electrical resistivity; electron beam deposition; electron energy loss spectra; nanostructured materials; nanotechnology; oxidation; platinum compounds; protective coatings; transmission electron microscopy; methycyclopentadienyl platinum trimethyl; tetrakis trifluorophosphine platinum; tetraethylorthosilicate","en","journal article","American Vacuum Society","","","","","","","","Applied Sciences","IST/Imaging Science and Technology","","","",""
"uuid:d577fef6-9c53-4674-a22f-22354ed7cf0c","http://resolver.tudelft.nl/uuid:d577fef6-9c53-4674-a22f-22354ed7cf0c","Imaging of charge trapping in distorted carbon nanotubes by x-ray excited scanning probe microscopy","Ishii, M.; Hamilton, B.; Poolton, N.","","2008","We have observed the distribution of electron trapping centers on distorted carbon nanotubes (CNTs) by a unique x-ray analysis technique that has both elemental and spatial selectivities. This technique involves the use of scanning probe microscopy (SPM) under synchrotron radiation excitation of the inner shell of carbon. The probe detects the Coulomb force that results from the relaxation of an electron bound to a defect site into the core hole state created by x-ray photon absorption. This results in a change in charge state of the defect. At the spatial resolution provided by the SPM technique, we observed the electron trapping centers distributed on the compressed and torsionally distorted CNTs. This direct association of mechanical distortion with defect sites indicates a potential risk of deterioration of electric properties during the fabrication and processing of CNT networks.","atomic force microscopy; carbon nanotubes; electron traps; nanotechnology; X-ray analysis; X-ray microscopy","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","","","","",""
"uuid:b5d80d83-73fa-4317-89e2-90d1276a08f7","http://resolver.tudelft.nl/uuid:b5d80d83-73fa-4317-89e2-90d1276a08f7","Near field imaging of terahertz focusing onto rectangular apertures","Seo, M.A.; Adam, A.J.L.; Kang, J.H.; Lee, J.W.; Ahn, K.J.; Park, Q.H.; Planken, P.C.M.; Kim, D.S.","","2008","We performed terahertz near-field experiments on single rectangular holes with various lengths grown on an electro-optic crystal substrate with ?/100 resolution. We find that the near-field amplitude becomes proportionally larger as the rectangle becomes narrower, strongly suggesting that a constant energy passes through even for a very narrow slit. The occurrence of a large field enhancement at the fundamental localized resonance is discussed confirming the funneling of energy at the near-field.","microscopy; infrared; far; ultrafast spectroscopy","en","journal article","Optical Society of America","","","","","","","","Applied Sciences","Imaging Science and Technology","","","",""
"uuid:9e362b99-2069-41dc-943f-efe6f1df85e6","http://resolver.tudelft.nl/uuid:9e362b99-2069-41dc-943f-efe6f1df85e6","DNA and ion transport through solid-state nanopores","Smeets, R.M.M.","Dekker, C. (promotor); Dekker, N.H. (promotor)","2008","This thesis describes experimental work on a novel type of devices capable of detecting single-(bio)molecules; nanometer-sized pores, or nanopores. Individual nanopores are placed in between two electrolyte-filled liquid compartments and (bio)molecules are electrophoretically driven through them. During passage of a single (bio)molecule the ionic current is temporarily blocked, as part of the liquid volume carrying the current is now occupied. In this thesis, we report on the fabrication and characterization of solid-state nanopores, and their use in single-molecule translocation studies. We first describe the fabrication of solid-state nanopores using a high-intensity electron beam in a transmission electron microscope. Focussing the beam on thin free-standing membranes creates nanopores of controlled sizes, down to 0.4 nm radius. We examine their geometry and local surface composition and identify a material-dependent response to the electron beam. Nanopores fabricated in pure SiN membranes show much smaller depletion areas compared to nanopores fabricated in SiO2/SiN/SiO2 membranes. Furthermore, we demonstrate that the sidewall angle and the local material composition near a nanopore in SiO2 containing membranes can be influenced using electron beams of different intensities. Subsequently, we study ionic current fluctuations in our fabricated solid-state nanopores. At low frequencies (ƒ ≤ 100 Hz) we observe 1⁄–type of noise, with strong pore–to–pore variations. First, we consider nanopores that do not show excessive low–frequency noise. We show that their noise power remarkably scales linearly with the inverse number of charge carriers, in agreement with Hooge's relation. We find a Hooge parameter α = (1.1 ± 0.1) ·10-4. Next, we examine the excessive low–frequency noise by scanning nanopores through the focus of an infrared laser beam and recording the ionic current through them simultaneously. We show a correlation between a decreased nanopore conductance and increased amount of ionic current fluctuations. In addition, we measure an unexpected double–peak ionic conductance profile which can be explained by a simple model of a cylindrical nanopore containing a nanometer–sized gaseous bubble (nanobubble). We infer that nanobubbles may act as a source of excessive low–frequency noise in solid–state nanopores. Finally, we use solid–state nanopores to measure ion, double strand (ds)DNA and fully protein–coated dsDNA transport. The ionic conductance shows a three–order–of–magnitude decrease with decreasing salt concentrations from 1 M to 1 μM, strongly deviating from bulk linear behavior. We successfully model the data by accounting for a salt–dependent surface charge of the nanopore. Double stand DNA translocation in salt concentrations ranging from 50mM to 1 M is shown to result in either a decrease ([KCl] > 0.4 M) or increase of the ionic current ([KCl] < 0:4 M). The data are described by a model where current decreases result from the partial blocking of the pore due to the dsDNA area, and current increases are attributed to the motion of the counter ions screening the DNA backbone charge. We infer an effective dsDNA charge of 0.58 ± 0.02 electron charges per basepair. Translocation measurements on fully recombination protein A (recA)–coated dsDNA molecules are shown to result in current blockade events with a wide variety in time duration (≈ 10-4 – 10-1 s) and conductance blockade values (2.5 – 14 nS). We identify the events with high conductance blockades (11.9 ± 0.5 nS) with the translocation of dsDNA coated with recA and we estimate a 8.5 nm diameter for the nucleoprotein filament. Furthermore, we present the rate of RecA–coated dsDNA current blockade events as a function of voltage, and find an exponential dependence at low voltages and a constant rate at high voltages. In conclusion, we have used tools from nanotechnology to fabricate solid–state nanopores. These nanometer–sized pores are characterized by studying ionic current fluctuations. We use the nanopores experimentally to detect and measure the transport of ions, DNA and fully protein–coated DNA molecules. The obtained results contribute to the development, understanding and use of the recently introduced solid–state nanopores as single–molecule (bio)sensors.","nanopore; solid-state nanopore; dan; translocation; noise; nanobubble; electron microscopy; bionanotechnology; nanofluidics","en","doctoral thesis","","","","","","","","","Applied Sciences","","","","",""
"uuid:22e25de6-b248-43e9-a8fc-a5be54f46969","http://resolver.tudelft.nl/uuid:22e25de6-b248-43e9-a8fc-a5be54f46969","Advanced terahertz electric near-field measurements at sub-wavelength diameter metallic apertures","Adam, A.J.L.; Brok, J.M.; Seo, M.A.; Ahn, K.J.; Kim, D.S.; Kang, J.H.; Park, Q.H.; Nagel, M.; Planken, P.C.M.","","2008","Using terahertz-light excitation, we have measured with sub-wavelength spatial, and sub-cycle temporal resolution the time- and frequency-dependent electric-field and surface-charge density in the vicinity of small metallic holes. In addition to a singularity like concentration of the electric field near the hole edges, we observe, that holes can act as differential operators whose near-field output is the time-derivative of the incident electric field. Our results confirm the well-known predictions made by Bouwkamp, Philips Res. Rep. 5, 321-332 (1950), and reveal, with unprecedented detail, what physically happens when light passes through a small hole.","apertures; near-field microscopy; spectroscopy; teraherz","en","journal article","Optical Society of America","","","","","","","","Applied Sciences","Imaging Science and Technology","","","",""
"uuid:220f1600-292f-444b-8ffd-319c4785ba0a","http://resolver.tudelft.nl/uuid:220f1600-292f-444b-8ffd-319c4785ba0a","Ab initio structure determination using nano electron diffraction","Xu, Q.","Zandbergen, H.W. (promotor)","2008","This thesis is the summary of the development of electron diffraction into a mature method for ab initio structure determination. The progress is illustrated with the structure determination of some complicated unknown inorganic materials. The main step forwards is that it is now possible to apply electron crystallography method on solving the structure with a relatively large unit cell dimension along all directions and with both very heavy atoms and very light atoms. One main issue about structure determination using nano-electron diffraction discussed in the thesis is how to deal with all the difficulties caused by the dynamical effect of electron scattering. We have exemplified these with the structure determination of two compounds. One is monoclinic Eu2CaCu2O6 (a=6.08Å, b=6.14Å, c=15.34Å, ?=108.3°), and the other is cubic Mg10Ir19B16 (a=10.568Å). The success of solving these two complicated structures relies on three innovations comparing to the traditional structure analysis method (Exit wave reconstruction combined with Dynamical electron diffraction refinement) in our group. They are 1) the combined use of the chemical information of bond length in the step of constructing a reasonable initial structure model; 2) phase estimation of electron diffraction by Maximum Entropy method. 3) the combined use of first-principles calculation in the step of structure refinement to solve the possible local minimum problem of dynamical electron diffraction refinement. Based on the experiences of processing these problems evolved with structure determination using electron diffraction, a correlative structure analysis approach of combination all possible methods is proposed and discussed. So far, it is also introduced in the thesis an improved TEM sample preparation method for providing thin TEM sample for the considered","electron microscopy;; electron diffraction;; structure determination;; dynamical scattering","en","doctoral thesis","","","","","","","","2010-03-28","Applied Sciences","Kavli Institute of Applied Sciences","","","",""
"uuid:a5d9a7d0-7ef7-4612-9e08-1dc203e674a1","http://resolver.tudelft.nl/uuid:a5d9a7d0-7ef7-4612-9e08-1dc203e674a1","Photonic calibration for fluorescence microscopy","Young, I.T.; El Morabit, M.; Liqui Lung, G.; Vermolen, B.J.","","2008","Based upon a collection of compact LEDs (light-emitting diodes) and a compact photodiode, we have developed a calibration tool for fluorescence microscopes that are used as digital imaging devices. The entire device (excluding a USB connector) measures 25 mm x 80 mm x 12 mm. Virtually all commonly-used fluorophores can be simulated with one of the six LEDs. An LED is chosen from the host computer and its current range is selected (digitally) so as to provide a test of the complete dynamic range of the imaging system. Thus by varying the current through an LED in a controlled way, a controlled amount of “emission” light can be produced, transmitted through the chosen optical path of the microscope, and measured by the image sensor. The digitized intensity can then be determined as a function of the LED current. Any other (fluorescence) intensity measured through the same electro-optical path can then be characterized (and thus calibrated) by an equivalent electrical current. The excitation light is calibrated by a photodiode which has a dynamic range of 10^5:1 and thus is suitable for a variety of light sources: mercury lamps, lasers, LEDs, etc. The integration time of the photodiode as well as its gain can be digitally selected from the host computer. Further, using a Spectralon® reflector, the inherent non-linearity of the LED emission versus current can be measured by the photodiode and used to provide a look-up table compensation independent of the image sensor used in the fluorescence microscope system.","flueorescence calibration; quantitative microscopy; LED slide; fluorescence microscopy","en","conference paper","SPIE","","","","","","","","Applied Sciences","IST/Quantitative Imaging","","","",""
"uuid:ffb57c20-8269-4399-878f-90cb3e71c3fd","http://resolver.tudelft.nl/uuid:ffb57c20-8269-4399-878f-90cb3e71c3fd","Sub-10 nm focused electron beam induced deposition","Van Dorp, W.F.","Kruit, P. (promotor)","2008","Work started with a critical review of literature from the past 70-odd years. The review shows that the physical processes occurring in EBID are generally well understood. By combining models for electron scattering in a solid and electron beam induced heating and knowledge of growth regimes, the majority of the experimental results was explained qualitatively. The review makes clear that several major issues remain. The fact that cross sections for electron scattering in a solid and electron-induced precursor dissociation are not well known, makes it difficult to interpret experiments where the acceleration voltage is varied. Related to this is the limited understanding of electron-induced precursor dissociation. The dissociation mechanism is one of the key factors determining the purity of the deposits and a better understanding of this process will help to develop EBID to its full potential. The growth behavior at the sub-10 nm regime was explored by writing lines and arrays of dots from W(CO)6. The smallest average values that have been found for the full width at half maximum, are 1.9 nm for lines and 0.72 nm for dots. These are world records for EBID and for the first time, it is shown that growth on this scale is determined by random processes. The deposits consist of so few molecules, that the counting statistics become visible. The result is that, despite identical conditions, deposits are not identical. The final deposited mass varies from dot to dot and dots do not nucleate exactly on the irradiated position, but randomly around it. This results in nonsymmetrical dots in the early stage of growth. More insight into the deposition process is obtained by monitoring the annular dark field signal during the growth. This revealed that the growth rate during the deposition is not constant. The method also allowed control over the growth, for instance to prevent the occurrence of a proximity effect. Atomic force microscopy measurements allowed quantification of the deposited volume. The distributions of the deposited volume as a function of dwell time bear a close similarity to Poisson distributions, which suggests that the deposited dots consist of a number of discrete units. From a fit of Poisson distributions to the volume distributions, it was concluded that the volume per unit is as small as 0.4 nm3. This volume is almost just as small as a single W(CO)6 molecule in the solid phase. The work described in this thesis opens up a whole new decade of feature sizes from 20 to sub-1 nm and brings the ultimate resolution of single molecules within reach.","electron beam induced deposition; nanometer scale; sub-10 nm; focused electron beam induced processes; scanning transmission electron microscopy; environmental microscopy; nanofabrication; electron beam lithography; poisson statistics","en","doctoral thesis","","","","","","","","","Applied Sciences","","","","",""
"uuid:890ed17c-19c8-4765-ba3b-4f9309d3f11c","http://resolver.tudelft.nl/uuid:890ed17c-19c8-4765-ba3b-4f9309d3f11c","Nanomechanical properties of few-layer graphene membranes","Poot, M.; Van der Zant, H.S.J.","","2008","We have measured the mechanical properties of few-layer graphene and graphite flakes that are suspended over circular holes. The spatial profile of the flake’s spring constant is measured with an atomic force microscope. The bending rigidity of and the tension in the membranes are extracted by fitting a continuum model to the data. For flakes down to eight graphene layers, both parameters show a strong thickness dependence. We predict fundamental resonance frequencies of these nanodrums in the gigahertz range based on the measured bending rigidity and tension.","atomic force microscopy; bending; graphite; membranes; multilayers; shear modulus","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:71efc608-1842-4d96-b5ed-d2695f4c6aa5","http://resolver.tudelft.nl/uuid:71efc608-1842-4d96-b5ed-d2695f4c6aa5","Redox biochemistry of Pyrococcus furiosus: Fundamental and applied aspects","Hasan, M.N.","Hagen, W.R. (promotor)","2008","In Pyrococcus furiosus (Pfu) ferredoxin (Fd) replaces NAD+ for carrying reducing equivalent to the oxidative phosphorylation machinery, and it also takes part in the regeneration of NADPH, which is necessary for biosynthetic pathways. Therefore, it plays a central role in various metabolic pathways of the organism. PfuFd is one of the most extensively studied ferredoxins, however, some fundamental aspects remain elusive. This thesis work focuses on some of the unresolved features of the protein. Initially PfuFd was isolated as a dimer of 12-13 kDa, however, all the subsequent studies described the protein as a monomer without any experimental evidence. All (putative) natural electron-transfer partners of PfuFd are redox enzymes catalyzing two-electron reactions while ferredoxin is a one-electron carrier. It is possible that ferredoxin may interact with the redox partner enzymes in the dimeric form. Therefore, it is important to resolve the dilemma regarding the oligomeric state of the protein (chapter 2). The intra-cellular ionic strength of P. furiosus was determined to be ca. 350 mM, at which ferredoxin occurs predominantly in the dimeric form. Transition from the dimeric to monomeric form is observed at a salt concentration higher than 350 mM. We hypothesize that ferrdoxin is a dimer in vivo. PfuFd demonstrates conservation of the minimal domain containing the cluster coordinating consensus sequence. However, the cluster is coordinated by three cysteines and one aspartate residue instead of by four cysteines. In addition, two additional cysteines are present which raises the possibility of a disulfide bond formation between them. In some earlier studies the formation of a disulfide bond was demonstrated under aerobic condition and a possible contribution of the disulfide bond to the redox chemistry of the protein has been described. However, formation of a disulfide bond under the strongly reducing intracellular condition of an anaerobic organism like P. furiosus is not understandable. We demonstrate (in chapter 3) that these additional cysteines do not form a disulfide bond under intra-cellular mimicking conditions. Observing the effects of site directed mutagenesis, we attribute to the free cysteines an important structural role in the hyperthermostability of the protein. Ferredoxin, cytochrome c, rubredoxin, etc. are small electron-transfer protein and they possess well characterised metal cofactors. Electrochemistry has been successfully applied in studying the intricate properties of these electron-transfer proteins mainly in solution. However, solution voltammetry suffers from various problems like mass transport / diffusion limitation, requirement of promoters, which can be avoided by immobilizing the protein on the electrode surface. A stable and functional PfuFd immobilized gold electrode has been developed, which shows a similar electrochemical response as in the solution voltammetry. The transition between the dimeric and monomeric state on the electrode is observed in an atomic force microscopic (AFM) setup. X-ray photoelectron spectrocopy (XPS) confirms the formation of gold-thiol bonds. We find the ferredoxin electrode to be a useful tool for studying the catalytic mechanisms of the associated redox enzymes from P. furiosus. We have thus studied two redox enzymes, glyceraldehyde-3-phosphate oxidoreductase (GAPOR) and aldehyde oxidoreductase (AOR), which are involved in ferredoxin-mediated redox processes in the organism. Addition of these enzymes at room temperature results in complex formation between the electrode-bound ferredoxin and the enzyme. At 60 °C a catalytic wave appears upon addition of the substrate, glyceraldehyde-3-phosphate to the Fd-GAPOR complex. In the case of AOR at 80 °C reversible oxidation of crotonadehyde to crotonic acid and vice versa was observed. This work opens the way for the application of Fd electrodes in achieving controlled reduction of carboxylic acids on a preparative scale.","ferredoxin; electrochemistry; x-ray photoelectron spectroscopy; atomic force microscopy; ellipsometry; electrocatalysis","en","doctoral thesis","","","","","","","","","Applied Sciences","","","","",""
"uuid:033068b4-0f0b-4eb0-9e3b-4de31267a228","http://resolver.tudelft.nl/uuid:033068b4-0f0b-4eb0-9e3b-4de31267a228","Epitaxial aluminum nitride tunnel barriers grown by nitridation with a plasma source","Zijlstra, T.; Lodewijk, C.F.J.; Vercruyssen, N.; Tichelaar, F.D.; Loudkov, D.N.; Klapwijk, T.M.","","2007","High critical current-density (10?to?420?kA/cm2) superconductor-insulator-superconductor tunnel junctions with aluminum nitride barriers have been realized using a remote nitrogen plasma from an inductively coupled plasma source operated in a pressure range of 10?3–10?1?mbar. We find a much better reproducibility and control compared to previous work. From the current-voltage characteristics and cross-sectional transmission electron microscopy images it is inferred that, compared to the commonly used AlOx barriers, the polycrystalline AlN barriers are much more uniform in transmissivity, leading to a better quality at high critical current densities.","aluminium compounds; critical current density (superconductivity); epitaxial layers; Josephson effect; nitridation; plasma deposition; transmission electron microscopy","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:8b280b36-830a-4ea0-8c09-b55e84f3d929","http://resolver.tudelft.nl/uuid:8b280b36-830a-4ea0-8c09-b55e84f3d929","Formation and stability of rocksalt ZnO nanocrystals in MgO","Eijt, S.W.H.; De Roode, J.; Schut, H.; Kooi, B.J.; De Hosson, J.T.M.","","2007","Coimplantation of Zn and O ions into a single crystalline MgO and subsequent thermal annealing were applied in the synthesis of ZnO nanocrystals. Electron microscopy showed that rocksalt instead of wurtzite ZnO stabilizes for relatively large nanocrystals up to ~15?nm, resulting from its small lattice mismatch with MgO of ~1.7%. The vacancies initially created by implantation induce favorable nanocrystal growth kinetics and are effectively absorbed during the nucleation and growth processes. The optical band edge of the ZnO nanocrystals was detected at ~2.8?eV.","annealing; electron microscopy; energy gap; magnesium compounds; nanostructured materials; nucleation; zinc compounds","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Radiation, Radionuclides and Reactors","","","",""
"uuid:de8e0394-d9c4-40c7-8039-fc3e52eb32fe","http://resolver.tudelft.nl/uuid:de8e0394-d9c4-40c7-8039-fc3e52eb32fe","Structural and functional studies of the iron storage protein ferritin from Pyrococcus furiosus","Tatur, J.","Hagen, W.R. (promotor)","2007","This research focuses on the iron storage protein ferritin. Ferritin is a protein involved in iron homeostasis by storing Fe(II) excess in the form of an Fe(III) mineral core in the presence of oxygen and by releasing iron during iron deficiency. Ferritins are vital for human health. Their malfunction may lead among other diseases to anemia, iron overload, Parkinson or Alzheimer. In addition to its medical significance, there are industrial applications of ferritin such as in nanotechnology, catalysis and environmental cleaning. The research of this study focused on ferritin from hyperthermophile, archaeon and anaerobe Pyrococcus furiosus and involved both the fundamental biochemistry of ferritin and ferritin's possible application. The results include the development of a highly efficient overproduction system of P. furiosus ferritin, the determination of ferritin's redox chemistry that gave insight into ferritin's possible physiological redox partners, and determination of P. furiosus ferritin's crystal structure. Additionally, ferritin has been used for the production of carbon nanotubes and a method to increase the yield of carbon nanotubes was developed and presented.","ferritin; iron; ferroxidase center; iron mineral core; iron storage; ferroxidase center redox potentials; crystal structure; electron paramagnetic resonance; x-ray crystallography; atomic force microscopy; carbon nanotubes","en","doctoral thesis","","","","","","","","","Applied Sciences","","","","",""
"uuid:9ad7dfff-aa9a-4cba-b410-a978e5c09b76","http://resolver.tudelft.nl/uuid:9ad7dfff-aa9a-4cba-b410-a978e5c09b76","Structured illumination microscopy using extraordinary transmission through sub-wavelength hole-arrays","Docter, M.W.; Van den Berg, P.M.; Alkemade, P.F.A.; Kutchoukov, V.G.; Piciu, O.M.; Bossche, A.; Young, I.T.; Garini, Y.","","2007","","near field optics; extraordinary transmission; far field imaging; microscopy","en","journal article","SPIE","","","","","","","","Applied Sciences","Imaging Science and Technology","","","",""
"uuid:2734847c-599f-4720-a211-77651670db2d","http://resolver.tudelft.nl/uuid:2734847c-599f-4720-a211-77651670db2d","Dynamic protein assemblies in homologous recombination with single DNA molecules","van der Heijden, A.H.","Dekker, C. (promotor)","2007","What happens when your DNA breaks? This thesis describes experimental work on the single-molecule level focusing on the interaction between DNA and DNA-repair proteins, in particular bacterial RecA and human Rad51, involved in homologous recombination. Homologous recombination and its central event of DNA strand exchange are essential processes in all living organisms for generating genetic diversity and for repairing potentially disastrous DNA breaks. Several cancer-prone genetic diseases are associated with homologous recombination dysfunction or deficiency. With the use of single-molecule techniques like magnetic tweezers and atomic force microscopy, the complex mechanism of the DNA repair pathway of homologous recombination is slowly unraveled.","dna-protein interaction; homologous recombination; single molecule; magnetic tweezers; atomic force microscopy","en","doctoral thesis","","","","","","","","","Applied Sciences","","","","",""
"uuid:fc6f6242-c92f-4a26-9a8d-d0ac66c51f5c","http://resolver.tudelft.nl/uuid:fc6f6242-c92f-4a26-9a8d-d0ac66c51f5c","Fourier-transform terahertz near-field imaging of one-dimensional slit arrays: Mapping of electric-field-, magnetic-field-, and Poynting vectors","Seo, M.A.; Adam, A.J.L.; Kang, J.H.; Lee, J.W.; Jeoung, S.C.; Park, Q.H.; Planken, P.C.M.; Kim, D.S.","","2007","We present 2D measurements of the full THz electric field behind a sample consisting of multiple slits in a metal foil. Our measurements, which have a sub-wavelength spatial, and a sub-period temporal resolution, reveal electric field lines, electric field vortices and saddle points. From our measurements we are able to reconstruct the magnetic field and, finally, the position and time-dependent Poynting vector which shows the flow of energy behind the sample. Our results show that it is possible to study the flow of light near sub-wavelength plasmonic structures such as slit-arrays and, by implication, other metamaterial samples.","microscopy; infrared, far; ultrafast technology","en","journal article","Optical Society of America","","","","","","","","Applied Sciences","Imaging Science and Technology","","","",""
"uuid:a8507bfe-5713-47c5-8ebc-29e00dce43cd","http://resolver.tudelft.nl/uuid:a8507bfe-5713-47c5-8ebc-29e00dce43cd","In situ imaging of electromigration-induced nanogap formation by transmission electron microscopy","Heersche, H.B.; Lientschnig, G.; O'Neill, K.; Van der Zant, H.S.J.; Zandbergen, H.W.","","2007","The authors imaged electromigration-induced nanogap formation in situ by transmission electron microscopy. Real-time video recordings show that edge voids form near the cathode side. The polycrystalline gold wires narrow down until a single-grain boundary intersects the constriction along which the breaking continues. During the last 50?ms of the break, a relatively large deformation of the constriction’s geometry occurs. The shape of the anode (blunt) and the cathode (sharp) is asymmetric when the wire breaks with a bias voltage applied, but symmetric when a narrow constriction breaks spontaneously.","electromigration; gold; grain boundaries; nanostructured materials; transmission electron microscopy","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:fc4c634c-6b8c-4707-b57e-84b8432ec89d","http://resolver.tudelft.nl/uuid:fc4c634c-6b8c-4707-b57e-84b8432ec89d","Monocrystalline NbN nanofilms on a 3C-SiC/Si substrate","Gao, J.R.; Hajenius, M.; Tichelaar, F.D.; Klapwijk, T.M.; Voronov, B.; Grishin, E.; Gol'tsman, G.; Zorman, C.A.; Mehregany, M.","","2007","The authors have realized NbN (100) nanofilms on a 3C-SiC (100)/Si(100) substrate by dc reactive magnetron sputtering at 800?°C. High-resolution transmission electron microscopy (HRTEM) is used to characterize the films, showing a monocrystalline structure and confirming epitaxial growth on the 3C-SiC layer. A film ranging in thickness from 3.4?to?4.1?nm shows a superconducting transition temperature of 11.8?K, which is the highest reported for NbN films of comparable thickness. The NbN nano-films on 3C-SiC offer a promising alternative to improve terahertz detectors. For comparison, NbN nanofilms grown directly on Si substrates are also studied by HRTEM.","nanostructured materials; niobium compounds; sputter deposition; superconducting epitaxial layers; superconducting thin films; superconducting transition temperature; transmission electron microscopy","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:383c059b-289c-4673-9914-179069dbddc2","http://resolver.tudelft.nl/uuid:383c059b-289c-4673-9914-179069dbddc2","Measurement and calculation of the near field of a terahertz apertureless scanning optical microscope","Adam, A.J.L.; Van der Valk, N.C.J.; Planken, P.C.M.","","2007","We present measurements and calculations of the terahertz (THz) electric field measured in the near field of a metal tip used in THz apertureless near-field optical microscopy (THz-ANSOM). An analytical model in which we treat the metal tip as a linear wire antenna allows us to predict almost all of the features observed in the measurements, such as the relatively slow decay of the near-field amplitude when the tip-crystal separation increases. When the tip-crystal separation is modulated, in conjunction with lock-in detection at the modulation frequency, a smaller THz spot size is observed underneath the tip. A comparison with analytical expressions shows that in this case the electric field originates predominantly from the tip apex, with negligible contributions from the tip shaft. In the unmodulated case, the observed signal is the spatial integral of the electrooptic (EO) effect over the interaction length between the THz near field and the probe laser pulse. In the modulated case, to a good approximation, we find that the signal is proportional to the value of the THz near field at the surface of the EO crystal only.","infrared imaging; scanning microscopy; ultrafast technology","en","journal article","Optical Society of America","","","","","","","","Applied Sciences","Imaging Science and Technology","","","",""
"uuid:7d5c623a-5a37-47ec-be50-fc315226de30","http://resolver.tudelft.nl/uuid:7d5c623a-5a37-47ec-be50-fc315226de30","High-resistivity nanogranular Co–Al–O films for high-frequency applications","Khalili Amiri, P.; Zhuang, Y.; Schellevis, H.; Rejaei, B.; Vroubel, M.; Ma, Y.; Burghartz, J.N.","","2007","This work presents a series of high-resistivity nanogranular Co–Al–O films with maximum resistivity of ? 110?m??cm. The films were deposited using pulsed dc reactive sputtering of a Co72Al28 target in an oxygen/argon ambient. The samples were characterized by scanning electron microscopy (SEM), M-H loop measurements, and s-parameter measurements on microstrip transmission lines with Co–Al–O magnetic cores. The high-frequency magnetic permeability profile was extracted from the microstrip measurements. Reduction of deposition power resulted in resistivity enhancement, as well as reduction of coercivity and permeability. SEM images reveal an average grain size of ? 80?nm for films with the highest resistivity.","cobalt compounds; nanostructured materials; granular materials; magnetic thin films; sputtered coatings; electrical resistivity; scanning electron microscopy; magnetic hysteresis; magnetic permeability; coercive force; grain size","en","journal article","American Institute of Physics","","","","","","","","Electrical Engineering, Mathematics and Computer Science","DIMES","","","",""
"uuid:9b263527-2dce-4997-9b6a-0ef1030fd87a","http://resolver.tudelft.nl/uuid:9b263527-2dce-4997-9b6a-0ef1030fd87a","Investigation of Corrosion and Cathodic Protection in Reinforced Concrete. II: Properties of Steel Surface Layers","Koleva, D.A.; De Wit, J.H.W.; Van Breugel, K.; Lodhi, Z.F.; Ye, G.","","2007","The present study explores the formation of corrosion products on the steel surface (using as-received low carbon construction steel) in reinforced concrete in conditions of corrosion and subsequent transformation of these layers in conditions of cathodic protection (CP).","reinforced concrete; carbon steel; corrosion protection; X-ray diffraction; X-ray chemical analysis; scanning electron microscopy; X-ray photoelectron spectra; environmental degradation","en","journal article","The Electrochemical Society","","","","","","","","Civil Engineering and Geosciences","Design and Construction","","","",""
"uuid:15391269-54db-4be3-abfe-3194aa5179da","http://resolver.tudelft.nl/uuid:15391269-54db-4be3-abfe-3194aa5179da","Crystal structure and band gap determination of HfO2 thin films","Cheynet, M.C.; Pokrant, S.; Tichelaar, F.D.; Rouvière, J.L.","","2007","Valence electron energy loss spectroscopy (VEELS) and high resolution transmission electron microscopy (HRTEM) are performed on three different HfO2 thin films grown on Si (001) by chemical vapor deposition (CVD) or atomic layer deposition (ALD). For each sample the band gap (Eg) is determined by low-loss EELS analysis. The Eg values are then correlated with the crystal structure and the chemical properties of the films obtained by HRTEM images and VEELS line scans, respectively. They are discussed in comparison to both experimental and theoretical results published in literature. The HfO2 ALD film capped with poly-Si exhibits the largest band gap (Eg = 5.9±0.5?eV), as a consequence of its nanocrystallized orthorhombic structure. The large grains with a monoclinic structure formed in the HfO2 ALD film capped with Ge and the carbon contamination induced by the precursors in the HfO2 CVD film capped with Al2O3 are identified to be the main features responsible for lower band gap values (Eg = 5.25±0.5 and 4.3±0.5?eV respectively).","crystal structure; energy gap; hafnium compounds; thin films; electron energy loss spectra; transmission electron microscopy; chemical vapour deposition; atomic layer deposition","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:ecd23487-14b7-44d7-97fd-51fb9badec67","http://resolver.tudelft.nl/uuid:ecd23487-14b7-44d7-97fd-51fb9badec67","Investigation of Corrosion and Cathodic Protection in Reinforced Concrete. I: Application of Electrochemical Techniques","Koleva, D.A.; De Wit, J.H.W.; Van Breugel, K.; Lodhi, Z.F.; Van Westing, E.","","2007","The electrochemical behavior of steel reinforcement in conditions of corrosion and cathodic protection was studied, using electrochemical impedance spectroscopy (EIS) and compared to reference (noncorroding) conditions. Polarization resistance (PR) method and potentiodynamic polarization (PDP) were employed as well, in addition to ac 2 pin electrical resistance monitoring, thus deriving a comparison of the involved parameters, mainly polarization resistance and bulk electrical properties, obtained by all methods. It was found out that EIS is readily applicable for evaluating electrochemical behavior of the steel surface not only for corroding or passive state, but also in conditions of cathodic protection, although the interpretation of derived parameters is not straightforward and is related to the properties of the product layers, formed on the steel surface in the different conditions. For verification of the latter dependence, EIS, PDP, and PR measurements were performed additionally in cement extract solution, using steel samples from the previously embedded rebars in all technical conditions. The bulk matrix properties in passive, corroding, or under-protection conditions can be well defined by EIS. The evaluation of the electrochemical behavior of the steel surface, in terms of deriving polarization resistance, should take into account the crystallinity, morphology, and composition of the surface layers, which were investigated by scanning electron microscopy and energy dispersive X-ray analysis.","steel; reinforced concrete; corrosion protection; electrochemical impedance spectroscopy; electrical resistivity; crystal structure; surface morphology; surface composition; scanning electron microscopy; X-ray chemical analysis","en","journal article","The Electrochemical Society","","","","","","","","Civil Engineering and Geosciences","Design and Construction","","","",""
"uuid:93d2d683-f7f6-4d5b-b9e8-f2714be541ec","http://resolver.tudelft.nl/uuid:93d2d683-f7f6-4d5b-b9e8-f2714be541ec","Biological applications of an LCoS-BASED PROGRAMMABLE ARRAY MICROSCOPE (PAM)","Hagen, G.M.; Caarls, W.; Thomas, M.; Hill, A.; Lidke, K.A.; Rieger, B.; Fritsch, C.; Van Geest, B.; Jovin, T.M.; Arndt-Jovin, D.J.","","2007","We report on a new generation, commercial prototype of a programmable array optical sectioning fluorescence microscope (PAM) for rapid, light efficient 3D imaging of living specimens. The stand-alone module, including light source(s) and detector(s), features an innovative optical design and a ferroelectric liquid-crystal-on-silicon (LCoS) spatial light modulator (SLM) instead of the DMD used in the original PAM design. The LCoS PAM (developed in collaboration with Cairn Research, Ltd.) can be attached to a port of a(ny) unmodified fluorescence microscope. The prototype system currently operated at the Max Planck Institute incorporates a 6-position high-intensity LED illuminator, modulated laser and lamp light sources, and an Andor iXon emCCD camera. The module is mounted on an Olympus IX71 inverted microscope with 60-150X objectives with a Prior Scientific x,y, and z high resolution scanning stages. Further enhancements recently include: (i) point- and line-wise spectral resolution and (ii) lifetime imaging (FLIM) in the frequency domain. Multiphoton operation and other nonlinear techniques should be feasible. The capabilities of the PAM are illustrated by several examples demonstrating single molecule as well as lifetime imaging in live cells, and the unique capability to perform photoconversion with arbitrary patterns and high spatial resolution. Using quantum dot coupled ligands we show real-time binding and subsequent trafficking of individual ligand-growth factor receptor complexes on and in live cells with a temporal resolution and sensitivity exceeding those of conventional CLSM systems. The combined use of a blue laser and parallel LED or visible laser sources permits photoactivation and rapid kinetic analysis of cellular processes probed by photoswitchable visible fluorescent proteins such as DRONPA.","fluorescence microscopy; confocal; emCCD; SLM; quantum dots; EGFR; erbB; DRONPA; FLIM; FRET","en","conference paper","SPIE","","","","","","","","Electrical Engineering, Mathematics and Computer Science","Quantitative Imaging Group","","","",""
"uuid:1006610c-8858-4084-a214-fb58fa6ca354","http://resolver.tudelft.nl/uuid:1006610c-8858-4084-a214-fb58fa6ca354","A new optical method for characterizing single molecule interactions based on dark field microscopy","Dietrich, H.R.C.; Vermolen, B.J.; Rieger, B.; Young, I.T.; Garini, Y.","","2007","Single-molecule techniques continue to gain in popularity in research disciplines such as the study of intermolecular interactions. These techniques provide information that otherwise would be lost by using bulk measurements that deal with a large number of molecules. We describe in this report the motion of tethered DNA molecules that have been tagged with gold nanobeads and observed under dark field microscopy to study single molecular interactions (SMI). We further report on the derivation and use of several physical parameters and how these parameters change under differing experimental conditions.","single molecule detection; gold nanobeads; molecular interactions; dark field microscopy; tethered-praticle motion","en","conference paper","SPIE","","","","","","","","Applied Sciences","Quantitative Imaging Group","","","",""
"uuid:29a5cb91-dfeb-49a6-9fd2-7ab467d54717","http://resolver.tudelft.nl/uuid:29a5cb91-dfeb-49a6-9fd2-7ab467d54717","Stress in tungsten carbide-diamond like carbon multilayer coatings","Pujada, B.R.; Tichelaar, F.D.; Janssen, G.C.A.M.","","2007","Tungsten carbide-diamond like carbon (WC-DLC) multilayer coatings have been prepared by sputter deposition from a tungsten-carbide target and periodic switching on and off of the reactive acetylene gas flow. The stress in the resulting WC-DLC multilayers has been studied by substrate curvature. Periodicity and microstructure have been studied by transmission electron microscopy. It has been observed that compressive stress in the multilayers decreases when the bilayer thickness is reduced. Results show a minimum compressive stress for bilayer thickness of around 5?nm. This behavior is discussed in terms of interface stress and mixing between layers.","tungsten compounds; diamond-like carbon; multilayers; internal stresses; crystal microstructure; transmission electron microscopy","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:e380b714-d980-4544-af57-4515bca3ead8","http://resolver.tudelft.nl/uuid:e380b714-d980-4544-af57-4515bca3ead8","Electronic instabilities and structural fluctuations in self-assembled atom wires","Snijders, P.C.","Weitering, H.H. (promotor); Klapwijk, T.M. (promotor)","2006","One-dimensional (1D) solid state systems can behave drastically different from their higher dimensional counterparts. Increased interactions can produce electronic and/or structural instabilities. In this respect, the following fundamental questions are important for a proper understanding of the properties of the ultimate 1D systems consisting of atom wires: Are atom wires created by self-assembly on silicon (Si) surfaces actually stable? Do atom wires exist that are metallic (at low temperatures)? What role is played by defects in these atom wires? This Thesis attempts to find an answer to these questions. Atom wires were realized by self-assembly on vicinal Si surfaces. Using Scanning Tunneling Microscopy and Spectroscopy combined with Density Functional Theory calculations, a full analysis of the (thermodynamic) stability of Gallium (Ga) atom wires on Si(112) has been made, including the energetics of fluctuating intrinsic structural defects. It is shown that the stable atom wires fully passivate the surface, and that the spacing between intrinsic quasi-1D meandering vacancy lines can be tuned continuously through experimental adjustment of the Ga chemical potential. Gold atom wires on the Si(553) surface show an incommensurate metallic state at room temperature. Decreasing the temperature, two competing charge density waves (CDW) within single atom wires are observed, accompanied by a third CDW coexisting in between those wires. Defects in the atom wires induce interband charge transfer, resulting in commensurate CDWs at low temperatures. Finally, manipulatable phase slips with fractional charge and spin are observed for the first time in a CDW in real space.","atom wires; electronic instabilities; structural fluctuations; charge density wave; scanning tunneling microscopy; silicon surfaces","en","doctoral thesis","","","","","","","","","Applied Sciences","","","","",""
"uuid:64a333d2-dd88-4f64-b66c-63842de4b75d","http://resolver.tudelft.nl/uuid:64a333d2-dd88-4f64-b66c-63842de4b75d","Measuring the near-field of extra-ordinary transmission through a periodic hole-array","Docter, M.W.; Young, I.T.; Piciu, O.; Bossche, A.; Alkemade, P.F.A.; Van de Berg, P.M.; Garini, Y.","","2006","The knowledge of the near-field of extra-ordinary transmission through hole-arrays is mostly theoretical; there is less experimental validation of the theory. We study the near-field properties by measuring fluorescent molecules that are immersed in a solution and their Brownian motion. The measurements are performed by filling the space above the hole-array with fluorescent solution and exciting these molecules through the hole-array. By measuring both the fluorescence and the direct exciting light, it is possible to learn about the near-field properties.","plasmons; mid-field; nanostructure; hole array; near-field; high resolution; microscopy","en","conference paper","SPIE","","","","","","","","Applied Sciences","Imaging Science and Technology","","","",""
"uuid:a71ae60d-85a2-48ff-8527-e536cd449c56","http://resolver.tudelft.nl/uuid:a71ae60d-85a2-48ff-8527-e536cd449c56","InP-based two-dimensional photonic crystals filled with polymers","Van der Heijden, R.; Carlström, C.F.; Snijders, J.A.P.; Van der Heijden, R.W.; Karouta, F.; Nötzel, R.; Salemink, H.W.M.; Kjellander, B.K.C.; Bastiaansen, C.W.M.; Broer, D.J.; Van der Drift, E.","","2006","Polymer filling of the air holes of indium-phosphide-based two-dimensional photonic crystals is reported. After infiltration of the holes with a liquid monomer and solidification of the infill in situ by thermal polymerization, complete filling is proven using scanning electron microscopy. Optical transmission measurements of a filled photonic crystal structure exhibit a redshift of the air band, confirming the complete filling.","photonic crystals; indium compounds; optical polymers; III-V semiconductors; solidification; polymerisation; scanning electron microscopy; red shift","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:4cec8e53-14ce-40b8-bd4a-e89a8cd7f7cb","http://resolver.tudelft.nl/uuid:4cec8e53-14ce-40b8-bd4a-e89a8cd7f7cb","Electrical transport measurements on self-assembled organic molecular wires","Durkut, M.; Mas-Torrent, M.; Hadley, P.; Jonkheijm, P.; Schenning, A.P.H.J.; Meijer, E.W.; George, S.; Ajayaghosh, A.","","2006","The electrical properties of supermolecular assemblies of oligo(p-phenylene vinylene) were studied. These materials self-assemble into well-defined cylindrical structures in solution with lengths in the range of 100?nm–10??m and diameters between 5 and 200?nm. Atomic force microscopy showed that by adjusting the concentration, either individual molecular wires or a dense film could be deposited. The molecular wires showed poor electrical conduction. Several tests were performed that show that it was the molecular wires themselves, not the contacts, that limit the conductivity.","organic semiconductors; electrical conductivity; self-assembly; atomic force microscopy; molecular electronics; wires (electric)","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:84ac9982-f1d3-4171-b55f-bb9fb0795ac7","http://resolver.tudelft.nl/uuid:84ac9982-f1d3-4171-b55f-bb9fb0795ac7","Advances in the development of a novel method to be used in proteomics using gold nanobeads","Dietrich, H.R.C.; Young, I.T.; Garini, Y.","","2006","The study of DNA-protein interactions is gaining increased attention due to their importance in cellular processes. Only a well-functioning interaction guaranties that such a process can take place without errors. So far, only a small percentage of these interactions have been unraveled, partially due to their complexity but also due to the fact that there are only a few techniques that permit the study of these interactions. In this report we describe the development of a research tool based on tethered bead motion and Resonance Light Scattering (RLS) from gold beads. This method permits the study of DNA-protein interactions and the screening of proteins binding to a specific DNA sequence.","single molecule detection; resonance light scattering; molecular interactions; quantitative microscopy","en","conference paper","SPIE","","","","","","","","Applied Sciences","Quantitative Imaging Group","","","",""
"uuid:b418f197-c092-4cb1-9280-3a171dd5fe91","http://resolver.tudelft.nl/uuid:b418f197-c092-4cb1-9280-3a171dd5fe91","Enhancing the charge ordering temperature in thin films of Pr0.5Ca0.5MnO3 by strain","Yang, Z.Q.; Zhang, Y.Q.; Aarts, J.; Wu, M.Y.; Zandbergen, H.W.","","2006","We report the effects of biaxial strain on the charge ordering temperature Tco of the mixed-valent manganite perovskite oxide Pr0.5Ca0.5MnO3. Thin films were grown on SrTiO3, which has a 1.3% larger in-plane lattice parameter. Other substrates were used for comparison. Transport measurements combined with data from electron microscopy show that Tco is considerably enhanced. At thicknesses of the order of 10?nm, where the films are fully strained, Tco is above 320?K, more than 70?K above the bulk value of 250?K, while around 50?nm, where relaxation has set in, the enhancement is around 40?K. The bulk value is only reached at a thickness of about 150?nm.","praseodymium compounds; calcium compounds; magnetic thin films; internal stresses; lattice constants; electron microscopy","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:2cdbdf0c-45be-4ae0-8daa-a48c8058e756","http://resolver.tudelft.nl/uuid:2cdbdf0c-45be-4ae0-8daa-a48c8058e756","Absolute fluorescence calibration","Young, I.T.; Garini, Y.; Vermolen, B.; Liqui Lund, G.; Brouwer, G.; Hendrichs, S.; El Morabit, M.; Spoelstra, J.; Wilhelm, E.; Zaal, M.","","2006","While fluorescence microscope systems remains an essential tool in modern biology and medical work, no compact instrumentation has been developed for the rapid calibration of such systems. Almost invariably results are presented in terms of the [AU], ""arbitrary units"". To remedy this situation we have developed a small, portable instrument - the size of a microscope slide - that uses low-power LEDs at different wavelengths to produce calibrated amounts of light. A computer controls the instrument—through a USB connector—so that the current to the selected LED can be swept through an increasing range of values. The amount of light measured by the microscope's total imaging system (lenses, filters, EO sensor, and digitizer) is then recorded to provide a “current in, digital value out” calibration. Further, the current can be translated easily to optical power and thus photons per second at the chosen LED wavelength. We have built and programmed such a system, tested it for accuracy and precision, and used it to calibrate several microscopes and microscope/lens combinations. The results will be presented.","flueorescence calibration; fluorescence microscopy; LED sources; quantitative microscopy","en","conference paper","SPIE","","","","","","","","Applied Sciences","Quantitative Imaging Group","","","",""
"uuid:be4721be-c4aa-4d89-88f7-94b3b7ec0310","http://resolver.tudelft.nl/uuid:be4721be-c4aa-4d89-88f7-94b3b7ec0310","Spatially periodic liquid crystal director field appearing in a photonic crystal template pectroscopy","Matthias, H.; Röder, T.; Wehrspohn, R.B.; Kitzerow, H.S.; Matthias, S.; Picken, S.J.","","2005","Active tuning of photonic crystals can be achieved by filling the porous structures with liquid crystals. Here, the director field in macropores was studied by fluorescence confocal polarizing microscopy. For this purpose, the photonic crystal was infiltrated with a glass-forming liquid crystalline polymer, the sample was cooled below the glass transition temperature and, subsequently, the photonic crystal template was removed. Results on a structure with modulated pores indicate a spatially periodic director field containing a lattice of disclination rings.","photonic crystals; porous materials; porosity; fluorescence; optical microscopy; light polarisation; liquid crystal polymers; cooling; glass transition","en","journal article","","","","","","","","","Applied Sciences","DelftChemTech","","","",""
"uuid:afd8580a-404c-4dfc-9b26-e74933f10ed9","http://resolver.tudelft.nl/uuid:afd8580a-404c-4dfc-9b26-e74933f10ed9","Towards terahertz microscopy","Van der Valk, N.C.J.","Braat, J.J.M. (promotor); Bakker, H.J. (promotor)","2005","Terahertz (=1012 Hz) radiation is a form of electromagnetic radiation that is at this moment used rarely for imaging purposes. However, there are indeed reasons to assume that imaging with terahertz radiation could be very useful. First, many materials, such as paper, plastics and clothing are transparent for terahertz radiation, while they block visible light. This opens the opportunity to look through objects. One can, for instance, consider checking the storage life of milk without opening the milk carton, or the security checks on concealed weapons at airports. Secondly, many materials have characteristic properties in the terahertz region that make a clear contrast between these materials possible. For instance, it is possible to specifically measure the concentration of gasses in a gas mixture. Also, different forms of DNA can be distinguished. By the development of stronger sources and more sensitive detection methods, imaging with terahertz radiation becomes ever more attractive. However, for some applications, such as imaging biological cells, the resolutions of many terahertz imaging techniques are not good enough. This is caused by a fundamental physical limit, the diffraction limit, which dictates that the resolution of ordinary imaging techniques is limited to about half the wavelength of the radiation used. For terahertz radiation, the diffraction limit on the resolution is about 0.1 mm. To use terahertz radiation for imaging microscopic objects, such as cells, the diffraction limit will thus have to be circumvented. This thesis explores different aspects of terahertz imaging with the ultimate goal of the development of a terahertz microscopy technique. Two of these aspects are the generation and detection of terahertz pulses. We also describe the different noise sources in our measurements and discuss how the influence of these sources can be minimized. Terahertz waves that propagate over metal surfaces are studied, because of the possibly large influence of these waves in our microscopy setup. The last part of this thesis presents a new terahertz microscopy technique.","terahertz; microscopy; time-domain spectroscopy; optical rectification; polarization measurement; electro-optic detection; terahertz plasmon-polariton","en","doctoral thesis","","","","","","","","","Applied Sciences","","","","",""
"uuid:ff610e7b-cbc5-4a78-a53a-d241744e463c","http://resolver.tudelft.nl/uuid:ff610e7b-cbc5-4a78-a53a-d241744e463c","Electron-beam-induced deformations of SiO2 nanostructures","Storm, A.J.; Chen, J.H.; Ling, X.S.; Zandbergen, H.W.; Dekker, C.","","2005","The imaging beam of a transmission electron microscope can be used to fine tune critical dimensions in silicon oxide nanostructures. This technique is particularly useful for the fabrication of nanopores with single-nanometer precision, down to 2 nm. We report a detailed study on the effect of electron-beam irradiation on apertures with various geometries. We show that, on the same wafer, pores that are smaller than a certain critical size shrink and that larger ones expand. Our results are in agreement with the hypothesis that surface-tension effects drive the modifications. Additionally, we have determined the chemical composition in the pore region before and after modifications and found no significant changes. This result proves that contamination growth is not the underlying mechanism of pore closure.","silicon compounds; electron beam effects; deformation; transmission electron microscopy; surface tension; chemical analysis; nanoporous materials; nanotechnology","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:80bf7695-8156-4c78-8fdf-6ef96a1aabf8","http://resolver.tudelft.nl/uuid:80bf7695-8156-4c78-8fdf-6ef96a1aabf8","Magnetic properties of electroplated nano/microgranular NiFe thin films for rf application","Zhuang, Y.; Vroubel, M.; Rejaei, B.; Burghartz, J.N.; Attenborough, K.","","2005","A granular NiFe thin film with large in-plane magnetic anisotropy and high ferromagnetic-resonance frequency developed for radio-frequency integrated circuit (IC) applications is presented. During the deposition, three-dimensional (3D) growth occurs, yielding NiFe grains (? ? 1.0??m). Nanonuclei (? ? 30–50?nm) are observed in single NiFe grains by atomic-force microscopy (AFM). The in-plane magnetic anisotropy is estimated to be ? 50?mT. The frequency-dependent complex permeability is extracted. By taking the NiFe film as a magnetic core, solenoid-type inductors are fabricated and demonstrated and show a high operating frequency ( ? 5.5?GHz) with a maximum quality factor ( ? 3).","permalloy; chromium; titanium; discontinuous metallic thin films; granular materials; granular structure; magnetic thin films; nanostructured materials; magnetic anisotropy; ferromagnetic resonance; electroplating; magnetic permeability; interface magnetism; solenoids; Q-factor; radiofrequency integrated circuits; surface morphology; nanotechnology; magnetic cores; thin film inductors; thin film circuits; magnetic thin film devices; atomic force microscopy","en","journal article","American Institute of Physics","","","","","","","","Electrical Engineering, Mathematics and Computer Science","","","","",""
"uuid:4b959ad7-4593-4114-ad04-a59be2e24801","http://resolver.tudelft.nl/uuid:4b959ad7-4593-4114-ad04-a59be2e24801","Determination of in-depth density profiles of multilayer structures","Kessels, M.J.H.; Bijkerk, F.; Tichelaar, F.D.; Verhoeven, J.","","2005","We developed and demonstrate an analysis method in which we calibrate the intensity scale of cross-sectional transmission electron microscopy (TEM) using Cu K? reflectometry. This results in quantitative in-depth density profiles of multilayer structures. Only three free parameters are needed to obtain the calibrated profiles, corresponding to three TEM image intensity levels. Additionally, the optical indices of the two multilayer materials used and the assumption that the layers are laterally homogeneous are used in the model. The power and the general usefulness of the method is demonstrated using experimental data of W/Si and Mo/Si multilayer systems with sharp interfaces as well as multilayers of which the interfaces were deliberately intermixed.","tungsten; molybdenum; silicon; elemental semiconductors; multilayers; transmission electron microscopy; interface roughness; refractive index; reflectometry","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:62daf108-7f76-4db6-9e01-49ce11ce6d37","http://resolver.tudelft.nl/uuid:62daf108-7f76-4db6-9e01-49ce11ce6d37","Adaptation of nanoarrays for the study of ?-synuclein aggregation - preliminary results","Dietrich, H.R.C.; Van den Doel, R.L.; Hoyer, W.; Van Oel, W.; Liqui Lung, G.; Garini, Y.; Jovin, T.; Young, I.T.","","2005","In previous publications we have shown that we can perform enzymatic reactions in nanoarrays by means of a microarray-reader based on a conventional microscope. In this publication we report on a modification of this system in order to monitor the aggregation kinetics of the natively unfolded protein ?-synuclein. We describe the motivation for this development, the problems associated with the miniaturization of the aggregation assay, and the validation of our modifications.","nanoarrays; high throughput screening; evaporation control; ?-synuclein; thioflavin T; quantitative fluorescence microscopy","en","conference paper","SPIE","","","","","","","","Applied Sciences","Imaging Science and Technology","","","",""
"uuid:3841e7fc-b740-4450-a480-4aca55e670e6","http://resolver.tudelft.nl/uuid:3841e7fc-b740-4450-a480-4aca55e670e6","Three-dimensional analysis tool for segmenting and measuring the structure of telomeres in mammalian nuclei","Vermolen, B.J.; Young, I.T.; Chuang, A.; Wark, L.; Chuang, T.; Mai, S.; Garini, Y.","","2005","Quantitative analysis in combination with fluorescence microscopy calls for innovative digital image measurement tools. We have developed a three-dimensional tool for segmenting and analyzing FISH stained telomeres in interphase nuclei. After deconvolution of the images, we segment the individual telomeres and measure a distribution parameter we call ?T . This parameter describes if the telomeres are distributed in a sphere-like volume (?T ? 1) or in a disk-like volume (?T » 1). Because of the statistical nature of this parameter, we have to correct for the fact that we do not have an infinite number of telomeres to calculate this parameter. In this study we show a way to do this correction. After sorting mouse lymphocytes and calculating ?T and using the correction introduced in this paper we show a significant difference between nuclei in G2 and nuclei in either G0/G1 or S phase. The mean values of ?T for G0/G1, S and G2 are 1.03, 1.02 and 13 respectively.","telomeres; 3D imaging; image processing; fluorescence microscopy; FISH","en","conference paper","SPIE","","","","","","","","Applied Sciences","Quantitative Imaging Group","","","",""
"uuid:e8ee9d54-76e2-4172-b5c8-1c29edcd744e","http://resolver.tudelft.nl/uuid:e8ee9d54-76e2-4172-b5c8-1c29edcd744e","Stress in sputter-deposited Cr films: Influence of Ar pressure","Grachev, S.Y.; Tichelaar, F.D.; Janssen, G.C.A.M.","","2005","We studied the tensile stress and grain-width evolution in sputter-deposited Cr films with thickness from 20?nm to 2.7??m. Films were deposited in an industrial Hauzer 750 physical vapor deposition machine at 50–80?°C. The films exhibited a columnar microstructure. A power law behavior of the tensile stress as well as of the average grain width with thickness was observed. Both power exponents were strongly dependent on the Ar pressure during deposition. The power exponent ? for stress varied from 0.26 to 0.79 for the range of Ar pressures used (5×10?3–2×10?2?mbar). The mechanism of tensile stress generation is the shrinkage of the grain boundaries. Assuming the same shrinkage of the grain boundaries all through the layer, the stress and the grain width would be inversely proportional. Indeed, the grain width followed the same power law as the stress at low Ar pressure [? = 0.3(1)], but not at high Ar pressure [? = 0.58(3)]. Transmission electron microscopy showed the formation of numerous voids. At higher Ar pressure the void fraction is significantly higher than at low pressure, thereby diminishing stress generation.","chromium; metallic thin films; grain boundaries; voids (solid); internal stresses; transmission electron microscopy; grain growth; sputtered coatings; grain size","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:f7b1e21d-25b0-4ba4-b59d-d50b105643ce","http://resolver.tudelft.nl/uuid:f7b1e21d-25b0-4ba4-b59d-d50b105643ce","A novel concept for a mid-field microscope","Docter, M.W.; Young, I.T.; Kutchoukov, V.G.; Bossche, A.; Alkemade, P.F.A.; Garini, Y.","","2005","When light passes through a hole smaller than the wavelength of the light, the transmission is very low and the light is diffracted. This however changes if holes are arranged in a periodic array on metal. In that case the light couples to surface plasmons; this results in enhanced transmission, spectral selection and a small angular diffraction. We develop a novel microscopic method based on a periodic hole-array, which will be used as a multiple-apertures near-field source for illuminating a biological sample while the light is collected in far-field. The measurement speed is high, due to the use of an array instead of a single source. The main advantage of this microscope originates from the low diffraction of light through a relatively thick sample with enhanced transmission. It results in the ability to measure the samples interior and 3D reconstruction can be made by semi-confocal techniques. This overcomes the major limitation of near-field methods for which only a shallow layer of the surface (~20 nm) is detectable. For our measurements we use glass coated devices. The holes are processed with a focused ion beam. The photonplasmon coupling process is characterized as a function of the wavelength. Our experiments aim on gaining a better understanding of the transmission process. We tested the dependence of the transmitted spectrum on angle of incidence was tested as well as far-field spectral imaging measurements of the transmission in both Koehler and collimated light illumination. The results as well as the description of the microscope that we are constructing are presented.","plasmons; mid-field; nanostructure; hole array; near-field; high resolution; microscopy","en","conference paper","SPIE","","","","","","","","Applied Sciences","Imaging Science and Technology","","","",""
"uuid:a4727159-9a32-4d48-9a4d-65e9e9912a10","http://resolver.tudelft.nl/uuid:a4727159-9a32-4d48-9a4d-65e9e9912a10","Low-energy electron beams through ultra-thin foils, applications for electron microscopy","Van Aken, R.H.","Kruit, P. (promotor)","2005","This thesis has discussed two electron microscopy applications that make use of ultra-thin foils: the tunnel junction emitter and the low-energy foil corrector. Both applications have in common that the electron beam is sent through the thin foil at low energy. Part of the electrons will scatter in the foil, thus causing a reduction of the transmitted current. An important requirement for both applications is that still a substantial part of the electrons is transmitted without scattering. Traditionally, such experiments were carried out at high voltage because at lower voltage, the inelastic mean free path of the electrons decreases and thus the loss due to scattering increases. However at very low energy the inelastic mean free path increases for decreasing energy. For most metals the workfunction is about 4 - 5 eV, meaning that the lowest energy the electrons may have to pass the foil is about 4 - 5 eV above the Fermi level. The dominant scattering mechanism at this energy is electron - electron scattering. For decreasing energy, the possibilities of the incoming electron to interact with the conduction electrons decreases and hence the inelastic mean free path increases. Measurements for a number of metals indicate a mean free path of about 5 nm at an energy of about 5 eV above the Fermi level. Free-standing foils of only 5 nm thickness can be fabricated nowadays. A fabrication process for samples that contain such foils is reported in this thesis. These samples have a search pattern that enables finding the area with the thin foils in a Scanning Tunnelling Microscope. For a low energy free electron beam passing a foil, quantum mechanical reflections must be taken into account. On entering the foil, the electrons kinetic energy is increased and thus the wavelength is decreased. At low energy this is a significant effect. Due to the wavelength mismatch between foil and vacuum, quantum mechanical reflection at the foils surfaces can occur. Calculations show that the transmission oscillates, as function of both the energy and the foil thickness. A calculation for a 5.15 nm foil with a mean free path of 5 nm shows that due to the combined effects of scattering and quantum mechanical reflections, a transmission of 10 \% is expected. Though this may seem low, such a transmission is already sufficient to make the low-energy foil corrector an attractive alternative for existing correctors. The above reported value of 5 nm for the inelastic mean free path for metals must be regarded as a rough guide. Because of the limited amount of data and the experimental techniques used, it is premature to draw definite conclusions from these measurements. Recent experimental work indicates an inelastic mean free path for silver of around 16 nm. With a 5 nm thick foil having this mean free path, the calculations show that a transmission of about 50% can be obtained. Even larger mean free paths may be found for materials that have a low density of conduction electrons because that will reduce the electron - electron interactions. In this respect, semiconductors could be an interesting alternative for future work. However the electronic structure of semiconductors is more complicated than that of metals and therefore a re-evaluation of the quantum mechanical reflections will be required for these materials. After electron - electron interaction, the next most important scattering mechanism is electron - phonon interaction, giving rise to a mean free path of 30 - 40 nm.","electron optics; electron; microscopy; electron emitter; thin foils; aberration correction; spherical aberration; chromatic aberration; low-voltage; low-energy","en","doctoral thesis","","","","","","","","","Applied Sciences","","","","",""
"uuid:42f83b0e-47c8-4b01-8193-998e232c519b","http://resolver.tudelft.nl/uuid:42f83b0e-47c8-4b01-8193-998e232c519b","Experiments on the bacterial nucleoid of Escherichia coli viewed as a physical entity","Cunha, S.M.","Odijk, T. (promotor)","2004","In this thesis we focus on the compaction of DNA within Escherichia coli and aim to gain some understanding of the physical mechanism behind its spatial organization in the cell. Chapter I is a brief review of the current knowledge of nucleoid structure. We discuss a model for DNA compaction in the living Escherichia coli cell, for which this thesis has provided evidence. In this model the formation of the nucleoid is presented as the phase separation of supercoiled DNA in a suspension of proteins. Chapter II is a brief introduction to excluded-volume interactions and ends with an exposition of recent theoretical calculations that explain how the phase separation between DNA and proteins within E. coli may occur. In chapter III, a comparison between E. coli nucleoids isolated by the detergent and osmotic shock methods is presented. In chapter IV the presence of polyethylene glycol (PEG) was used to study the effect of polymeric depletion interactions on the dimensions of nucleoids isolated by osmotic shock. We derive the free energy of isolated nucleoids when compacted into the intracellular dimensions. A discussion of these results with reference to the phase separation theory developed by Odijk (1998) is given and we conclude that depletion can be the force behind the formation of the bacterial nucleoid. Based on dynamic light scattering (DLS) experiments we show that within isolated nucleoids the supercoil segments exhibit a fast dynamics. In chapter V the process of expansion of nucleoids released by osmotic shock is studied. In our experiments, nucleoids initially expanded quite fast, followed by a long intermediate period. The average exponent characterizing the dynamics of the intermediate phase is indicative of a process driven by excluded-volume. Nevertheless, there are substantial variations between the individual measurements, which are not fully understood. In Chapter VI we directly monitor the movement of DNA segments within isolated nucleoids. We conclude that the isolated nucleoid has a gel-like structure where supercoil segments show confined Brownian motion. The observed diffusion constant substantiates the DLS experiments preformed in Chapter IV.","dynamic light scattering; free energy; light microscopy; nucleoids","en","doctoral thesis","Optima","","","","","","","","Applied Sciences","","","","",""
"uuid:14f7b6e8-dc65-4d47-a040-c63603e27a82","http://resolver.tudelft.nl/uuid:14f7b6e8-dc65-4d47-a040-c63603e27a82","Antenna effects in terahertz apertureless near-field optical microscopy","Wang, K.; Mittleman, D.M.; Van der Valk, N.C.J.; Planken, P.C.M.","","2004","We have performed measurements on terahertz (THz) apertureless near-field microscopy that show that the temporal shape of the observed near-field signals is approximately proportional to the time-integral of the incident field. Associated with this signal change is a bandwidth reduction by approximately a factor of 3 which is observed using both a near-field detection technique and a far-field detection technique. Using a dipole antenna model, it is shown how the observed effects can be explained by the signal filtering properties of the metal tips used in the experiments.","dipole antennas; near-field scanning optical microscopy","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Imaging Science and Technology","","","",""
"uuid:e3a442cc-4a7c-443b-8344-fcbc1a5b1c25","http://resolver.tudelft.nl/uuid:e3a442cc-4a7c-443b-8344-fcbc1a5b1c25","LEDs for fluorescence microscopy","Young, I.T.; Garini, Y.; Dietrich, H.R.C.; Van Oel, W.; Liqui Lung, G.","","2004","Traditional light sources for fluorescence microscopy have been mercury lamps, xenon lamps, and lasers. These sources have been essential in the development of fluorescence microscopy but each can have serious disadvantages: lack of near monochromaticity, heat generation, cost, lifetime of the light source, and possible distortions due to coherence effects. We are examining the possibility of using the new high-power light-emitting diode (LED) sources as alternatives to the above mentioned sources. LED sources are near monochromatic, are inexpensive, produce little heat, have no coherence problems, have extended lifetimes, are small, and can easily be modulated. We describe experiments comparing various LEDs to other light sources. We compare, for example, a 530 nm LED to the 546 nm line from a mercury lamp on a fluorophore whose absorption maximum is broad and in the middle between these two wavelengths.","high-power light-emitting diodes; fluorescence microscopy; multi-spectral sources; quantitative microscopy","en","conference paper","SPIE","","","","","","","","Applied Sciences","Quantitative Imaging Group","","","",""
"uuid:4757f77f-2595-4abb-97eb-01c7682302b5","http://resolver.tudelft.nl/uuid:4757f77f-2595-4abb-97eb-01c7682302b5","Shot-noise limited detection sensitivity in multiplex CARS microscopy","Müller, M.; Schins, J.M.; Wurpel, G.W.H.","","2004","In multiplex CARS microscopy the generated anti-Stokes signal is generated and detected simultaneously over a significant part of the vibrational spectrum. The signal-to-noise ratio of the thus detected spectra is limited only by shot-noise. This principle is demonstrated using a dilution series of 2-propanol in water. It is derived theoretically and shown experimentally that for low solute concentrations - in contrast to methods that suppress the non-resonant background - the CARS signal strength from a particular vibrational mode depends linearly on its concentration. Furthermore, excellent agreement is shown between the experimental data and fits to the theory. It is shown that this approach permits rapid (20 ms acquisition) detection of a single lipid mono-layer, with sufficient signal-to-noise to determine the order parameter for the acyl chain packing. Also it is demonstrated that this detection scheme provides an absolute measure of the solute concentration.","Coherent anti-Stokes Raman scattering; microscopy; non-linear optics, lipid phase behaviour; lipid mono- and bilayers","en","conference paper","SPIE","","","","","","","","Applied Sciences","Radiation Chemistry Department","","","",""
"uuid:bb9ab7d4-dfb2-435e-96ab-552fceaaf036","http://resolver.tudelft.nl/uuid:bb9ab7d4-dfb2-435e-96ab-552fceaaf036","Toward the development of a Three-Dimensional Mid–Field Microscope","Garini, Y.; Kutchoukov, V.G.; Bossche, A.; Alkemade, P.F.A.; Docter, M.W.; Verbeek, P.W.; Van Vliet, L.J.; Young, I.T.","","2004","Recently, an extraordinary transmission of light through small holes (<200 nm) in a thin metallic film has been described. This phenomenon has been shown to be the result of the photon-plasmon interaction in thin films where a periodic structure (such as a set of holes) is embedded in the film. One of the extraordinary results is that the beam that passes through a hole has a very small diffraction in extreme contrast to the wide angle predicted by diffraction theory. Based on this effect, we propose here a new type of microscopy that we term mid-field microscopy. It combines an illumination of the sample through a metallic hole-array with far-field collection optics, a scanning mechanism and a CCD. When compared to other high resolution methods, what we suggest here is relatively simple because it is based on a thin metallic film with an array of nano-sized holes. Such a method can be widely used in high-resolution microscopy and provide a novel simple-to-use tool in many life-sciences laboratories. When compared to near-field scanning optical microscopy (NSOM), the suggested mid-field method provides a significant improvement. This is chiefly for three reasons: 1. The penetration depth of the microscope increases from a few nanometers to a few micrometers, hence the name mid-field microscope. 2. It allows one to measure an image faster because the image is measured through many holes in parallel rather then through a single fiber tip used in conventional near-field microscopy, and 3. It enables one to perform three-dimensional reconstruction of images due to a semi-confocal effect. We describe the physical basics of the photon-plasmon interaction that allows the coupling of light to the surface plasmons and determines the main spectral characteristics of the device. This mechanism can be ascribed due to the super-periodicity of the electron oscillations on the metallic surface engendered by the grating-like structure of the holearray.","high resolution; near field; surface plasmons; optical microscopy","en","conference paper","SPIE","","","","","","","","Applied Sciences","Imaging Science and Technology","","","",""
"uuid:362dcfc0-1d06-4593-b2bd-ef4c8b033991","http://resolver.tudelft.nl/uuid:362dcfc0-1d06-4593-b2bd-ef4c8b033991","Scanning tunneling spectroscopy of suspended single-wall carbon nanotubes","LeRoy, B.J.; Lemay, S.G.; Kong, J.; Dekker, C.","","2004","We have performed low-temperature scanning tunneling microscopy measurements on single-wall carbon nanotubes that are freely suspended over a trench. The nanotubes were grown by chemical vapor deposition on a Pt substrate with predefined trenches etched into it. Atomic resolution was obtained on the freestanding portions of the nanotubes. Spatially resolved spectroscopy on the suspended portion of both metallic and semiconducting nanotubes was also achieved, showing a Coulomb-staircase behavior superimposed on the local density of states. The spacing of the Coulomb blockade peaks changed with tip position reflecting a changing tip-tube capacitance.","carbon nanotubes; scanning tunnelling microscopy; Coulomb blockade; scanning tunnelling spectroscopy","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:e52d4000-a274-4e61-91ba-4a396664108f","http://resolver.tudelft.nl/uuid:e52d4000-a274-4e61-91ba-4a396664108f","A mesoscopic approach to the study of thin protective coatings by Positron Beam Analysis and Scanning Electron Microscopy","Escobar Galindo, R.","Van Veen, A. (promotor); De Hosson, J.Th.M. (promotor)","2003","The study of thin protective coatings is one of the major research topics in the industry. Among the many questions in this wide topic, the aim of this thesis has been to contribute to the understanding of two of them: the problem of the adhesion and the role of porosity in thin films. The thin films studied in this work were mainly characterised by Positron Beam Analysis (PBA) and Environmental Scanning Electron Microscopy (ESEM). PBA provides a non-contact and non-destructive tool to monitor the very first changes in open volume associated with delamination. Positrons have a high affinity to vacancies and vacancy clusters in metals but also in ceramics. Delamination will start with these types of defects, thus positrons are thought to be a very suitable technique to study first stages of delamination. Furthermore, the preferential trapping of positrons inside pores make them a suitable probe to monitor the different stages of sintering and PBA is one of the few techniques capable of probing the pore size and distribution on the nanometer scale. PBA has also been applied to the study of porosity in composite materials. In this thesis PBA was used to identify the interface between thin films and substrate and to monitor in situ changes in open volume during mechanical and thermal testing. These experiments were complemented by ESEM observations of the films. Both experimental setups were equipped with a four-point bending stage. Other experimental techniques used in this study include Scanning Confocal Microscopy (SCM), ion implantation, vacuum annealing, X-Ray Diffraction (XRD), Neutron Depth Profiling (NDP) and laser-induced blistering.Polymer (epoxy, PMMA and PET) and ceramic (TiN, CrxN, DLC) coatings were studied during mechanical and thermal testing. A novel blister test based in local gas implantation was developed to investigate the adhesion of ceramic films. Changes in the porosity of oxides (ZrO2 and Al2O3) and silica-based coatings (composites and low-k dielectrics) were also subject of this work. As a conclusion of this thesis it should be noted that despite of the wide number of systems studied in this work, or maybe because of that, the potential use of positron techniques in material science research should be emphasised. In combination with other standard techniques such as ESEM, SCM or XRD, positrons provide very valuable qualitative and quantitative information to elucidate characteristics with regard to the interface and the porosity of thin films.","positron beam analysis; scanning electron microscopy; adhesion; interface; coatings; thin films; porosity","en","doctoral thesis","Delft University Press","","","","","","","","Interfaculty Reactor Institute","","","","",""
"uuid:35643690-a36d-4d40-b215-e23c2c4947a6","http://resolver.tudelft.nl/uuid:35643690-a36d-4d40-b215-e23c2c4947a6","Evolving Microstructures in Carbon Steel: A Neutron and Synchrotron Radiation Study","Offerman, S.E.","van der Zwaag, S. (promotor)","2003","","Phase transformations; steel; neutron depolarization; x-ray diffraction microscopy","en","doctoral thesis","Delft University Press","","","","","","","","Aerospace Engineering","","","","",""
"uuid:fbd2f64f-5e9d-474a-af3b-0cac1ce76882","http://resolver.tudelft.nl/uuid:fbd2f64f-5e9d-474a-af3b-0cac1ce76882","Statistiscal Experimental Design for Quantitative Atomic Resolution Transmission Electron Microscopy","Van Aert, S.","Van den Bos, A. (promotor); Van Dyck, D. (promotor)","2003","Statistical experimental design is applied to set up quantitative atomic resolution transmission electron microscopy experiments. In such experiments, observations of the atomic structure of the object under study are always subject to spontaneous fluctuations. As a result of these fluctuations, the precision with which structure parameters can be measured is limited. The purpose of the proposed statistical experimental design is to find the experimental settings resulting into the highest attainable precision.","quantitative electron microscopy; statistical experimental design; parameter estimation","en","doctoral thesis","Ponsen & Looijen","","","","","","","","Applied Sciences","","","","",""
"uuid:c526a498-c13d-4b29-88d7-c0cd3637775e","http://resolver.tudelft.nl/uuid:c526a498-c13d-4b29-88d7-c0cd3637775e","On the effects of implantation temperature in helium implanted silicon","Oliviero, E.; David, M.L.; Beaufort, M.F.; Barbot, J.F.; Van Veen, A.","","2002","He+ ions were implanted into silicon with a fluence of 5×10 16?cm?2 at different temperatures ranging from 473 to 1073 K. Samples were analyzed by thermal helium desorption spectroscopy and by transmission electron microscopy. As far as cavity formation is concerned, the behavior can be divided into three stages depending on the implantation temperature. However, it is found that helium release from cavities is governed by a single mechanism regardless of the implantation temperature.","silicon, helium; ion implantation; thermally stimulated desorption; transmission electron microscopy; voids (solid); elemental semiconductors","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Radiation, Radionuclides and Reactors","","","",""
"uuid:c08af088-b606-4fbe-9846-7da61933b99e","http://resolver.tudelft.nl/uuid:c08af088-b606-4fbe-9846-7da61933b99e","Drying shrinkage microcracking in cement-based materials","Bisschop, J.","Van Mier, J.G.M. (promotor)","2002","","Concrete; microcrack; microscopy","en","doctoral thesis","Delft University Press","","","","","","","","Civil Engineering and Geosciences","","","","",""
"uuid:e5f01f17-adac-4b7a-bce1-d85581f592b7","http://resolver.tudelft.nl/uuid:e5f01f17-adac-4b7a-bce1-d85581f592b7","Electrical properties, texture, and microstructure of vicinal YBa2Cu3O7?? thin films","Pedarnig, J.D.; Rössler, R.; Delamare, M.P.; Lang, W.; Bäuerle, D.; Köhler, A.; Zandbergen, H.W.","","2002","Vicinal YBa2Cu3O7?? (YBCO) thin films of thickness h = 20–480?nm are grown by pulsed-laser deposition on 10° miscut (001) SrTiO3 substrates. The anisotropic resistivities, c-axis texture, and critical temperature drastically depend on the thickness of vicinal films. High-resolution electron microscopy reveals a defect microstructure with strong bending of the YBCO lattice near the SrTiO3 interface and improved film microstructure at larger distances to the substrate. The required layer thickness for microstructure relaxation and increase of electrical conductivity are significantly larger than the critical thickness of c-axis oriented YBCO films.","yttrium compounds; barium compounds; high-temperature superconductors; superconducting thin films; electrical conductivity; texture; pulsed laser deposition; superconducting transition temperature; electron microscopy","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:3720d580-7696-4711-bf84-8856063580c4","http://resolver.tudelft.nl/uuid:3720d580-7696-4711-bf84-8856063580c4","Enhanced tunneling across nanometer-scale metal–semiconductor interfaces","Smit, G.D.J.; Rogge, S.; Klapwijk, T.M.","","2002","We have measured electrical transport across epitaxial, nanometer-sized metal–semiconductor interfaces by contacting CoSi2 islands grown on Si(111) with the tip of a scanning tunneling microscope. The conductance per unit area was found to increase with decreasing diode area. Indeed, the zero-bias conductance was found to be ? 104 times larger than expected from downscaling a conventional diode. These observations are explained by a model, which predicts a narrower barrier for small diodes and, therefore, a greatly increased contribution of tunneling to the electrical transport.","semiconductor-metal boundaries; cobalt compounds; silicon; elemental semiconductors; tunnelling; metallic epitaxial layers; discontinuous metallic thin films; scanning tunnelling microscopy; Schottky diodes; Schottky barriers","en","journal article","American Institute of Physics","","","","","","","","Applied Sciences","Kavli Institute of Nanoscience","","","",""
"uuid:2695d52f-d093-44bd-9415-6f9604faab88","http://resolver.tudelft.nl/uuid:2695d52f-d093-44bd-9415-6f9604faab88","Spatially resolved spectroscopy on carbon nanotubes","Janssen, J.W.","Dekker, C. (promotor); Kouwenhoven, L.P. (promotor)","2001","Carbon nanotubes are small cylindrical molecules with a typical diameter of 1 nm and lengths of up to micrometers. These intriguing molecules exhibit, depending on the exact atomic structure, either semiconducting or metallic behavior. This makes them ideal candidates for possible future molecular electronics. In this thesis Janssen describes measurements on carbon nanotubes with a scanning tunnelling microscope. This instrument can measure both the atomic structure and electronic structure. The effect on the electronic structure of a kink in a nanotube, crossings of nanotubes, and the end of a nanotube is studied. Carbon nanotubes are also ideal systems to study quantization phenomena in one dimension. By cutting a nanotube to a short length the texbook particle-in-a-box model is experimentally realized. The wave functions of the electrons are made visible and the measured patterns correspond well to theoretical results. The spatial structure of the wave functions shows interference patterns which are exploited to obtain a value for an important electronic property, the Fermi velocity.","carbon nanotubes; scanning tunneling microscopy; nanotechnology","en","doctoral thesis","Delft University Press","","","","","","","","Applied Sciences","","","","",""
"uuid:1d72f3f4-6ea9-4176-a5a9-5ee4223bfbb2","http://resolver.tudelft.nl/uuid:1d72f3f4-6ea9-4176-a5a9-5ee4223bfbb2","Measuring nanolitres: Interference contrast microscopy measures the evaporation of nanolitre volumes","Hartmann, L.","Van Den Doel, R. (contributor); Van Vliet, L.J. (contributor); Young, I.T. (contributor)","2001","The trend in biochemical analysis is to carry out an everincreasing number of tests, in less time, with fewer chemicals. This is why Delft is one of the places where researchers are working on the development of what are known as Labs-on-Chips. The minute dimensions and the minuscule quantities of liquid involved make for a whole new range of challenges in the physics domain.Physicist Richard van den Doel has been researching detection methods for observing the chemical reactions involved in glycolysis, by means of fluorescence. The reactions take place in wells etched in silicon, with each well having a volume of only three nanolitres. He has also developed an optical method to measure such minute quantities of liquid with a very high degree of accuracy. The results were startling: in the range of these dimensions the rate of evaporation is directly proportional to the circumference of the liquid rather than its surface area.","interference contrast microscopy; Labs-on-Chips","en","journal article","Delft University of Technology","","","","","","","","","","","","",""
"uuid:0da5ae08-cc4f-4d52-9e58-df8a06a6a705","http://resolver.tudelft.nl/uuid:0da5ae08-cc4f-4d52-9e58-df8a06a6a705","Single Fibre Pullout from Hybrid Fiber Reinforced Concrete","Markovich, I.; Van Mier, J.G.M.; Walraven, J.C.","","2001","Hybrid fiber reinforcement can be very efficient for improving the tensile response of the composite. In such materials, fibers of different geometries can act as bridging mechanisms over cracks of different widths. The fiber bridging efficiency depends on the interface properties, which makes interface characterization very important. Therefore, single-fiber pullout tests from conventional matrices as well as from the fiber reinforced mortar matrices are performed. The composition of the mortar matrix has been varied as well. The pullout response of single fibers generally improves with increasing percentage of fibers in the mortar. Moreover, pullout forces are generally higher when the matrix has a higher strength. In all these cases, intensive microcracking of the surrounding matrix can be observed during fiber pullout. Together with single-fiber pullout tests, standard compression tests and splitting tensile tests, as well as workability studies have been performed, in order to provide experimental data for further research of the high-performance hybrid fiber reinforced concrete.","Fiber Reinforcement; Mix Design; Mechanical Properties; Fiber Pullout; Microscopy","en","conference paper","Delft University of Technology","","","","","","","","Civil Engineering and Geosciences","Design and Construction","","","",""
"uuid:c9e570f1-09d8-4d7f-9b35-00be7173fc50","http://resolver.tudelft.nl/uuid:c9e570f1-09d8-4d7f-9b35-00be7173fc50","Single fiber pullout from hybrid fiber reinforced concrete","Markovich, I.; Van Mier, J.G.M.; Walraven, J.C.","","2001","Hybrid fiber reinforcement can be very efficient for improving the tensile response of the composite. In such materials, fibers of different geometries can act as bridging mechanisms over cracks of different widths. The fiber bridging efficiency depends on the interface properties, which makes interface characterization very important. Therefore, single-fiber pullout tests from conventional matrices as well as from the fiber reinforced mortar matrices are performed. The composition of the mortar matrix has been varied as well. The pullout response of single fibers generally improves with increasing percentage of fibers in the mortar. Moreover, pullout forces are generally higher when the matrix has a higher strength. In all these cases, intensive microcracking of the surrounding matrix can be observed during fiber pullout. Together with single-fiber pullout tests, standard compression tests and splitting tensile tests, as well as workability studies have been performed, in order to provide experimental data for further research of the high-performance hybrid fiber reinforced concrete.","fiber reinforcement; mix design; mechanical properties; fiber pullout; microscopy","en","journal article","Delft University of Technology","","","","","","","","Civil Engineering and Geosciences","Structural and Building","","","",""
"uuid:e44caf4d-2d87-4f74-a5d1-bab6d77ef29e","http://resolver.tudelft.nl/uuid:e44caf4d-2d87-4f74-a5d1-bab6d77ef29e","Influence of defocus on measurements in microscope images","Ellenberger, S.L.","Young, I.T. (promotor); van Vliet, L.J. (promotor)","2000","","kwantitatieve microscopie; defocus; meten in beelden","en","doctoral thesis","","","","","","","","","Applied Sciences","","","","",""
"uuid:f817a62b-4afb-42dd-9d78-48b17148395f","http://resolver.tudelft.nl/uuid:f817a62b-4afb-42dd-9d78-48b17148395f","Quantification of shrinkage microcracking in young mortar with fluorescence light microscopy and ESEM","Bisschop, J.; Van Mier, J.C.M.","","1999","In this paper a method is described to quantify shrinkage microcracking in young mortar by means of crack mapping. Visualisation of the microcracks is realised with two techniques: Fluorescence Light Microscopy (FLM) and Environmental Scanning Electron Microscopy (ESEM). The preliminary results obtained with the microcrack mapping method showed an increase in extent of shrinkage microcracking as a function of the hardening time of the young mortar, probably due to autogenous shrinkage. In the ESEM it was observed that microcracks in the young mortar open upon drying from relative humidities of about 25% to 1%. It is concluded in this paper that quantitative microcrack mapping at constant magnification should be done as a function of relative humidity of the sample and its environments.","young mortar; shrinkage microcracking; fluorescence light microscopy; ESEM; crack mapping","en","journal article","Delft University of Technology","","","","","","","","Civil Engineering and Geosciences","","","","",""
"uuid:12fcf7d7-0a6d-4120-a913-5a6a38d44b08","http://resolver.tudelft.nl/uuid:12fcf7d7-0a6d-4120-a913-5a6a38d44b08","The influence of water flow (reversal) on bond strength development in young masonry","Groot, C.; Larbi, J.","","1999","Water loss from the fresh mortar is believed to be related to mortar-brick bond strength development in masonry. Recent research on mortar-brick bond has shown that, particularly, effects of water flow on the composition and the hydration conditions of the mortar-brick interface have to be taken into account to explain bond strength development. However, many cases of unexpected bond behaviour are still registered, and apparently the insight into this complex phenomenon is still incomplete. In this paper an attempt is made to increase the understanding by analysing in more detail the hydration conditions of the mortar at the interface. To this end, models of capillary water pressure and water transport for cylindrical capillaries (bricks) and water-containing particle systems (mortars) are analysed and applied to the evaluation of a series of bond strength tests. To enhance the potential for a more extensive analysis of the test results much attention is paid to the 'hygric' characterisation of bricks and mortars of the test series. It is concluded, that not only the water flow from mortar to brick (which takes place immediately after mortar-brick contact) but also a reversed water flow from brick to mortar (occurring after compaction and initial hydration of mortar) may significantly influence the bond strength development.","masonry; bond strength; moisture transport; porosity; polarising and fluorescent microscopy","en","journal article","Delft University of Technology","","","","","","","","Civil Engineering and Geosciences","","","","",""
"uuid:a6981fa6-d64b-47ab-980f-0957835b2b0d","http://resolver.tudelft.nl/uuid:a6981fa6-d64b-47ab-980f-0957835b2b0d","Application of TEM to characterize fly ash- and slag cements","Pietersen, H.S.","","1999","A Portland fly ash cement containing 20% of a fine fly ash and a blast furnace slag cement of approximately 290 days old were examined with analytical transmission electron microscopy, in order to examine the (local) microstructure in these cements in detail. In the Portland fly ash cement the fly ash reacted with CH resulting from the cement hydration. Due to this pozzolanic reaction, initially a dense C-S-H reaction rim precipitates around the fly ash glass spheres. In course of time, a radially fibrillar and more porous zone of C-S-H is formed in between the dense C-S-H zone and the fly ash surface. The dense C-S-H notably contains aluminium and potassium, elements which are likely to originate from the fly ash. The microstructure of the blast furnace slag cement is also marked by a local chemical differentiation; a zoned structure is formed surrounding the original slag grain. Chemical analyses and element maps indicate that notably Si and Ca, and also some of the AI, is transported out of the slag grain interior. The driving force for this element transport is accounted for by a postulated gel-layer, creating a chemical potential gradient for water. Hydrophillic elements, such as Si and Ca will migrate out of the slag, and will cause a gradual paste densification, responsible for the low permeability in blast furnace slag cements. Within the gel-layer, a water poor region is formed in which a hydrotalcite-like phase precipitates, possibly together with minor amounts of C-S-H like material at its inner edge.","Transmission Electron Microscopy; fly ash; slag; microstructure; pozzolanic reaction; element distribution; chemical gradient","en","journal article","Delft University of Technology","","","","","","","","Civil Engineering and Geosciences","Materials Science Engineering Section","","","",""
"uuid:c7a76411-e32d-4dcc-8515-4ab57098f293","http://resolver.tudelft.nl/uuid:c7a76411-e32d-4dcc-8515-4ab57098f293","Length control of individual carbon nanotubes by nanostructuring with a scanning tunneling microscope","Venema, L.C.; Wildoer, J.W.G.; Tuinstra, H.L.J.T.; Dekker, C.; Rinzler, A.G.; Smalley, R.E.","","1997","","A6146 Structure of solid clusters, nanoparticles, and nanostructured materials; A6820 Solid surface structure; C; carbon; carbon nanotube; carbon nanotubes; Current voltage curves; electronic properties; imaging; individual C nanotubes; INSPEC; length control; nanostructured materials; nanostructuring; nanotubes; quantum size effect; quantum size effects; scanning tunneling microscope; scanning tunneling microscopy; scanning tunnelling microscopy; size effect; stepwise current increase; STM; STM spectroscopy; surface topography; topographic imaging","en","journal article","AIP","","","","","","","","","","","","",""
"uuid:dd15ceb0-4b02-4336-97bd-4de9968710a4","http://resolver.tudelft.nl/uuid:dd15ceb0-4b02-4336-97bd-4de9968710a4","Deposition and atomic force microscopy of individual phthalocyanine polymers between nanofabricated electrodes","Tans, S.J.; Geerligs, L.J.; Dekker, C.; Wu, J.; Wegner, G.","","1997","","1 nm; A6140K Structure of polymers, elastomers, and plastics; A6817 Monolayers and Langmuir Blodgett films; A8115L Deposition from liquid phases melts and solutions; atomic force microscopy; cellulose monolayer; conducting polymers; electrodes; immobilization; INSPEC; Langmuir Blodgett deposition; Langmuir Blodgett films; metal electrode; metal electrodes; monolayers; nanofabricated electrode; nanofabricated electrodes; nanotechnology; one dimensional conducting polymer; phthalocyanine polymer; phthalocyanine polymers; phthalocyaninepolysiloxane; polymer films; rigid rod polymer","en","journal article","AIP for American Vacuum Soc","","","","","","","","","","","","",""
"uuid:f1ec0055-a683-40cb-9e98-87086721511e","http://resolver.tudelft.nl/uuid:f1ec0055-a683-40cb-9e98-87086721511e","Automated Image Analysis of FISH-Stained Cell Nuclei","Netten, H.","Young, I.T. (promotor); Tanke, H.J. (promotor)","1997","","image analysis; quantitative microscopy","en","doctoral thesis","Delft University Press","","","","","","","","Applied Sciences","","","","",""
"uuid:4fb1277b-8789-4c18-a8a5-bf0c57d5e927","http://resolver.tudelft.nl/uuid:4fb1277b-8789-4c18-a8a5-bf0c57d5e927","Photolithographic patterning of the charge-density-wave conductor Rb 0.30 MoO 3","Van der Zant, H.S.J.; Mantel, O.C.; Heij, C.P.; Dekker, C.","","1997","","180 K; 2.5 mum; 20 to 300 K; 400 to 500 C; 600 nm; A6820 Solid surface structure; A6855 Thin film growth, structure, and epitaxy; A7145L Charge density wave systems; A7215N Collective modes: low dimensional conductors; A7335 Mesoscopic systems and quantum interference; A7360 Electrical properties of thin films and low dimensional structures; A8115I Pulsed laser deposition; A8160J Surface treatment and degradation of polymers and plastics; CDW; charge density wave; charge density wave conductor; charge density waves; current voltage characteristics; electrical resistivity; electrical transport; electrical transport measurements; grain size; INSPEC; mesoscopic phenomena; mesoscopic systems; nonlinear current voltage characteristics; patterned structures; Peierls instability; Peierls transition; phase coherent CDW transport; photolithographic patterning; photolithography; pulsed laser deposition; Rb sub 0.30 MoO sub 3; rubidium compounds; scanning electron microscopy; SEM; thin films; transport","en","journal article","Elsevier","","","","","","","","","","","","",""
"uuid:f27c246f-a135-40b9-9977-994d7b31109f","http://resolver.tudelft.nl/uuid:f27c246f-a135-40b9-9977-994d7b31109f","Orientation of the charge-density-wave chains in thin films of Rb 0.30 MoO 3","Mantel, O.C.; Van der Zant, H.S.J.; Steinfort, A.J.; Traeholt, C.; Dekker, C.","","1997","","A6820 Solid surface structure; A6855 Thin film growth, structure, and epitaxy; A7145L Charge density wave systems; CDW; chain orientation; charge density wave; charge density wave chains; charge density waves; crystal microstructure; grain size; INSPEC; Rb sub 0.3 MoO sub 3; Rb sub 0.3 MoO sub 3 SrTiO sub 3; rubidium compounds; scanning electron microscopy; SEM; SrTiO sub 3; substrate; substrate surface lattice; surface morphology; surface topography; TEM; thin film; thin films; transmission electron microscopy; X ray diffraction","en","journal article","Elsevier","","","","","","","","","","","","",""
"uuid:e8234cfd-37f1-420d-ae5a-e654277c366e","http://resolver.tudelft.nl/uuid:e8234cfd-37f1-420d-ae5a-e654277c366e","STM imaging and spectroscopy of single copperphthalocyanine molecules","Dekker, C.; Tans, S.J.; Oberndorff, B.; Meyer, R.; Venema, L.C.","","1997","","35 K; 77 K; A3120P Other semi empirical calculations atoms and molecules; A3520B General molecular conformation and symmetry: stereochemistry; A3620C Macromolecular conformation statistics and dynamics; A6820 Solid surface structure; A6845B Sorption equilibrium at solid fluid interfaces; A7320A Surface states, band structure, electron density of states; A7330 Surface double layers, Schottky barriers, and work functions; A7340G Tunnelling: general electronic transport; adsorbed layers; Current voltage curves; Fermi level; imaging; INSPEC; liquid nitrogen cooled graphite substrates; macromolecules; molecular electronic states; molecular level; organic compounds; resonant states; resonant tunneling; scanning tunneling microscope; scanning tunnelling microscopy; scanning tunnelling spectroscopy; single copperphthalocyanine molecules; STM; STM imaging; STM spectroscopy; substrate; synthetic metals; ultrahigh vacuum scanning tunneling microscope; work function","en","journal article","Elsevier","","","","","","","","","","","","",""
"uuid:cd096e8a-4f22-46b9-b20d-97ad2021ee81","http://resolver.tudelft.nl/uuid:cd096e8a-4f22-46b9-b20d-97ad2021ee81","Towards electrical transport on single molecules","Dekker, C.; Tans, S.J.; Geerligs, L.J.; Bezryadin, A.; Wu, J.; Wegner, G.","","1997","","contact spacings; electric transport properties; electrical transport; ELECTRICAL-TRANSPORT; electrodes; MICROSCOPY; model; mol wire elec transport; MOLECULES; monolayers; PHYSICS; SFS; SINGLE; single molecule; single molecules; single wire bridging; SINGLE-MOLECULE; space charge limited current model; transport; transport measurements; transport properties","en","journal article","","","","","","","","","","","","","",""
"uuid:64482b59-1f34-4070-afad-4853f2cf3bef","http://resolver.tudelft.nl/uuid:64482b59-1f34-4070-afad-4853f2cf3bef","Electrical transport in monolayers of phthalocyanine molecular wires and AFM imaging of a single wire bridging two electrodes","Tans, S.J.; Miedema, R.; Geerligs, L.J.; Dekker, C.; Wu, J.; Wegner, G.","","1997","","0.05 to 10 V; 135 to 360 K; 25 nm; A6817 Monolayers and Langmuir Blodgett films; A6820 Solid surface structure; A7220F Low field transport and mobility: piezoresistance semiconductors/insulators; A7340C Contact resistance, contact potential, and work functions; A7360F Electronic properties of semiconductor thin films; AFM; AFM imaging; atomic force microscopy; current voltage measurements; electrical contacts; electrical transport; electrodes; high resolution atomic force microscopy images; imaging; INSPEC; Langmuir Blodgett films; Langmuir Blodgett monolayer; metal electrode; metal electrodes; model; molecular electronics; molecular wire bridging; molecular wires; monolayers; organic compounds; organic semiconductors; phthalocyanine molecular wires; phthalocyaninepolysiloxane; Pt; single wire bridging; space charge limited conduction; space charge limited current model; substrate; synthetic metals; transport","en","journal article","Elsevier","","","","","","","","","","","","",""
"uuid:a869a9c9-e4db-411d-a9f9-3b600233981f","http://resolver.tudelft.nl/uuid:a869a9c9-e4db-411d-a9f9-3b600233981f","Fabrication of LiCoO2 thin film cathodes for rechargeable lithium battery by electrostatic spray pyrolysis","Chen, C.H.; Buysman, A.A.J.; Kelder, E.M.; Schoonman, J.","","1995","","Experimental study Electrode production Pyrolysis Spraying Thin layer electrode Lithium Oxides (ACT) Cobalt Oxides (ACT) Ternary compound Battery Surface structure Scanning electron microscopy Morphology Diffusion coefficient Lithium Ions Organic solvent; pyrolysis","en","journal article","","","","","","","","","","","","","",""
"uuid:9b476fcf-736c-475c-8777-9e9d851fc678","http://resolver.tudelft.nl/uuid:9b476fcf-736c-475c-8777-9e9d851fc678","An in situ hot stage transmission electron microscopy study of the decomposition of Fe-C austenites","Onink, M.; Tichelaar, F.D.; Brakman, C.M.; Mittemeijer, E.J.; Van der Zwaag, S.","","1995","","growth; phase; scanning tunneling microscopy transformation interfaces ferrite allotriomorphs mo alloys growth steels dynamics kinetics phase films","en","journal article","","","","","","","","","","","","","",""
"uuid:4f76b967-0cbd-42d5-9848-8df22b62de67","http://resolver.tudelft.nl/uuid:4f76b967-0cbd-42d5-9848-8df22b62de67","Vapour-phase synthesis of titanium nitride powder","Dekker, J.P.; Van der Put, P.J.; Veringa, H.J.; Schoonman, J.","","1994","","Powder Inorganic compound Titanium Nitrides Titanium Chlorides (ENT) Ammonia (ENT) Hydrogen (ENT) Particle size X ray diffraction Lattice parameters Surface area Electron microscopy Poudre Compose mineral Titane Nitrure Titane Chlorure (ENT) Ammoniac (ENT","en","journal article","","","","","","","","","","","","","",""
"uuid:9ff138cc-b57d-4548-b65d-af891dca415f","http://resolver.tudelft.nl/uuid:9ff138cc-b57d-4548-b65d-af891dca415f","Three dimensional analysis of light microscope images: Method for studying cell fate in the mouse embryo","Verweij, A.","Backer, E. (promotor); De Laat, S.W. (promotor)","1993","","drie-dimensionale beeldanalyse; microscopie","en","doctoral thesis","","","","","","","","","Applied Sciences","","","","",""
"uuid:eafa6deb-329b-4c07-a8c6-1f3ad3f1f40c","http://resolver.tudelft.nl/uuid:eafa6deb-329b-4c07-a8c6-1f3ad3f1f40c","STM image of silicalite 1 pore structure","Jansen, J.C.; Schoonman, J.; Van Bekkum, H.; Pinet, V.","","1991","","pore structure silicalite 1 zeolite scanning tunneling microscopy silicalite 1 zeolite","en","journal article","","","","","","","","","","","","","",""
"uuid:8c9b9eef-5563-4d11-8450-cd0765284a37","http://resolver.tudelft.nl/uuid:8c9b9eef-5563-4d11-8450-cd0765284a37","Characterization of Chromatin Distribution in Cell Nuclei","Young, I.T.; Verbeek, P.W.; Mayall, B.H.","","1986","","quantitative microscopy; image processing; texture measures; pattern recognition; image measurement","en","journal article","Wiley","","","","","","","","","","","","",""
"uuid:b35b84af-7ddd-44b3-86a8-417fba12f410","http://resolver.tudelft.nl/uuid:b35b84af-7ddd-44b3-86a8-417fba12f410","The influence of different types of anodic layers on the fatigue properties of 202U-T3 and 7056-T6 sheet material","'t Hart, W.G.J.; Nederveen, A.","","1980","Constant amplitude and flight simulation fatigue tests have been carried out on sulphuric acid, chromic acid and phosphoric acid anodized specimens. A comparison was made with the fatigue behaviour of bare sheet material. Before fatigue testing the fracture strain of the anodic layers was determined.","Aluminum alloys; Anodic coatings; Flight simulation; Fatigue tests; Gust loads; Crack initiation; Strain rate; Fatigue strength; Fatigue life; Surface cracks; Fractography; Electron microscopy; Sealing; Chromic acid; Sulphuric acid; Phosphoric acid","en","report","Nationaal Lucht- en Ruimtevaartlaboratorium","","","","","","Campus only","","","","","","",""