Carbon nanotubes as vertical interconnects in 3D integrated circuits

More Info
expand_more

Abstract

In integrated circuits the delay caused by interconnects, their power consumption, production and reliability are challenges to be solved. Using the third dimension for additional layers of transistor has been proposed as a solution to this problem by reducing the length of the interconnects. A problem in 3D integration is the creation of reliable, low resistance and high aspect ratio vertical interconnects. We propose the use of carbon nanotubes (CNT) for this purpose. There exist single-walled (SWNT) and multi-walled nanotubes (MWNT), the latter consisting of multiple tubes inside each other. Carbon nanofibres (CNF) are tube-like structures without graphite walls parallel to the tube axis. Diameters are between 0.5-100 nm, while lengths can be up to several mm. CNTs can behave semiconducting or metallic depending on the diameter and chirality. A metallic SWNT with two conductive bands has a quantum resistance of 6.45 k?, and can have ballistic conduction up to several microns. Each wall in a MWNT can contribute in conduction, the amount of conductive bands depends on the amount of walls and diameter. Beside a quantum resistance CNTs have a large quantum capacitance and kinetic inductance, and an electrostatic capacitance. Lastly, CNTs can carry current densities up to 109 J/cm2. Beside being good electrical conductors CNTs have a high thermal conductivity, with values between 1000-6600 W/m·K being reported. They oxidise at temperatures between 600-800 ?C and deform in a vacuum above 2000 ?C. CNTs can be grown on top of substrates using (plasma enhanced) chemical vapour deposition ((PE)CVD) using a catalyst and carbon feedstock. Vertical alignment can either be achieved by Van der Waals interaction between tubes or by a strong electric field during growth. The geometry of CNTs can be controlled by the activation of the catalyst layer and the growth parameters (table 3.1). We derived electrical models for SWNT and MWNT bundles. The quantum capacitance and kinetic inductance can be neglected when a bundle is formed. The resistance against the MFP, diameter and density was calculated. It was found that only SWNT can perform better than bulk Cu or Al when very high density bundles are formed, otherwise it rapidly increases. MWNT have a resistance that is one order of magnitude higher than Cu or Al, which hardly increases for low densities. We designed electrical measurement structures using two and four-point measurement which can be created using four masks. Two cleanroom compatible processes, one with interlayer oxide deposited before and the other after CNT growth, were made. As photo resist patterning was found to have a negative influence on the CNT growth quality an additional lift-off process was created. The four-point structure can also be used for electrical reliability and thermal conductivity measurements. Vertical aligned growth was possible when using a BlackMagic (HF-)PECVD at 500 ?C, in combination with Ni and Pd as catalyst. The same system in APCVD mode resulted in aligned CNFs with Pd at 450-640 ?C, and random CNTs using Co and Fe at 640 ?C. Scanning electron microscopy (SEM), transmission electron microscopy (TEM) and Raman spectroscopy were used to investigate the grown CNTs. Using the custom equipment at NanoLab vertical CNTs were observed using DC-PECVD at ~ 800 ?C, with both Ni and Fe as catalyst. A recipe was developed for a tube furnace which gave vertical growth at 600 ?C in combination with 1 nm of Fe, and random growth with thicker layers of Fe and Ni. High quality random CNTs were grown using the same recipe at 500 and 600 ?C on Fe patterned with the lift-off process. In all experiments there was 10 nm Ti underneath the catalyst, except for the lift-off which used 1 ?m Ti. The different Raman spectroscopy quality indicators were investigated vs. temperature and the results obtained with SEM and TEM. If was found that the product between ID/IG and FWHM(D) or the ID/IG ratio are good indicators of quality, while only the ID/IG is not sufficient. Thermal CVD samples were of higher quality than the PECVD samples. No growth, due to a too low substrate temperature, was obtained when using the Novellus Concept One PECVD or novel RF assisted CVD at NanoLab. The combination of a 1 ?m Ti layer and Ni also resulted in no growth, due to Ni diffusion into the Ti.