Searched for: +
(1 - 11 of 11)
document
Yuan, S. (author), Zhang, Z. (author), Fieback, M. (author), Xun, H. (author), Marinissen, E. J. (author), Kar, G. S. (author), Rao, S. (author), Couet, S. (author), Taouil, M. (author), Hamdioui, S. (author)
The development of Spin-Transfer Torque Magnetic RAMs (STT-MRAMs) mass production requires high-quality test solutions. Accurate and appropriate fault modeling is crucial for the realization of such solutions. This paper targets fault modeling and test generation for all interconnect and contact defects in STT-MRAMs and shows that using the...
conference paper 2023
document
Aouichi, A. (author), Yuan, S. (author), Fieback, M. (author), Rao, Siddharth (author), Kim, Woojin (author), Marinissen, Erik Jan (author), Couet, Sebastien (author), Taouil, M. (author), Hamdioui, S. (author)
Spin-Transfer Torque Magnetic RAMs (STT-MRAMs) are on their way to commercialization. However, obtaining high-quality test and diagnosis solutions for STT-MRAMs is challenging due to the existence of unique defects in Magnetic Tunneling Junctions (MTJs). Recently, the Device-Aware Test (DA-Test) method has been put forward as an effective...
conference paper 2023
document
Moposita, Tatiana (author), Garzon, Esteban (author), Crupi, Felice (author), Trojman, Lionel (author), Vladimirescu, A. (author), Lanuzza, Marco (author)
This brief deals with the impact of spin-transfer torque magnetic random access memory (STT-MRAM) cell based on double-barrier magnetic tunnel junction (DMTJ) on the performance of a two-layer multilayer perceptron (MLP) neural network. The DMTJ-based cell is benchmarked against the conventional single-barrier MTJ (SMTJ) counterpart by means...
journal article 2023
document
Wu, L. (author), Rao, Siddharth (author), Taouil, M. (author), Marinissen, Erik Jan (author), Kar, Gouri Sankar (author), Hamdioui, S. (author)
The manufacturing process of STT-MRAM requires unique steps to fabricate and integrate magnetic tunnel junction (MTJ) devices which are data-storing elements. Thus, understanding the defects in MTJs and their faulty behaviors are paramount for developing high-quality test solutions. This article applies the advanced device-aware test to...
journal article 2022
document
Singh, A. (author), Zahedi, M.Z. (author), Shahroodi, T. (author), Gupta, Mohit (author), Gebregiorgis, A.B. (author), Komalan, Manu (author), Joshi, R.V. (author), Catthoor, Francky (author), Bishnoi, R.K. (author), Hamdioui, S. (author)
Spin-transfer torque magnetic random access memory (STT-MRAM) based computation-in-memory (CIM) architectures have shown great prospects for an energy-efficient computing. However, device variations and non-idealities narrow down the sensing margin that severely impacts the computing accuracy. In this work, we propose an adaptive referencing...
conference paper 2022
document
Gebregiorgis, A.B. (author), Wu, L. (author), Münch, Christopher (author), Rao, Siddharth (author), Tahoori, Mehdi B. (author), Hamdioui, S. (author)
STT-MRAM has long been a promising non-volatile memory solution for the embedded application space owing to its attractive characteristics such as non-volatility, low leakage, high endurance, and scalability. However, the operating requirements for high-performance computing (HPC) and low power (LP) applications involve different challenges....
conference paper 2022
document
Fieback, M. (author), Münch, Christopher (author), Gebregiorgis, A.B. (author), Cardoso Medeiros, G. (author), Taouil, M. (author), Hamdioui, S. (author), Tahoori, Mehdi (author)
Emerging non-volatile resistive memories like Spin-Transfer Torque Magnetic Random Access Memory (STT-MRAM) and Resistive RAM (RRAM) are in the focus of today’s research. They offer promising alternative computing architectures such as computation-in-memory (CiM) to reduce the transfer overhead between CPU and memory, usually referred to as the...
conference paper 2022
document
Wu, Lizhou (author), Rao, Siddharth (author), Taouil, M. (author), Marinissen, Erik Jan (author), Kar, Gouri Sankar (author), Hamdioui, S. (author)
The popularity of perpendicular magnetic tunnel junction (pMTJ)-based spin-transfer torque magnetic random access memories (STT-MRAMs) is growing very fast. The performance of such memories is very sensitive to magnetic fields, including both internal and external ones. This article presents a magnetic-field-aware compact model of pMTJ, named...
journal article 2022
document
Wu, L. (author)
As STT-MRAM mass production and deployment in industry is around the corner, high-quality yet cost-efficient manufacturing test solutions are crucial to ensure the required quality of products being shipped to end customers. This dissertation focuses on STT-MRAM testing, covering three abstraction levels: manufacturing defects, fault models, and...
doctoral thesis 2021
document
Wu, L. (author), Rao, Siddharth (author), Taouil, M. (author), Marinissen, Erik Jan (author), Kar, Gouri Sankar (author), Hamdioui, S. (author)
As a unique mechanism for MRAMs, magnetic coupling needs to be accounted for when designing memory arrays. This paper models both intra- and inter-cell magnetic coupling analytically for STT-MRAMs and investigates their impact on the write performance and retention of MTJ devices, which are the data-storing elements of STT-MRAMs. We present...
conference paper 2020
document
Wu, L. (author), Rao, Siddharth (author), Taouil, M. (author), Cardoso Medeiros, G. (author), Fieback, M. (author), Marinissen, Erik Jan (author), Kar, Gouri Sankar (author), Hamdioui, S. (author)
STT-MRAM mass production is around the corner as major foundries worldwide invest heavily on its commercialization. To ensure high-quality STT-MRAM products, effective yet cost-efficient test solutions are of great importance. This article presents a systematic device-aware defect and fault modeling framework for STT-MRAM to derive accurate...
journal article 2019
Searched for: +
(1 - 11 of 11)