Searched for: +
(1 - 2 of 2)
document
Wang, Zhongkai (author), Choi, Minsoo (author), Lee, Kyoungtae (author), Park, Kwanseo (author), Liu, Zhaokai (author), Biswas, Ayan (author), Han, Jaeduk (author), Du, S. (author), Alon, Elad (author)
This article presents a 200-Gb/s pulse amplitude-modulation four-level (PAM-4) and 100-Gb/s non-return-to-zero (NRZ) transmitter (TX) in 28-nm CMOS technology. To achieve the target data rate, the output bandwidth and swing of the proposed TX are optimized by minimizing the output capacitance of the 4:1 multiplexer (MUX) and driver stage with...
journal article 2022
document
Wang, Zhongkai (author), Choi, Minsoo (author), Kwon, Paul (author), Lee, Kyoungtae (author), Yin, Bozhi (author), Liu, Zhaokai (author), Park, Kwanseo (author), Biswas, Ayan (author), Du, S. (author)
This paper presents a complete 200Gb/s PAM-4 transmitter (TX) in 28nm CMOS technology. The transmitter features a hybrid sub-sampling PLL (SSPLL) with a delta-sigma (?S) modulator, clock distribution network with flexible timing control, and data path with a hybrid 5-tap Feed-Forward Equalizer (FFE) and T-coil for bandwidth extension. The...
conference paper 2022