Searched for: department%3A%22Computer%255C%252BEngineering%22
(61 - 80 of 111)

Pages

document
Mahmoud, A.N.N. (author), Vanderveken, Frederic (author), Adelmann, Christoph (author), Ciubotaru, Florin (author), Hamdioui, S. (author), Cotofana, S.D. (author)
By their very nature, voltage/current excited Spin Waves (SWs) propagate through waveguides without consuming noticeable power. If SW excitation is performed by the continuous application of voltages/currents to the input, which is usually the case, the overall energy consumption is determined by the transducer power and the circuit critical...
conference paper 2021
document
Wu, L. (author), Rao, Siddharth (author), Taouil, M. (author), Marinissen, Erik Jan (author), Kar, Gouri Sankar (author), Hamdioui, S. (author)
Understanding the manufacturing defects in magnetic tunnel junctions (MTJs), which are the data-storing elements in STT-MRAMs, and their resultant faulty behaviors are crucial for developing high-quality test solutions. This paper introduces a new type of MTJ defect: synthetic anti-ferromagnet flip (SAFF) defect, wherein the magnetization in...
conference paper 2021
document
Köylü, T.C. (author), Reinbrecht, Cezar (author), Hamdioui, S. (author), Taouil, M. (author)
Artificial neural networks are currently used for many tasks, including safety critical ones such as automated driving. Hence, it is very important to protect them against faults and fault attacks. In this work, we propose two fault injection attack detection mechanisms: one based on using output labels for a reference input, and the other on...
conference paper 2021
document
Wu, L. (author), Rao, Siddharth (author), Taouil, M. (author), Marinissen, Erik Jan (author), Kar, Gouri Sankar (author), Hamdioui, S. (author)
Understanding the defects in magnetic tunnel junctions (MTJs) and their faulty behaviors are paramount for developing high-quality tests for STT-MRAM. This paper characterizes and models intermediate (IM) state defects in MTJs; IM state manifests itself as an abnormal third resistive state, apart from the two bi-stable states of MTJ. We...
conference paper 2021
document
Mahmoud, A.N.N. (author), Vanderveken, Frederic (author), Ciubotaru, Florin (author), Adelmann, Christoph (author), Cotofana, S.D. (author), Hamdioui, S. (author)
Spin Waves (SWs) propagate through magnetic waveguides and interfere with each other without consuming noticeable energy, which opens the road to new ultra-low energy circuit designs. In this paper we build upon SW features and propose a novel energy efficient Full Adder (FA) design consisting of 1 Majority and 2 XOR gates, which outputs Sum...
conference paper 2021
document
Cardoso Medeiros, G. (author), Fieback, M. (author), Gebregiorgis, A.B. (author), Taouil, M. (author), Bolzani Poehls, L. (author), Hamdioui, S. (author)
Manufacturing defects in FinFET SRAMs can cause hard-to-detect faults such as Random Read Faults (RRFs). Detection of RRFs is not trivial, as they may not lead to incorrect outputs. Undetected RRFs become test escapes, which might lead to no-trouble-found devices and early in-field failures. Therefore, the detection of RRFs is of utmost...
conference paper 2021
document
Balakrishnan, Aneesh (author), Cardoso Medeiros, G. (author), Cem Gursoy, Cemil (author), Hamdioui, S. (author), Jenihhin, Maksim (author), Alexandrescu, Dan (author)
The Soft-Error (SE) reliability and the effects of Negative Bias Temperature Instability (NBTI) in deep submicron technologies are characterized as the major critical issues of high-performance integrated circuits. The previous scientific research studies provide a comprehensive description that the soft-error vulnerability becomes more severe...
conference paper 2021
document
Mahmoud, A.N.N. (author), Vanderveken, Frederic (author), Ciubotaru, Florin (author), Adelmann, Christoph (author), Cotofana, S.D. (author), Hamdioui, S. (author)
By their very nature, Spin Waves (SWs) consume ultra-low amounts of energy, which makes them suitable for ultra-low energy consumption applications. In addition, a compressor can be utilized to further reduce the energy consumption and enhance the speed of a multiplier. Therefore, we propose a novel energy efficient SW based 4-2 compressor...
conference paper 2021
document
Fieback, M. (author), Cardoso Medeiros, G. (author), Gebregiorgis, A.B. (author), Aziza, Hassen (author), Taouil, M. (author), Hamdioui, S. (author)
Industry is prototyping and commercializing Resistive Random Access Memories (RRAMs). Unfortunately, RRAM devices introduce new defects and faults. Hence, high-quality test solutions are urgently needed. Based on silicon measurements, this paper identifies a new RRAM unique fault, the Intermittent Undefined State Fault (IUSF); this fault causes...
conference paper 2021
document
Mahmoud, A.N.N. (author), Adelmann, Christoph (author), Vanderveken, Frederic (author), Cotofana, S.D. (author), Ciubotaru, Florin (author), Hamdioui, S. (author)
Having multi-output logic gates saves much energy because the same structure can be used to feed multiple inputs of next stage gates simultaneously. This paper proposes novel triangle shape fanout of 2 spin wave Majority and XOR gates; the Majority gate is achieved by phase detection, whereas the XOR gate is achieved by threshold detection. The...
conference paper 2021
document
Köylü, T.C. (author), Okkerman, Hans (author), Reinbrecht, Cezar (author), Hamdioui, S. (author), Taouil, M. (author)
Internet of things (IoT) devices are appearing in all aspects of our digital life. As such, they have become prime targets for attackers and hackers. An adequate protection against attacks is only possible when the confidentiality and integrity of the data and applications of these devices are secured. State-of-the-art solutions mostly address...
conference paper 2021
document
Cardoso Medeiros, G. (author), Fieback, M. (author), Copetti, Thiago (author), Gebregiorgis, A.B. (author), Taouil, M. (author), Bolzani Poehls, L. M. (author), Hamdioui, S. (author)
Manufacturing defects in FinFET SRAMs can cause hard-to-detect faults such as Undefined State Faults (USFs). Detection of USFs is not trivial, as they may not lead to incorrect functionality. Nevertheless, undetected USFs may have a severe impact on the memory's quality: they can cause random read outputs, which might lead to test escapes and no...
conference paper 2021
document
Caetano Garaffa, L. (author), Aljuffri, A.A.M. (author), Reinbrecht, Cezar (author), Hamdioui, S. (author), Taouil, M. (author), Sepulveda, Johanna (author)
Spiking Neural Networks (SNNs) are a strong candidate to be used in future machine learning applications. SNNs can obtain the same accuracy of complex deep learning networks, while only using a fraction of its power. As a result, an increase in popularity of SNNs is expected in the near future for cyber physical systems, especially in the...
conference paper 2021
document
Yu, J. (author), Nane, R. (author), Ashraf, I. (author), Taouil, M. (author), Hamdioui, S. (author), Corporaal, Henk (author), Bertels, K.L.M. (author)
Memristor-based Computation-in-Memory (CIM) is one of the emerging architectures for next-generation Big Data problems. Its design requires a radically new synthesis flow as the memristor is a passive device that uses resistances to encode its logic values. This article proposes a synthesis flow for mapping parallel applications on memristor...
journal article 2020
document
Bosio, A. (author), O'Connor, I. (author), Rodrigues, G. S. (author), Lima, F. K. (author), Hamdioui, S. (author)
Today's computer architectures and semiconductor technologies are facing major challenges making them incapable to deliver the required features (such as computer efficiency) for emerging applications. Alternative architectures are being under investigation in order to continue deliver sustainable benefits for the foreseeable future society...
conference paper 2020
document
Copetti, Thiago (author), Cardoso Medeiros, G. (author), Taouil, M. (author), Hamdioui, S. (author), Poehls, Leticia Bolzani (author), Balen, Tiago (author)
Fin Field-Effect Transistor (FinFET) technology enables the continuous downscaling of Integrated Circuits (ICs), using the Complementary Metal-Oxide Semiconductor (CMOS) technology in accordance with the More Moore domain. Despite demonstrating improvements on short channel effect and overcoming the growing leakage problem of planar CMOS...
conference paper 2020
document
Yu, J. (author), Abu Lebdeh, M.F.M. (author), Du Nguyen, H.A. (author), Taouil, M. (author), Hamdioui, S. (author)
Conventional computing architectures and the CMOS technology that they are based on are facing major challenges such as the memory bottleneck making the memory access for data transfer a major killer of energy and performance. Computation-in-memory (CIM) paradigm is seen as a potential alternative that could alleviate such problems by adding...
conference paper 2020
document
Köylü, T.C. (author), Reinbrecht, Cezar (author), Hamdioui, S. (author), Taouil, M. (author)
Physical fault injection attacks are becoming an important threat to computer systems, as fault injection equipment becomes more and more accessible. In this work, we propose a new strategy to detect fault attacks in cryptosystems. We use a recurrent neural network (RNN) to detect problems in the program flow caused by injected faults. Our...
conference paper 2020
document
Augusto da Silva, F. (author), Bagbaba, Ahmet Cagri (author), Ruospo, Annachiara (author), Mariani, Riccardo (author), Kanawati, Ghani (author), Reorda, Matteo Sonza (author), Jenihhin, Maksim (author), Hamdioui, S. (author), Sauer, Christian (author)
The current demands for autonomous driving generated momentum for an increase in research in the different technologies required for these applications. Nonetheless, the limited access to representative designs and industrial methodologies poses a challenge to the research community. Considering this scenario, there is a high demand for an open...
conference paper 2020
document
Augusto da Silva, F. (author), Bagbaba, Ahmet Cagri (author), Hamdioui, S. (author), Sauer, Christian (author)
The development of Integrated Circuits for the Automotive sector imposes on complex challenges. ISO26262 Functional Safety requirements entail extensive Fault Injection campaigns and complex analysis for the evaluation of deployed Software Tools. This paper proposes a methodology to improve Fault Analysis Tools Confidence Level (TCL) by...
conference paper 2020
Searched for: department%3A%22Computer%255C%252BEngineering%22
(61 - 80 of 111)

Pages