Highly-conformal sputtered through-silicon vias with sharp superconducting transition

More Info
expand_more

Abstract

This paper describes the microfabrication and electrical characterization of aluminum-coated superconducting through-silicon vias (TSVs) with sharp superconducting transition above 1 K. The sharp superconducting transition was achieved by means of fully conformal and void-free DC-sputtering of the TSVs with Al, and is here demonstrated in up to 500μ m-deep vias. Full conformality of Al sputtering was made possible by shaping the vias with a tailored hourglass profile, which allowed a metallic layer as thick as 430 nm to be deposited in the center of the vias. Single-via electric resistance as low as 160 mΩ at room temperature and superconductivity at 1.27 K were measured by a three-dimensional (3D) cross-bridge Kelvin resistor structure. This work establishes a CMOS-compatible fabrication process suitable for arrays of superconducting TSVs and 3D integration of superconducting silicon-based devices. [2020-0354].

Files

Alfaro_Barrantes_JMEMS2020_fin... (.pdf)
(.pdf | 4.82 Mb)

Download not available

09345777.pdf
(.pdf | 2.35 Mb)
- Embargo expired in 31-08-2021