Searched for: +
(61 - 80 of 91)

Pages

document
Augusto da Silva, F. (author), Bagbaba, Ahmet Cagri (author), Ruospo, Annachiara (author), Mariani, Riccardo (author), Kanawati, Ghani (author), Reorda, Matteo Sonza (author), Jenihhin, Maksim (author), Hamdioui, S. (author), Sauer, Christian (author)
The current demands for autonomous driving generated momentum for an increase in research in the different technologies required for these applications. Nonetheless, the limited access to representative designs and industrial methodologies poses a challenge to the research community. Considering this scenario, there is a high demand for an open...
conference paper 2020
document
Augusto da Silva, F. (author), Bagbaba, Ahmet Cagri (author), Hamdioui, S. (author), Sauer, Christian (author)
The development of Integrated Circuits for the Automotive sector imposes on complex challenges. ISO26262 Functional Safety requirements entail extensive Fault Injection campaigns and complex analysis for the evaluation of deployed Software Tools. This paper proposes a methodology to improve Fault Analysis Tools Confidence Level (TCL) by...
conference paper 2020
document
Augusto da Silva, F. (author), Bagbaba, Ahmet Cagri (author), Sartoni, Sandro (author), Cantoro, Riccardo (author), Reorda, Matteo Sonza (author), Hamdioui, S. (author), Sauer, Christian (author)
The development of Integrated Circuits for the Automotive sector imposes on major challenges. ISO26262 compliance, as part of this process, entails complex analysis for the evaluation of potential random hardware faults. This paper proposes a systematic approach to identify faults that do not disrupt safety-critical functionalities and...
conference paper 2020
document
Wu, L. (author), Fieback, M. (author), Taouil, M. (author), Hamdioui, S. (author)
This paper introduces a new test approach: device-aware test (DAT) for emerging memory technologies such as MRAM, RRAM, and PCM. The DAT approach enables accurate models of device defects to obtain realistic fault models, which are used to develop high-quality and optimized test solutions. This is demonstrated by an application of DAT to pinhole...
conference paper 2020
document
Mahmoud, A.N.N. (author), Vanderveken, Frederic (author), Ciubotaru, Florin (author), Adelmann, Christoph (author), Cotofana, S.D. (author), Hamdioui, S. (author)
Due to their very nature, Spin Waves (SWs) created in the same waveguide, but with different frequencies, can coexist while selectively interacting with their own species only. The absence of inter-frequency interferences isolates input data sets encoded in SWs with different frequencies and creates the premises for simultaneous data parallel...
conference paper 2020
document
Ghasempouri, Tara (author), Raik, Jaan (author), Paul, Kolin (author), Reinbrecht, Cezar (author), Hamdioui, S. (author), Taouil, M. (author)
In the recent years, cache based side-channel attacks have become a serious threat for computers. To face this issue, researches have been looking at verifying the security policies. However, these approaches are limited to manual security verification and they typically work for a small subset of the attacks. Hence, an effective verification...
conference paper 2020
document
Reinbrecht, Cezar (author), Hamdioui, S. (author), Taouil, M. (author), Niazmand, Behrad (author), Ghasempouri, Tara (author), Raik, Jaan (author), Sepulveda, Johanna (author)
Cache attacks are one of the most wide-spread and dangerous threats to embedded computing systems' security. A promising approach to detect such attacks at runtime is to monitor the System-on-Chip (SoC) behavior. However, designing a secure SoC capable of detecting such attacks is very challenging: the monitors should be lightweight in order...
conference paper 2020
document
Masoumian, S. (author), Selimis, Georgios (author), Maes, Roel (author), Schrijen, Geert-Jan (author), Hamdioui, S. (author), Taouil, M. (author)
In this paper, we develop an analytical PUF model based on a compact FinFET transistor model that calculates the PUF stability (i.e. PUF static noise margin (PSNM)) for FinFET based SRAMs. The model enables a quick design space exploration and may be used to identify critical parameters that affect the PSNM. The analytical model is validated...
conference paper 2020
document
Zahedi, M.Z. (author), Mayahinia, M. (author), Abu Lebdeh, M.F.M. (author), Wong, J.S.S.M. (author), Hamdioui, S. (author)
Von Neumann-based architectures suffer from costly communication between CPU and memory. This communication imposes several orders of magnitude more power and performance overheads compared to the arithmetic operations performed by the processor. This overhead becomes critical for applications that require processing a large amount of data....
conference paper 2020
document
Mamone, Dario (author), Bosio, Alberto (author), Savino, Alessandro (author), Hamdioui, S. (author), Rebaudengo, Maurizio (author)
Nowadays, the reliability has become one of the main issues for safety-critical embedded systems, like automotive, aerospace and avionic. In an embedded system, the full system stack usually includes, between the hardware layer and the software/application layer, a middle layer composed by the Operating System (OS) and the middleware. Most of...
conference paper 2020
document
Mahmoud, A.N.N. (author), Vanderveken, Frederic (author), Adelmann, Christoph (author), Ciubotaru, Florin (author), Hamdioui, S. (author), Cotofana, S.D. (author)
To bring Spin Wave (SW) based computing paradigm into practice and develop ultra low power Magnonic circuits and computation platforms, one needs basic logic gates that operate and can be cascaded within the SW domain without requiring back and forth conversion between the SW and voltage domains. To achieve this, SW gates have to possess...
conference paper 2020
document
Mahmoud, A.N.N. (author), Vanderveken, Frederic (author), Adelmann, Christoph (author), Ciubotaru, Florin (author), Cotofana, S.D. (author), Hamdioui, S. (author)
This paper presents a 2-output Spin-Wave Programmable Logic Gate structure able to simultaneously evaluate any pair of AND, NAND, OR, NOR, XOR, and XNOR Boolean functions. Our proposal provides the means for fanout achievement within the Spin Wave computation domain and energy and area savings as two different functions can be simultaneously...
conference paper 2020
document
Cardoso Medeiros, G. (author), Cem Gursoy, Cemil (author), Wu, L. (author), Fieback, M. (author), Jenihhin, Maksim (author), Taouil, M. (author), Hamdioui, S. (author)
Manufacturing defects can cause faults in FinFET SRAMs. Of them, easy-to-detect (ETD) faults always cause incorrect behavior, and therefore are easily detected by applying sequences of write and read operations. However, hard-to-detect (HTD) faults may not cause incorrect behavior, only parametric deviations. Detection of these faults is of...
conference paper 2020
document
Reinbrecht, Cezar (author), Aljuffri, A.A.M. (author), Hamdioui, S. (author), Taouil, M. (author), Forlin, Bruno E. (author), Sepulveda, Johanna (author)
Multi-Processor System-on-Chips (MPSoCs) are popular computational platforms for a wide variety of applications due to their energy efficiency and flexibility. Like many other platforms they are vulnerable to Side Channel Attacks (SCAs). In particular, Logical SCAs (LSCAs) are very powerful as sensitive information can be retrieved by simply...
conference paper 2020
document
Wu, L. (author), Rao, Siddharth (author), Taouil, M. (author), Marinissen, Erik Jan (author), Kar, Gouri Sankar (author), Hamdioui, S. (author)
As a unique mechanism for MRAMs, magnetic coupling needs to be accounted for when designing memory arrays. This paper models both intra- and inter-cell magnetic coupling analytically for STT-MRAMs and investigates their impact on the write performance and retention of MTJ devices, which are the data-storing elements of STT-MRAMs. We present...
conference paper 2020
document
Fieback, M. (author), Wu, L. (author), Cardoso Medeiros, G. (author), Aziza, Hassen (author), Rao, S (author), Marinissen, Erik Jan (author), Taouil, M. (author), Hamdioui, S. (author)
This paper proposes a new test approach that goes beyond cell-aware test, i.e., device-aware test. The approach consists of three steps: defect modeling, fault modeling, and test/DfT development. The defect modeling does not assume that a defect in a device (or a cell) can be modeled electrically as a linear resistor (as the traditional approach...
conference paper 2019
document
Hamdioui, S. (author), Fieback, M. (author), Nagarajan, S. (author), Taouil, M. (author)
Today's computing architectures and device technologies are incapable of meeting the increasingly stringent demands on energy and performance posed by evolving applications. Therefore, alternative novel post-CMOS computing architectures are being explored. One of these is a Computation-in-Memory (CIM) architecture based on memristive devices; it...
conference paper 2019
document
Augusto da Silva, F. (author), Bagbaba, Ahmet Cagri (author), Hamdioui, S. (author), Sauer, Christian (author)
Tolerance to random hardware failures, required by ISO26262, entails accurate design behavior analysis, complex Verification Environments and expensive Fault Injection campaigns. This paper proposes a methodology combining the strengths of Automatic Test Pattern Generators (ATPG), Formal Methods and Fault Injection Simulation to decrease the...
conference paper 2019
document
Abu Lebdeh, M.F.M. (author), Reinsalu, Uljana (author), Du Nguyen, H.A. (author), Wong, J.S.S.M. (author), Hamdioui, S. (author)
Emerging computing applications (such as big-data and Internet-of-things) are extremely demanding in terms of storage, energy and computational efficiency, while today’s architectures and device technologies are facing major challenges making them incapable to meet these demands. Computation-in-Memory (CIM) architecture based on memristive...
conference paper 2019
document
Condia, Josie E.Rodriguez (author), Augusto da Silva, F. (author), Hamdioui, S. (author), Sauer, C. (author), Reorda, M. Sonza (author)
Nowadays, General Purpose Graphics Processing Units (GPGPUs) devices are considered as promising solutions for high-performance safety-critical applications, such as those in the automotive field. However, their adoption requires solutions to effectively detect faults arising in the device during the operative life. Hence, effective in-field...
conference paper 2019
Searched for: +
(61 - 80 of 91)

Pages