Searched for: subject%3A%22Silicon%22
(41 - 60 of 564)

Pages

Copper Nanoparticle Sintering Enabled Hermetic Packaging With Fine Sealing Ring for MEMS Application
Copper Nanoparticle Sintering Enabled Hermetic Packaging With Fine Sealing Ring for MEMS Application
Chessboard Focal Plane Array for a CMOS-Integrated Terahertz Camera
Chessboard Focal Plane Array for a CMOS-Integrated Terahertz Camera
Poly-SiO<sub>x</sub> Passivating Contacts with Plasma-Assisted N<sub>2</sub>O Oxidation of Silicon (PANO-SiO<sub>x</sub>)
Poly-SiOx Passivating Contacts with Plasma-Assisted N2O Oxidation of Silicon (PANO-SiOx)
Optical Simulation-Aided Design and Engineering of Monolithic Perovskite/Silicon Tandem Solar Cells
Optical Simulation-Aided Design and Engineering of Monolithic Perovskite/Silicon Tandem Solar Cells
Nanomechanical absorption spectroscopy of 2D materials with femtowatt sensitivity
Nanomechanical absorption spectroscopy of 2D materials with femtowatt sensitivity
Overview of key results achieved in H2020 HighLite project helping to raise the EU PV industries' competitiveness
Overview of key results achieved in H2020 HighLite project helping to raise the EU PV industries' competitiveness
Energy Loss Analysis of Two-Terminal Tandem PV Systems under Realistic Operating Conditions—Revealing the Importance of Fill Factor Gains
Energy Loss Analysis of Two-Terminal Tandem PV Systems under Realistic Operating Conditions—Revealing the Importance of Fill Factor Gains
Nano-MOSFET - Foundation of Quantum Computing Part I
Nano-MOSFET - Foundation of Quantum Computing Part I
High-Quality Amorphous Silicon Carbide for Hybrid Photonic Integration Deposited at a Low Temperature
High-Quality Amorphous Silicon Carbide for Hybrid Photonic Integration Deposited at a Low Temperature
Low-Voltage dc System Building Blocks
Low-Voltage dc System Building Blocks: Integrated Power Flow Control and Short Circuit Protection
High-Energy Radiation Effects on Silicon NPN Bipolar Transistor Electrical Performance
High-Energy Radiation Effects on Silicon NPN Bipolar Transistor Electrical Performance: A Study with 1 MeV Proton Irradiation
In Situ Annealing of Boron-Doped Amorphous Silicon Layers Using APCVD Technology
In Situ Annealing of Boron-Doped Amorphous Silicon Layers Using APCVD Technology
High-Strength Amorphous Silicon Carbide for Nanomechanics
High-Strength Amorphous Silicon Carbide for Nanomechanics
Nanoscale Mapping of the 3D Strain Tensor in a Germanium Quantum Well Hosting a Functional Spin Qubit Device
Nanoscale Mapping of the 3D Strain Tensor in a Germanium Quantum Well Hosting a Functional Spin Qubit Device
Power and Thermal Cycling Testbed for End of Life Assessment of Semiconductor Devices
Power and Thermal Cycling Testbed for End of Life Assessment of Semiconductor Devices
Application of adiabaticity map
Application of adiabaticity map: highly efficient coupling from optical fibers to silicon waveguides by adiabatic mode evolution
Design and Characterization of a Data Converter in a SiC CMOS Technology for Harsh Environment Sensing Applications
Design and Characterization of a Data Converter in a SiC CMOS Technology for Harsh Environment Sensing Applications
A Thin and Low-Inductance 1200 V SiC MOSFET Fan-Out Panel-Level Packaging With Thermal Cycling Reliability Evaluation
A Thin and Low-Inductance 1200 V SiC MOSFET Fan-Out Panel-Level Packaging With Thermal Cycling Reliability Evaluation
A Highly Linear Temperature Sensor Operating up to 600°C in a 4H-SiC CMOS Technology
A Highly Linear Temperature Sensor Operating up to 600°C in a 4H-SiC CMOS Technology
Fabrication Technologies of 3-Dimesional Electrodes for a Heart-on-Chip Application
Fabrication Technologies of 3-Dimesional Electrodes for a Heart-on-Chip Application
Searched for: subject%3A%22Silicon%22
(41 - 60 of 564)

Pages